DOCUMENT RESOURCES FOR EVERYONE
Documents UnSync: A Soft Error Resilient Redundant Multicore Architecture

Slide 1 UnSync: A Soft Error Resilient Redundant Multicore Architecture Reiley Jeyapaul1, Fei Hong1, Abhishek Rhisheekesan1, Aviral Shrivastava1, Kyoungwoo Lee2 1Compiler…