YOU ARE DOWNLOADING DOCUMENT

Please tick the box to continue:

Transcript
Page 1: EEWeb Pulse - Volume 9

EEWeb.comIssue 9

August 30, 2011

EEWeb

PULSE

John LaddRoman Systems Engineering

Electrical Engineering Community

Page 2: EEWeb Pulse - Volume 9

Contact Us For Advertising Opportunities

[email protected]

www.eeweb.com/advertising

Electrical Engineering CommunityEEWeb

Digi-Key is an authorized distributor for all supplier partners. New products added daily. © 2011 Digi-Key Corporation, 701 Brooks Ave. South, Thief River Falls, MN 56701, USADigi-Key is an authorized distributor for all supplier partners. New products added daily.

www.digikey.com/techxchange

It’s all about connections.

The user-to-user forum is for everyone, from design engineers to hobbyists, to discuss technology, products, designs and more. Join the discussions that match your interest or offer your expertise to others.

Join the discussion now at:

discussions

hobbyists

engineers

industry experts

resourceslinks

technical documentswhite papers

reference designs

application notes

community

power

microcontroller

lighting

wireless

sensor

students

Page 3: EEWeb Pulse - Volume 9

EEWeb | Electrical Engineering Community Visit www.eeweb.com 3

TABLE O

F CO

NTEN

TSTABLE OF CONTENTS

John Ladd 4CEO, Futurist, and Inventor of RSE Technology

Controlling Latches Before They Ruin Your DayBY RAY SALEMI

Selecting Precision Op Amps for 16Sensor-Input Processing DesignsBY TAMARA SCHMITZ WITH INTERSIL

RTZ - Return to Zero Comic 20

Salemi examines and discusses a possible side effect of combinatorialprocedures—unintended latches.

Interview with John Ladd - Co-Developer of Roman Systems Engineering

How to select the best precision operational amplifier for implementing top quality sensor-input processing designs.

12

Page 4: EEWeb Pulse - Volume 9

EEWeb | Electrical Engineering Community Visit www.eeweb.com 4

INTERVIEWFEA

TURED IN

TERVIEW

Roman Systems EngineeringSum up Roman Systems Engineering in one sentence.RSE solves some of the problems facing today’s 3d laser scanner systems by utilizing ancient Roman technologies in conjunction with modern dielectric fluids and novel hybrid binary tree branch computational solvers.

What is your value proposition?Modern 3d laser scanners which utilize time-of-flight approaches have difficulty imaging transparent or highly reflective samples. Because RSE liquid scan technology does not rely on radiation, it can overcome

these limitations. In addition, RSE scanning allows penetration into the cavities of a porous medium. Imagine being able to scan a crouton that has a hollow cavity, or a rigid but porous food that contains a jelly filling. There are of course many interesting applications.

Can you tell us about the early start-up days at Roman Systems Engineering?We still consider ourselves to be in the early start-up mode until mass production is achieved. Our defining moment occurred on March 9th, 2011, when Peng Tian, Guanbo Chen, and I decided to

run a MATLAB simulation to test my theory about the purpose of the Roman Dodecahedron. We were busy in our graduate microwaves course with 50-page lab write-ups, but the idea that the Romans used the dodecahedron as a liquid-displacement based 3d recording device was inescapable. I dropped out of my plasmas course to pursue this theory and related experimentation as a full time endeavor. I began writing patents, copyrighting our material, and pursuing trademark protection. We were lucky to have the mentoring and advices from a top U.S. patent holder, Salman Akram, and some valuable advices from technology leaders such as David Orton (CEO of Aptina), Gennady Agranov (V.P. of imaging technology), and especially theoretical physicist Dr. Sergey Prokushkin. The inspiration to do something beneficial for the U.S. economy came from an inspiration we (co-founder Megan Albrightson and I)had felt after taking Rick G. Branner’s electromagnetics and RF courses at U.C. Davis.

By April 25th, we were already ready to present our technology in a public forum and had achieved hundreds of provisionally patented ideas surrounding our core technology. It was a one-month patenting binge and the most productive period of my life. To date,

John Ladd

RSE Co-Developers (left to right): Larissa Prokushkin, Sergey Prokushkin, John Ladd, Megan Albrightson, Nail Khaliullin

Page 5: EEWeb Pulse - Volume 9

EEWeb | Electrical Engineering Community Visit www.eeweb.com 5

INTERVIEWFEA

TURED IN

TERVIEW

our theory about the Purpose of the Roman Dodecahedron has been unchallenged. It was also thoroughly reviewed and then defended for two hours in front of technology leaders in San Jose on June 29th. We have also been interviewed by the Fox News reporter who ran the story that the Mystery of the Roman Dodecahedron may never be solved, and we think we answered all of her questions to her liking.

Please explain what the Roman Dodecahedron is and why you believe you have solved this mystery.The Roman Dodecahedron is an ancient bronze (or sometimes stone) artifact that has turned up by the hundreds and looks like a highly optimized characterization device. Not all dodecahedrons or icosahedrons appear the same at first glance, but there are common traits that give evidence to its purpose. Dozens of theories have been developed, all of which have been discounted. In fact, doctoral theses have been written attempting to discover the intended use. We are confident that the purpose has finally been revealed and that I discovered it in a microwave engineering course while in graduate school in Ann Arbor, Michigan. We think that the Roman Dodecahedron was used to record the three dimensional shape of an object under study by measuring the fluid displacement of the object under various angles and depth of immersion.

We don’t buy it. Give us more details.Not all dodecahedrons or icosa-hedrons appear the same at first

glance, but there are common traits that give a clear indication to its probable use. There is evidence (e.g., scuff marks) that objects were placed in it, but we found that in order to wedge a device holder between the supporting vertices, it required a flexible object holder in order to achieve high repeatability in the device placement. We sus-pect that the Romans used wood, and similar Egyptian engineering regarding the construction of pyra-mids, the tools rotted and left his-torians scratching their heads due to a lack of empirical evidence. In order to fully appreciate our theory, you have to think about how the Romans designed the device from scratch. You must start asking fun-damental questions that lead you to the design of the Roman Dodeca-hedron by considering all aspects of a 3d recording device. In fact, we were unaware of the existence of the Roman Dodecahedron and asked how to solve a practical en-gineering problem. It was after our design was finished and we were performing some volume calcula-tions did we notice the artifact on Wikipedia and was quite shocked to see its purpose was unknown. We immediately began compiling a list of traits that Roman Dodecahe-drons must exhibit in order for our theory to be valid. We saw that all the dodecahedrons found so far did exhibit those traits and reminded us so much of the debates we had when designing our own device. It looks like the Romans had the same debates about the optimal design of the dodecahedron that we had, which was pretty exciting for us.

Please explain what fundamental questions led you to the design of the Roman Dodecahedron.At the beginning of our design project, we asked the question about how we could measure the spatial dipole impulse response at an arbitrary location around a two terminal conductor of arbitrary shape (analogous to a Green function in electrostatics). We began with ideas for a rotating sphere (i.e., trackball design) that could have dielectric fluids injected into the “hamster ball” at various levels and rotate at various measurement angles. It was an idea for a variational technique that we believed would lead to information to determine the lower and upper bound for the effect of a “dielectric raindrop” on the total capacitance seen at the two terminals after the dielectric raindrop was brought into proximity of the electric fields. We were to develop a measurement system and algorithms to study this idea, determine how useful it would be for mapping tensor behavior, and we were ultimately to place a dielectric drop on a Styrofoam rod to test our experimental or analytical algorithms we would uncover. It was a very aggressive project for a three-credit course where we were obviously extremely busy with the laboratory write-ups and teaching and research assistant commitments.

To implement a practical prototype in a realistic timeframe, Guanbo was adamant that we use an “open” immersion system so that fluid leaks would not be an issue, though we heavily debated the choice of whether to use a closed or an open

Page 6: EEWeb Pulse - Volume 9

EEWeb | Electrical Engineering Community Visit www.eeweb.com 6

INTERVIEWFEA

TURED IN

TERVIEW

system. I looked to platonic devices that would have enough angles of measurement for reasonable spatial accuracy based on the theory of binary integer solving theory. I found that to obtain a reasonable resolution we would need between 12 and 24 faces on our immersion cage to be able to perform liquid scans and continuously measure the capacitance of the enclosed two-terminal device with a practical accuracy (enough to study 3d “flowering” algorithms that would allow a method for interpolation to map out the spatial dipole response everywhere in the vicinity of the terminals). When a dodecahedron is inscribed in a sphere, it has a greater volume than an icosahedron, which for fluid based imaging, is great. You don’t want the device being tested to look like a gnat relative to the size of the immersion bowl. The dodecahedron also has more vertices than the icosahedron and a larger entrance hole on the face for placing the device to be tested. It definitely wins on most levels compared to its icosahedron cousin. Even where an icosahedron holds an advantage (the number of fundamental angles that can be scanned) the dodecahedron can hold its own by having the large “feet” buttressed on supporting legs to gain additional angles of measurement (when a pentagon is supported in this fashion it rests like a wheel-barrow). The dodecahedron is very able to be manufactured compared to the icosahedron and it only required two hours with a hack saw to put together quite an accurate device (both halves of the cage came together “perfectly” on the first shot). What is interesting is that there are signs that the Romans also had this debate on whether

the bowl, the fluid level would rise in accordance with Archimedes principle. The degree to which the fluid level rose would depend upon the displacement “slice” of the object being measured, and this fluid level would be recorded into the 12 columns of displacement data representing the 12 angles under study. By performing this measurement on all faces, a reasonable rendering of a smooth shape could be performed, if they had only had a computer at their disposal. Of course we are not suggesting they had a computer or even rendered. What matters is that the data set is unique to the device being tested and can be compared with other devices for a type of one dimensional quality control. By maintaining a high quality control of perhaps projectile manufacturing, a greater kill ratio of the Roman army could be achieved. Laser scanners today are used for quality control, and the Roman Dodecahedron could have easily been used at that time with only 18 minutes of recording effort for the device under study. It was practical and it was useful. It would even be useful if placed side by side with the micrometer in every hardware store on the planet.

Are you suggesting that the 12 inches-in-a-foot also stemmed from the repeated use of this device?Yes, but it is more difficult to prove. At the very least, it should become a leading hypothesis because it is based upon a fundamental and consistent principle. If you are recording 12 columns of data every day in a table that is approximately one foot wide (they probably didn’t use an 8.5 inch wide paper with fine

to use the dodecahedron or the icosahedron since they did find a single icosahedron prototype. We added solder balls on the outside of the cage as “feet” and ground them down on my basement floor to achieve a very level device.

RSE solves some of the problems facing

today’s 3d laser scanner systems by

utilizing ancient Roman technologies in conjunction with modern dielectric fluids and novel

hybrid binary tree branch computational

solvers.

I am missing something. What exactly did the Romans do with the Roman Dodecahedron, in the simplest of terms?They attached the object to be tested (e.g., a projectile) into the dodecahedron by means of a flexible support (most likely wood or wax) that was wedged between two interior vertices (or “corner reflectors”). They put the dodecahedron into a bowl and repeatedly added fixed amounts of water to the bowl, probably using something equivalent to an Erlenmeyer flask for high accuracy. Each time water was poured into

Page 7: EEWeb Pulse - Volume 9

EEWeb | Electrical Engineering Community Visit www.eeweb.com 7

INTERVIEWFEA

TURED IN

TERVIEW

point writing utensils) recording scroll, and that the data represented your three dimensional ruler, but you were viewing the data and comparing it in one dimension, wouldn’t you also adopt the same standard for a 1d ruler? It definitely is a possibility if they preferred a consistent unit of measurement between shapes and distances. I don’t see any other reason they would adopt a 12-inches-in-a-foot standard. I think it was based on the “fifth element of the Zodiac.” When the Normans arrived, they brought back the tradition of the Roman 12-inches in a foot. Although no single document on the subject can be found, it appears that during the Reign of Henry I (1100 – 1135) the 12-inch foot became official.

What is your strongest short summary that supports this possible historic finding? If you are right, the world needs to know about it. What would you say to a skeptic?I would ask skeptics whether they would think it would be useful or not for the Romans to have the ability to record the 3d shape of projectiles or other devices? If so, I would like to ask them to propose a better way than fluid immersion and a more optimized structure than the Roman Dodecahedron to perform this important task. If they know a better way, then I would agree that skepticism makes sense. If not, I would ask them to look at the commonalities behind all the dodecahedrons, which include large feet for angle adjustment, a large hole on at least one side for DUT placement, and the hole patterns such that all dodecahedrons (or icosahedron) allow for horizontal fluid level

settling around the device that is at the center. If the Romans didn’t use this device for 3d recording, they were missing out on an ideal and highly optimal and practical use for this structure. In general, it is very rare for a highly optimized piece of characterization equipment to not be used for an ideal practical use for its design. We think that our theory is the first which gives an optimized solution to a practical problem that the Roman’s would be facing. The other theories, simply put, don’t make any sense. This device was not an optimized paperweight or a candlestick holder. They are not going to be measuring the diameter of pipes horizontally (it is not ergonomic and hard on the shoulders to do this repeatedly) and would simply place a square on the ground with a crescendo of holes to plant the pipe in. In addition, the icosahedron that was found was clearly not used for this purpose (with the many equally sized small holes) so that theory should be

discarded.

The use of this device in quality control applications did not require the dodecahedron to even be placed perfectly flat relative to gravity, or be manufactured with “perfect” precision. It only had to compare two devices for likeness, so it was clearly well engineered for this purpose. Even the feet themselves give indication to the need for repeatability. A close inspection shows that they often took care to not only make the feet large but make the area of the feet that touches the bowl somewhat small, guaranteeing a higher repeatability because of a lowered chance of dirt to be trapped in between the contact point of the structure and the measurement tank.

We think you are pretty adamant about your dodecahedron theory. Let’s talk about the future of

3D Scanner Dodecahedron Prototype by RSE

Page 8: EEWeb Pulse - Volume 9

EEWeb | Electrical Engineering Community Visit www.eeweb.com 8

INTERVIEWFEA

TURED IN

TERVIEW

engineering. What can you do with this device?We extend the accuracy and speed of this device by adding capacitive fluid level probes within the do-decahedron structure. By snapping two of these dodecahedrons to-gether in hourglass form, and seal-ing the dodecahedron, accuracy is unparalleled because the top do-decahedron knows very accurately how much fluid was dispensed into the bottom and the bottom structure obviously knows the displacement through the capacitance level read-ing. By using modern fluids, such as fluorinert, we can even penetrate into some test objects to map out the interior of a cavity. Fluorinert has extremely weak intermolecular forces and is almost twice as heavy as water. Its ability to penetrate a medium and then quickly drain out (without hysteresis) is demonstrated in our videos. That is the big advan-tage here over photons, the persis-tence of molecules to penetrate into a medium, and their determination to achieve a state of minimum po-tential energy. The software pack-age delivers a useful rendering of the subject (in voxel space) on the home computer. Additional angles of measurement are performed in real-time to isolate areas of interest where higher resolution is required (by utilizing the statistical engine), and to avoid air-bubble traps that are flagged by the time displace-ment information that is captured. Additionally, the fluid level opera-tion serves as a “slant-edge” line-of-sight calibration procedure that maps out the imaging zones for traditional color image sensors that are embedded in the dodeca-hedron vertices. This approach allows a full color surround image of the DUT’s surface to be overlaid

onto the geometrically accurate liquid scan volume image, morph-ing the RGB surface information to coincide with the geometrical liquid scan data. We anticipate that users will be stunned to be able to scan unique objects (such as Twinkies, for example) that contain filling and render density scan images along with the color surface on websites.

We recognize that the fundamental technologies that have proven themselves throughout time are ideal building blocks for the device of the future. People have proven that they have always been willing to carry around water bottles or flasks, and sometimes on the hip. Tape measures are worn on the hip. And this slick device will be worn on the hip, and since it is a fluid containment system, it will naturally be able to hold hard alcohol, which is actually a decent lower-end substitute for fluorinert to do some basic 3d rendering—hard alcohol, depending upon type, has low surface tension and reasonable levels of measurement hysteresis. Fluorinert is a very inert and non-toxic substance and the risk of cross-contamination being an issue to human health is nonexistent. In the future we expect that the dodecahedrons that are joined in hourglass function to perform scanning functions will have a social proximity based tie-in that will allow for meaningful face-to-face interaction between people. This might be an acceptable replacement for the problem presented by modern social media which leave people feeling isolated and lonely hitting refresh on their computers on a Saturday night. By having the two dodecahedrons “talk” to each other, with a natural hand-shake operation, a certain

level of privacy is achieved while allowing both devices to screen for commonalities that would serve as excellent ice-breakers. As engineers, we recognize that face-to-face interaction and problem solving is a key ingredient in accelerating our economies forward. Not much good development happens without a whiteboard, no matter how advanced our virtual conference rooms become. I fear that I am getting too far ahead into the future market for our device and have strayed. My apologies.

How long before we see these 3d “Roman Ruler” products on the store shelves?Oh the weather outside is frightful—but the fire is so delightful. And if there is no place to go, let it snow, let it snow, let it snow! I think Santa will lend EEWeb one of the first to stuff their stockings with. Thank you for your time.

Wait. If the Romans used the Dodecahedron and measured the water level, wouldn’t it be difficult to measure a very small displacement caused by say a small defect in an arrow head?Exactly, and surprisingly, this adds a great deal of credibility to the theory. We are working on an app that allows volume intercept injection of a table of Roman style hand measurements into both a 3d rendering program and a simple quality assurance program that would be more in keeping with the Roman technology. We plan to issue a significant cash prize for both the best rendering of a projectile and the best quality control measurements (lowest standard deviation error between two projectile standards that can

Page 9: EEWeb Pulse - Volume 9

EEWeb | Electrical Engineering Community Visit www.eeweb.com 9

INTERVIEWFEA

TURED IN

TERVIEW

be resolved). Anybody is welcome to beat us to the app and it should be an interesting competition. We can only answer your question at the time with two references for an upper and lower bound on what can be achieved.

The lower bound would be dropping a lead ball into a bowl and measuring the fluid level displacement—that would be a single angle with a single “slice,” and also be called an Archimedes experiment. That is a lower bound that anybody can achieve and is still the most accurate way to measure the volume of a solid object. To perform fine resolution “slicing” and more angles takes increasing skill, both for the fluid level measurement and the repeatability of the DUT placement methodology. We have played with the measurement and found that we are still on the steep learning curve where repetition will only make us better.

We can get guidance about the practical upper bound from the mathematics of 3d volume displacement imaging. For example, from six angles of measurement, and 10 data “slices” per angle, we can mathematically resolve a simple object with only 0.7 percent voxel error on a 10x10x10 volume grid. With this as the upper bound, it is unlikely that a small point defect could be recorded and rendered; it would have to be a significant chip or deviation in the shape of the arrowhead. If the Romans intended to only perform a comparison between two projectiles for consistency, they need not record in such a manner that would even be able to be injected into a modern computational engine and render. It need only provide error

data that over many samples could be indicative of the quality of a manufacturing line. In other words, they may only need to know “which slave to whip.”

We think our historical efforts will help

people understand and appreciate the technical

sophistication of people outside our

own century. Every time I begin to have a slight doubt, I take another look at the

Roman Dodecahedron and recognize

the proficiency of manufacturing these engineers possessed.

For the practical upper bound, one can imagine some highly skilled characterization engineer in a tower performing weeks of measurements without interruption. The engineer may provide many angles of measurement using supports under the “feet” of the dodecahedron. His experience with the device may cover a lifetime of trial and error and meticulous experimentation. He may look across the fluid level like a sharpshooter aligns the open sights of a gun, closing one eye for added precision. Or he may place a wooden stick into the bowl and have a pigment or oil floating on the

surface of the water which soaks into the wood and dries, recording each increment. After removing the stick, he may be able to directly compare a column of data with a stick measured from another projectile. This is analogous to the method of checking fluid level from an automobile engine.

In summary, we think that this method of 3d recording is challenging, and there are significant sources of error. It is clear that the Romans understood the error and took many efforts to reduce it. The choice of the dodecahedron itself shows an understanding of the challenge of 3d volume displacement recording and choosing a structure that has the lowest practical error. They showed an understanding that the mass of the object under study must match the interior volume of the cage and the bowl as well as possible because the holes for DUT placement were large on at least one side of the dodecahedron. The range of sizes of dodecahedrons found (4cm to 11cm) exhibit an understanding that the dodecahedron must closely match the size of the object under study for maximum practical accuracy.

Did they find any watering bowls in the field near the dodecahedrons?This would be nice data to have and is a profoundly important question if we are to get much traction from the non-engineering crowd. We would like a “smoking gun.” However, it appears that gathering this kind of data could be a challenge for several reasons. First, although dodecahedrons were found near military sites, projectile manufacture can also take place

Page 10: EEWeb Pulse - Volume 9

EEWeb | Electrical Engineering Community Visit www.eeweb.com 10

INTERVIEWFEA

TURED IN

TERVIEW

in civilian territory. The number of samples found is relatively low. In addition, dodecahedrons were found in graves which led to speculation that it was a religious artifact. That is a hurdle. We are not surprised that some would choose to be buried with a device if it was their lifelong craft. When you hold the device, it does “grow on you.” Imagine holding a baseball for most of your life, but something that feels even more ergonomic in the hand because it has corners and will not slip out. Engineers who worked on the NASA missions were very proud of their slide-rules and wouldn’t go anywhere without them.

Another problem is the disparity in cost between the bowl and the dodecahedron. While the dodecahedron was expensive, a bowl simply needed to closely match

the dodecahedron in size. Because of the difference in cost between the two devices, you would naturally expect that dodecahedrons would be stored with some care, while the bowl may not be close by. The bowl obviously had secondary uses as well. Our strategy for the search for a “smoking gun” is actually to start with electrical engineers who are familiar with our particular terminology. If the interest is there, historians will take a closer look at what we are doing and hopefully provide some assistance. It may not be easy. We don’t know what material the bowl was composed of (it may have shattered or rotted) so we could be facing a lot of the problems that surround theories of how the pyramids were built, especially if wooden tools surrounded the use of the dodecahedron.

Ultimately, our efforts are aimed at bringing a useful product into production and creating some jobs in the United States. However, to solve a historical mystery would be neat. We are confident we have found the answer, but our reasoning is fortified through engineering principles, and not a “smoking gun.” We think our historical efforts will help people understand and appreciate the technical sophistication of people outside our own century. Every time I begin to have a slight doubt, I take another look at the Roman Dodecahedron and recognize the proficiency of manufacturing these engineers possessed.

For more information please see the Roman Systems Engineering Web-site: www.romansystemsengineer-ing.com.

Page 11: EEWeb Pulse - Volume 9

Avago Technologies new AEAT-6600 Hall E ect Magnetic Encoder delivers optimal solutions for Robotic, Industrial and Medical systems designers.

• World’s highest resolution

• 16-bit absolute positiion through SSI

• Programmable Magnetic Rotary Encoder IC

• 16-pin TSSOP package

• Power down mode

New Encoder for the Worst Case Environments

Avago Technologies Motion Control Products

For more information and to requesta free sample go to: www.avagotech.com/motioncontrol

Page 12: EEWeb Pulse - Volume 9

EEWeb | Electrical Engineering Community Visit www.eeweb.com 12

Before TheyRuin Your Day

ControllingLatches

Ray SalemiVerification Consultant

In my last article (“Creating Combinatorial Logic (Part 1)”) we learned how to use procedural code to create complex combinational logic. We saw that we could save considerable space with an adder by creating our own logic within a procedural process. In this article we are going to examine a possible side effect of combinatorial procedures—unintended latches.

Unintended latches are bad. In addition to making dogs howl, causing children to cry, and curving your spine, they will create simulation mismatches between your RTL and gate level simulations, take up extra space in your FPGA, and screw up your timing analysis. You really don’t want unintended latches in your design.

Synthesis tools create unintended latches when we forget to handle all the conditions possible in our combinatorial code. Let’s look at an example of an unintended latch. This design is supposed to take eight bits of input and either increment or decrement it. We have an increment signal to allow us to increment the data and a decrement signal to decrement it. But we’ve forgotten the case where neither increment, nor decrement is raised:

17

18

19

20

21

22

23

24

25

26

27

28

29

ARCHITECTURE rtl OF inc_dec_vhd ISBEGIN process (all) begin if inc = ‘1’ then data_out <= std_logic_vector(unsigned(data_in) + 1); else if dec = ‘1’ then data_out <= std_logic_vector(unsigned(data_in) - 1); end if; end if; end process;END ARCHITECTURE rtl;

1

2

3

4

5

6

7

8

9

10

11

module inc_dec_sv(input [7:0] data_in, input inc, dec, output logic [7:0] data_out);

always_comb if (inc) data_out <= data_in + 1; else if (dec) data_out <= data_in - 1;

endmodule // inc_dec

data_in(7:0)

inc

dec

in outix27

in outix8

ix1

dat_out_latBus1(7:0)

LATRS_8_7_-1_set_1_reset_1_in

D

GQ

RSa(6:0)

cin

cout

b(6:0)d(6:0)

rtlc_3_add_0

in[0]

in[1]out

Figure 1

Page 13: EEWeb Pulse - Volume 9

EEWeb | Electrical Engineering Community Visit www.eeweb.com 13

TECHN

ICA

L ARTIC

LETECHNICAL ARTICLE

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

ARCHITECTURE rtl OF inc_dec_fixed_vhd ISBEGIN process (all) begin if inc = ‘1’ then data_out <= std_logic_vector(unsigned(data_in) + 1); else if dec = ‘1’ then data_out <= std_logic_vector(unsigned(data_in) - 1); else data_out <= data_in; end if;

end if; end process;END ARCHITECTURE rtl;

1

2

3

4

5

6

7

8

9

10

11

12

13

module inc_dec_sv(input [7:0] data_in, input inc, dec, output logic [7:0] data_out);

always_comb if (inc) data_out <= data_in + 1; else if (dec) data_out <= data_in - 1; else data_out <= data_in;

endmodule // inc_dec

ix3

oin

mode

a(7:0)d(7:0)

modgen_incdec_0

data_out

in[0]

in[1]out

ix30in[0]

in[1]out

cout

data_in(7:0)

dec

inc

in outix1

+1/-1

Figure 2

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

ARCHITECTURE rtl OF alu ISBEGIN

process (all) begin case op is when “00” => result <= A + B; when “01” => result <= unsigned(std_logic_vector(A) and std_logic_vector(B)); when “10” => result <= unsigned(std_logic_vector(A) xor std_logic_vector(B)); when others => -- no change on 11 end case ; end process;END ARCHITECTURE rtl;

1

2

3

4

5

6

7

8

9

10

11

12

13

module alu (input [1:0] op, input [7:0] A, B, output logic [7:0] result);

always_comb case (op) 2’b00: result = A + B; 2’b01: result = A & B; 2’b10: result = A ^ B; 2’b11: begin end// no change endcase // case (op)endmodule // alu

result_lat Bus1(7:0)

LATRS_8_7_-1_set_1_reset

D

GQ

RS

in(0)

in(1)out

ix37

in[0]

in[1]out

result_0n1s3_xorBus4(7:0)result_max_02Bus2(7:0)

a(7:0)

a(2)

LOR

LOR

b(1)

c(0)

cincout

b(7:0)d(7:0)

dresult_add8_01

in(0)

in(1)out

result_0n1s2_andBus3(7:0)

op(1:0)

A(7:0)

A(7:0)

in outix19

There you have it, a big fat latch right in the middle of your supposedly combinatorial logic. This latch appeared because we forgot to describe the case where both inc and dec are low. Since we didn’t tell our logic what should happen if both are low, the synthesis tool was forced to save that value in those cases, thus we have a latch controlled by the OR of both signals.

Notice that we did specify the case where both signals are high. This is because there is a priority to our IF statements. If the inc signal is high, then the dec is never checked. The synthesis tool did a bit of clever design here. It only used the inc as the control to the adder and it relied upon that fact that a low dec signal would block a decremented output at the latch.

So how do we fix this problem? We specify the ELSE case where the dec is low. Since dec only gets checked if inc is low, this handles the case where both are low. As we can see below, this removes the latch and returns us to combinatorial logic.

Intentional Latches

What about the case where we intend to latch our data? Can we just ignore all the states in our combinatorial logic then? The answer is yes, but with a caveat. Consider the ALU below. We want this ALU to maintain its value if the op is “11.” So we create the following case statement:

Figure 3

At first glance, this is perfect. We are getting exactly what we want, a MUX feeding a latch, and the latch is controlled by the adding of the two op signals. But, there is a problem here. Our latch has no reset. This means that a gate-level simulation and an RTL simulation won’t match up. The latch will either contain X at the start and not be cleared, or it will contain a default gate value such as zero. In either case, we would have trouble with this design if we wanted to confirm its behavior.

Page 14: EEWeb Pulse - Volume 9

EEWeb | Electrical Engineering Community Visit www.eeweb.com 14

TECHN

ICA

L ARTIC

LETECHNICAL ARTICLE

The solution is to explicitly add a reset to our design as shown here:

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

ARCHITECTURE rtl OF alu ISBEGIN

process (all) begin if reset = ‘0’then result <= (others => ‘0’); else case op is when “00” => result <= A + B; when “01” => result <= unsigned(std_logic_vector(A) and std_logic_vector(B)); when “10” => result <= unsigned(std_logic_vector(A) xor std_logic_vector(B)); when others => -- no change on 11 end case ; end if; end process;END ARCHITECTURE rtl;

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

module alu (input [1:0] op, input [7:0] A, B, input reset, output logic [7:0] result);

always_comb if (!reset) result = 0 else case (op) 2’b00: result = A + B; 2’b01: result = A & B; 2’b10: result = A ^ B; 2’b11: begin end// no change endcase // case (op)endmodule // alu

reset

op[10]

B(7:0)

A(7:0)

in outix29

result_lat Bus1(7:0)

LATRS_8_7_-1_set_1_reset

D

GQ

RS

in

in(0)

in(1)

ou

out

tix

result_0n1s2_andBus3(7:0)

in[0]

in[1]out

result_0n1s3_xorBus4(7:0)

result_max_02Bus2(7:0)

a(7:0)

a(2)

LOR

LOR

b(1)

c(0)

cin

b(7:0)d(7:0)

dresult_add8_01

in(0)

in(1)out

ix41 19

Figure 4

Now we’ve added a reset signal to lines 24 and 25 and this reset is reflected in the code. We have an explicit asynchronous reset attached to our latch. Now we can reset it when we start our simulation, and we’ll get the same results whether we simulate RTL or gates.

Summary

When we use procedural code to create combinatorial logic, we need to be careful to define all the paths

through the logic. If we don’t, we can unintentionally create latches in our design. These latches can screw up our simulation results, timing results, and area results. Most synthesis tools will warn you if they are creating latches. Be sure to take those warnings seriously and either remove latches from your designs or give them resets.

About the Author

Ray Salemi is a veteran of the EDA industry and has been working with Hardware Description Languages since he joined Gateway Design Automation—the company that invented Verilog. Over the course of his career he has worked at Cadence, Sun Microsystems, and Mentor Graphics. Ray is currently an Applications Engineer Consultant with Mentor Graphics.

Page 15: EEWeb Pulse - Volume 9

Wideband, Low-Power, Ultra-High Dynamic Range Differential AmplifierISL55210The ISL55210 is a very wide band, Fully Differential Amplifier (FDA) intended for high dynamic range ADC input interface applications. This voltage feedback FDA design includes an independent output common mode voltage control.

Intended for very high dynamic range ADC interface applications, at the lowest quiescent power (115mW), the ISL55210 offers a 4.0GHz Gain Bandwidth Product with a very low input noise of 0.85nV/√(Hz). In a balanced differential I/O configuration, with 2VP-P output into a 200Ω load configured for a gain of 15dB, the IM3 terms are <-100dBc through 110MHz. With a minimum operating gain of 2V/V (6dB), the ISL55210 supports a wide range of higher gains with minimal BW or SFDR degradation. Its ultra high differential slew rate of 5,600V/µs ensures clean large signal SFDR performance or a fast settling step response.

The ISL55210 requires only a single 3.3V (max 4.2V) power supply with 35mA typical quiescent current. This industry leading low current solution can be further reduced when needed using the optional power shutdown to <0.4mA supply current. External feedback and gain setting resistors give maximum flexibility and accuracy. A companion device, the ISL55211, includes on-chip feedback and 3 possible gain setting connections where an internally fixed gain solution is preferred. The ISL55210 is available in a leadless, 16 Ld TQFN package and is specified for operation over the -40ºC to +85ºC ambient temperature range.

Features• Gain Bandwidth Product . . . . . . . . . . . . . . . . . . . . . . . . 4.0GHz

• Input Voltage Noise . . . . . . . . . . . . . . . . . . . . . . . 0.85nV/√(Hz)

• Differential Slew Rate . . . . . . . . . . . . . . . . . . . . . . . 5,600V/µs

• 2VP-P, 2-tone IM3 (200Ω) 100MHz . . . . . . . . . . . . . . -109dBc

• Supply Voltage Range . . . . . . . . . . . . . . . . . . . . . . 3.0V to 4.2V

• Quiescent Power (3.3V Supply) . . . . . . . . . . . . . . . . . .115mW

Applications• Low Power, High Dynamic Range ADC Interface

• Differential Mixer Output Amplifier

• SAW Filter Pre/Post Driver

• Differential Comms-DAC Output Driver

Related Products• ISL55211 - Fixed Gain Version of the ISL55210

• ISLA112P50 - 12-bit, 500MSPS ADC (<500mW)

• ISLA214P50 - 14-bit, 500MSPS ADC (<850mW)

SNRFS = 64.9dBFSHD2 = -83dBcHD3 = -84dBcENOBFS = 10.5 Bits

FIGURE 1. TYPICAL APPLICATION CIRCUIT

0.1µF

1:2

ISL55210

+3.3V

+

-

Vcm

20pF

20pF

33nH

33nH

V+

V-

ISLA112P50

ADT4-1WT

0.1µF

0.1µF

Vb

CLK500MSPS

105MHz SINGLE TONE180mVpp for -1dBFS

35mA (115mW)

Vi

12 Bit<500mW

500kHz

Vdiff

Vi

Vdiff= 17.3dB gain

180MHz SPAN

20log ( )

PD

100

50

100

495

495

210

210

40.2

10k

40.2

March 2, 2011FN7811.0

Get the Datasheet and Order Samples

http://www.intersil.com

Intersil (and design) is a registered trademark of Intersil Americas Inc. Copyright Intersil Americas Inc. 2011All Rights Reserved. All other trademarks mentioned are the property of their respective owners.

Page 16: EEWeb Pulse - Volume 9

EEWeb | Electrical Engineering Community Visit www.eeweb.com 16

forSensor-InputProcessingDesigns

Op AmpsSelecting Precision

Tamara SchmitzSenior Principal Applications Engineerand Global Training Coordinator

As the basic building blocks used in an extensive array of consumer, industrial, scientific, and other applications, Operation

Amplifiers (Op Amps) are among the most widely used electronic devices, and for most low-end applications, the requirements are straightforward and the device choice is relatively easy. However, there are challenges to selecting the optimal precision op amps for implementing many higher-end sensor-input processing designs.

The op amp selection can be especially challenging when the types of sensors and/or the deployment environments create special demands such as ultra low-power, low-noise, zero-drift, rail-to-rail input and output, solid thermal stability, and the repeatability to deliver consistent performance across thousands of readings and/or in harsh operating conditions.

For precision op amps to be used in complex sensor-based applications, designers need to look at multiple aspects to get the best combination of specs and performance, while balancing cost considerations as

well. In particular, chopper-stabilized op amps (Zero Drift Amplifiers) offer excellent solutions for ultralow offset voltage and zero drift over time and temperature. Chopper op amps achieve high DC precision through a continuously running calibration mechanism that is implemented on-chip.

Although there is no easy “one-size-fits-all” formula, the following examples show how the op amp selection can help achieve critical application objectives.

Weigh Scales & Pressure Sensors

Weigh scales and pressure sensing applications typically use a highly sensitive analog front-end sensor, such as a strain gage, that can provide very accurate measurements but output very tiny signals. For high-precision weigh scale applications, designers may use a bridge sensor network, in which individual op amps are paired with gain resistors chosen to provide common mode extraction and to deliver 10-20 PPM of accuracy. Such advanced “roll your own” designs require stringent performance from the op amps to

Page 17: EEWeb Pulse - Volume 9

EEWeb | Electrical Engineering Community Visit www.eeweb.com 17

TECHN

ICA

L ARTIC

LETECHNICAL ARTICLE

extract very small signals riding on relatively large inputs.

In order to successfully amplify these small signals, the op amp must have ultralow input offset voltage and minimal offset temperature drift, with wide gain bandwidth and rail-to-rail input/output swing. (Rail-to-rail input swing is not needed for small input signals, of course.) It is also critical for the op amp to offer very stable ultralow frequency noise characteristics at close to DC conditions such as 0.1Hz to 10Hz.

For high-precision weigh scale bridge network sensor applications, designers should look for a single zero-drift op amp that features very low input offset voltage and low noise with no 1/f to 1mHz.

As illustrated in Figure 1, a good example is the chopper-stabilized zero-drift ISL28134 op amp delivers excellent noise voltage (nV) across the range from 10Hz down to 0.1Hz, thus providing virtually flat noise band to DC level. Leveraging the inherently stable chopper-based design, the ISL28134 specification

Time (s)

Volta

ge (n

V)

0

300

200

100

0

-100

-200

-3001 2 3 4 5 6 7 8 9 10

Figure 1: ISL28134: 0.1Hz to 10Hz Peak-to-Peak Noise Voltage

actually includes a maximum noise gain of 10 PPM (Seven Sigma) to offer optimal performance for high-gain applications while minimizing noise gain error.

For portable weigh scale applications where low-power is also an important consideration, designers may want to consider the ISL28133, which combines ultralow micropower (25µA max) and low voltage offset (6µV max) characteristics with a chopper-

stabilized design that delivers flat noise band to DC and near-zero drift. For other strain gage applications that need to use higher reference voltages, such as 10V instead of 5V, designers should also consider the ISL28217 or ISL28227.

Current Sensing & Control Applications

There are a number of different ways to sense current levels depending on the specific application requirements. These include shunt sensors using resistors, Hall Effect sensors and current transformers. In this example, we will look at op amp requirements for use in shunt sensor applications. Today’s shunt sensor techniques have evolved to provide a high level of accuracy and also offer the advantages of lower cost and applicability across a wide range of requirements and deployment scenarios.

Basically, the shunt sense methodology places a resistor in the path of the power supply source being measured. Because the resistor drop impacts power efficiency, it is generally desirable to use the smallest resistor value possible. Once again, this means that the current sensing application must amplify a relatively small differential power drop in resistance into a large gain.

Therefore the op amp circuit must offer high common mode range and high accuracy. Low power is also an important requirement, especially for current sensing in battery applications. Embedded current sensing circuits also need to be relatively inexpensive so as to not add significantly to the BOM cost of the product that is being monitored.

In addition, for many industrial, utility and communications current sensing applications, the op amp needs to minimize drift over extremes of temperature and extended time periods. For example, current sensors deployed on top of utility poles are exposed to relatively harsh environmental swings and need to provide consistent performance over long periods of time without incurring the expense maintenance requirements.

Many shunt based current sensing applications are built using op amps such as the ISL28133 or ISL28233, which are chopper-based, zero-drift amplifiers that

Page 18: EEWeb Pulse - Volume 9

EEWeb | Electrical Engineering Community Visit www.eeweb.com 18

TECHN

ICA

L ARTIC

LETECHNICAL ARTICLE

combine both low power and high accuracy in the smallest package size on the market. In addition, as illustrated in Figure 2, these chopper-stabilized CMOS devices provide excellent low drift characteristics over both temperature extremes and extended time periods.

Temperature (ºC)

V OS

(nV)

-40

8

7

6

5

4

3

2

1

0-20 0 20 40 60 80 100 120

ISL28133

Months

V OS

DRIF

T (n

V)

0

0.500.400.300.200.100.00

-0.10-0.20-0.30-0.40-0.50

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15

ISL28233

Figure 2: Minimizing Vos Drift over Temperature and Time, the ISL28133 is a single chopper-stabilized op amp and the ISL28233 is a dual of the same amp.

Current sensing is already one of the most pervasive applications used across a wide range of industry segments (consumer, industrial, communications, utility, etc.) and it is only becoming more important with the proliferation of new electronic devices and the increasing emphasis on “green” power management techniques. The chopper-stabilized precision op amp devices described above offer very low offset voltage and offset drift, rail-to-rail input and output, and low

power consumption needed to support the escalating demand for embedded current sensing applications.

Handheld Toxic Environment Safety Monitor

The final application example brings together a number of different sensor inputs within a single device and illustrates how well-designed op amp circuitry can help to efficiently handle such a multi-sensor signal chain within a compact portable device. Handheld devices used to monitor hazardous environments are increasingly combining multiple sensors in order to minimize size while maximizing capabilities. Such a device might combine a combustible gas sensor, oxygen sensor and catalytic heat band sensor.

As illustrated by the block diagram in Figure 3, using multiple instances of an ultralow power op amp such as the ISL28194 provides advantages for multi-sensor signal chains within a small handheld device.

Because these safety devices typically need to operate in an “always-on” mode, the ISL28194 ultralow micro-power profile (450nA max and 2nA when idle) allows for extended battery life without compromising on performance. The ISL28194 is designed for single-supply operation from 1.8V to 5.5V, making it suitable for handheld devices powered by two 1.5V alkaline batteries. In addition, because the multiple ISL28194 signal chains can feed into a single ADC (ISL26132), the overall system-level circuit complexity and parts count can be minimized.

Because the combustible gas sensors, oxygen sensors and heat sensors can typically take as much as 10 seconds to settle, the bandwidth of the op amps is less critical but they need to have a constant bias on the sensors. Also, as with the previous examples, the outputs from the sensors tend to be very small signals so the op amp must provide peak-to-peak noise flatness and drift characteristics over a large gain step.

Widening Range of Op Amp Alternatives Is Ready

Already among the most prolifically deployed electronic components in the world, the usage of op amps continues to increase. The op amp deployment

Page 19: EEWeb Pulse - Volume 9

EEWeb | Electrical Engineering Community Visit www.eeweb.com 19

TECHN

ICA

L ARTIC

LETECHNICAL ARTICLE

curve is exponentially accelerating as more devices incorporate analog sensor functionality, ranging from the examples described in this article to the exploding use of millions of motion, proximity, light and other sensors in industrial and consumer devices.

As with any good design practices, the first criteria always must be to achieve the system’s operational objectives for accuracy and performance, so low-noise, low-drift and precision in high-gain scenarios will always be critical factors for success. Fortunately, system designers are now able to choose from a widening range of precision op amp alternatives that allow them to effectively meet even the most stringent

Low Power, Precision Signal Chain

ChargingSafety

BatteryCharger

System PowerManagement

Battery

OxygenSensor

Heat BeadSensor

FuelGauge

VREF

EA

Buffer/Driver Amp

Buffer Amp

Transimpedance Amps

Transimpedance Amps

Transimpedance Amps

CombustibleGas Sensor

Gain Amps

Gain AmpsSP1 Bus

Gain Amps

ER

EW

USBHot Plug

RS-232

ADC

AlarmSpeaker

EEPROM

ISL28194/5

ISL28194/5

ISL28194/5

ISL28194/5

EL8170/72ISL28230

ICL3238E

ISL6118/19

ISL21070/80ISL60002

LDO:ISL80101/A and ISL9021Buck Converters: ISL8009A and ISL9104

Fuel Gauge: ISL6295LiIon charge: ISL9205Charging Safety: ISL9200, ISL9212

ISL26132

ISL12030

ISL88001/2/3

UserInterface

Handheld/PortableDisplay

Integrated Solution

Supervisor

RTC

performance and accuracy requirements while also balancing power usage, size, parts count and overall cost.

About the Author

Tamara Schmitz is a Senior Principal Applications Engineer and Global Technical Training Coordinator at Intersil Corporation, where she has been employed since 2007. Tamara holds a BSEE and MSEE in electrical engineering and a PhD in RF CMOS Circuit Design from Stanford University. From 1997 until 2002 she was a lecturer in electrical engineering at Stanford; from 2002 until 2007, she served as assistant professor of electrical engineering at San Jose State University.

Figure 3: Multi-sensor Handheld Toxic Environment Safety Monitor

Page 20: EEWeb Pulse - Volume 9

EEWeb | Electrical Engineering Community Visit www.eeweb.com 20

RETURN TO

ZERORETURN TO ZERO

Page 21: EEWeb Pulse - Volume 9

EEWeb | Electrical Engineering Community Visit www.eeweb.com 21

RETURN TO

ZERORETURN TO ZERO

Page 22: EEWeb Pulse - Volume 9

EEWeb | Electrical Engineering Community Visit www.eeweb.com 22

RETURN TO

ZERORETURN TO ZERO

EEWebElectrical Engineering Community

Contact Us For Advertising Opportunities

[email protected]

www.eeweb.com/advertising