Top Banner
XAPP1187 (v1.0) 2014 2 21 japan .xilinx.com 1 © Copyright 2014 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Vivado, Zynq, and other designated brands included herein are trademarks of Xilinx in the United States and other countries. All other trademarks are the property of their respective owners. 概要 放送業界向けの映像機器には、 SMPTE (Society of Motion Picture and Television Engineers) SDI (Serial Digital Interface) 規格が広く使用されています。 このインターフェイスは、 放送局スタジオや映像制作 会社で使用されており、 非圧縮のデジタル映像と多重音声チャネルなどの埋め込まれた補助データを共 に伝送し ます。 ザイリンクスの SMPTE SD/HD/3G-SDI LogicCORE™ IP は、 デバイ ス固有の制御機能を持たない一 般的な SDI 受信/送信データパスです。 このアプ リ ケーシ ョ ン ノ ー ト では、 完全な SDI インターフェイ スを構築するために、 Virtex®-7 GTH ト ランシーバーと SMPTE SD/HD/3G-SDI LogicCORE IP を合 わせて使用するための制御ロジッ クを含むモジュールを提供します。 また、 ザイ リ ンク ス® Virtex-7 FPGA VC709 評価ボード を使用する SDI の設計例をい く つか紹介し ます。 このアプリケーション ノート で使用される用語については、 62 ページの 「付録 A : 用語解説」 を参照 してください。 SMPTE 規格は 64 ページの 「付録 B : 参考資料」 に一覧表示し、 SMPTE の資料番号 も 記載しています。 はじめに ザイリンクスの SMPTE SD/HD/3G-SDI LogicCORE IP (以下、 SDI コアという ) は、 Virtex-7 GTH ラ ン シーバー と 接続す る こ と で、 SMPTE SD-SDIHD-SDI、 および 3G-SDI 規格をサポートする SDI インターフェイスを実装できます。 SDI コアと GTH ト ランシーバーを接続して完全な SDI インター フ ェ イ ス を実装するには、 ロ ジ ッ ク を追加する必要があ り ます。 こ のアプ リ ケーシ ョ ン ノ ー ト では、 こ の付加的な制御ロジックとインターフェイス ロジッ クについて説明し、必要となる制御モジュールおよ びインターフェイス モジュールを Verilog コードで提供します。 デバ イ ス固有の制御 ロ ジ ッ ク の主な機能は次の と お り です。 GTH ト ラ ン シーバーの リ セ ッ ト ロジック 3 つの SDI 規格をサポートするために、 GTH RX/TX シリアル ク ロ ッ ク 分周器を動的に切 り 替え る機能 HD-SDI および 3G-SDI 規格の 2 つの異な る ビ ッ ト レート をサポートするために、 TX の基準ク ロ ッ ク を動的に切 り 替え る機能 1.485Gb/s および 1.485/1.001Gb/s (HD-SDI モー ド の場合) 2.97Gb/s および 2.97/1.001Gb/s (3G-SDI モー ド の場合) SD-SDI モードの場合にデータを回復させるデータ リカバリ ユニ ッ ト RX で受信しているビッ ト レート (1/1 ビット レート信号または 1/1.001 ビット レ ー ト 信号) を判 断する RX ビット レ ー ト 検出機能 このアプリケーション ノ ー ト は、 GTH ト ランシーバー用制御モジュールのインスタンスと SDI コアの インスタンス、およびそれらに必要な接続を含むラッパー ファイルも提供しています。 これを利用する こ と で、 SDI インターフェイスを簡単に構築できます。 このアプリケーション ノート で使用する用語を次に示します。 1 の簡略ブ ロ ッ ク 図では、 さ ま ざ ま な コンポーネン ト を組み合わせてどのよ う に SDI インターフェイスが形成されているかを示しています。 SDI コアとは、 Vivado® IP カタログでから入手可能な SMPTE SD/HD/3G-SDI コアのことをいい ます。 制御モジュールとは、 GTH ト ラ ンシーバーが SMPTE SDI コアを使用して SDI インターフェイス を実装する際に必要となるさまざまなデバイス固有の機能を実装するモジュールです。 このアプリ ケーシ ョ ン ノートでは、 制御モジュールをソース コード形式で提供します。 アプリケーション ノート : Virtex-7 ファミリ XAPP1187 (v1.0) 2014 2 21 Virtex-7 GTH ト ランシーバーを使用した SMPTE SDI インターフェイスの実装 著者 : John Snow
65

Virtex-7 GTH トランシーバーを使用した ਠSMPTE SDI ......Virtex-7 GTH トランシーバーを使用して SDI インターフェイスを実現XAPP1187 (v1.0) 2014 年

Jan 27, 2021

Download

Documents

dariahiddleston
Welcome message from author
This document is posted to help you gain knowledge. Please leave a comment to let me know what you think about it! Share it to your friends and learn new things together.
Transcript
  • XAPP1187 (v1.0) 2014 年 2 月 21 日 japan.xilinx.com 1

    © Copyright 2014 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Vivado, Zynq, and other designated brands included herein are trademarks of Xilinx in the United States and other countries. All other trademarks are the property of their respective owners.

    概要 放送業界向けの映像機器には、SMPTE (Society of Motion Picture and Television Engineers) SDI (SerialDigital Interface) 規格が広く使用されています。 このインターフェイスは、 放送局スタジオや映像制作会社で使用されており、 非圧縮のデジタル映像と多重音声チャネルなどの埋め込まれた補助データを共に伝送します。

    ザイ リ ンクスの SMPTE SD/HD/3G-SDI LogicCORE™ IP は、 デバイス固有の制御機能を持たない一般的な SDI 受信/送信データパスです。 このアプリ ケーシ ョ ン ノートでは、完全な SDI インターフェイスを構築するために、 Virtex®-7 GTH ト ランシーバーと SMPTE SD/HD/3G-SDI LogicCORE IP を合わせて使用するための制御ロジッ クを含むモジュールを提供します。 また、 ザイ リ ンクス® の Virtex-7FPGA VC709 評価ボードを使用する SDI の設計例をいくつか紹介します。このアプ リ ケーシ ョ ン ノート で使用される用語については、 62 ページの 「付録 A : 用語解説」 を参照して ください。 SMPTE 規格は 64 ページの 「付録 B : 参考資料」 に一覧表示し、 SMPTE の資料番号も記載しています。

    はじめに ザイ リ ンクスの SMPTE SD/HD/3G-SDI LogicCORE IP (以下、 SDI コアという ) は、 Virtex-7 GTH トランシーバーと接続するこ とで、 SMPTE SD-SDI、 HD-SDI、 および 3G-SDI 規格をサポートする SDIインターフェイスを実装できます。 SDI コアと GTH ト ランシーバーを接続して完全な SDI インターフェイスを実装するには、 ロジッ クを追加する必要があ り ます。 このアプリ ケーシ ョ ン ノートでは、 この付加的な制御ロジッ ク と インターフェイス ロジッ クについて説明し、必要となる制御モジュールおよびインターフェイス モジュールを Verilog コードで提供します。

    デバイス固有の制御ロジッ クの主な機能は次のとおりです。

    • GTH ト ランシーバーのリセッ ト ロジッ ク• 3 つの SDI 規格をサポートするために、 GTH RX/TX シ リ アル ク ロ ッ ク分周器を動的に切り替え

    る機能

    • HD-SDI および 3G-SDI 規格の 2 つの異なるビッ ト レート をサポートするために、 TX の基準クロ ッ クを動的に切り替える機能 • 1.485Gb/s および 1.485/1.001Gb/s (HD-SDI モードの場合)• 2.97Gb/s および 2.97/1.001Gb/s (3G-SDI モードの場合)

    • SD-SDI モードの場合にデータを回復させるデータ リ カバリ ユニッ ト• RX で受信しているビッ ト レート (1/1 ビッ ト レート信号または 1/1.001 ビッ ト レート信号) を判

    断する RX ビッ ト レート検出機能このアプリ ケーシ ョ ン ノートは、 GTH ト ランシーバー用制御モジュールのインスタンス と SDI コアのインスタンス、およびそれらに必要な接続を含むラ ッパー ファ イルも提供しています。 これを利用するこ とで、 SDI インターフェイスを簡単に構築できます。このアプリ ケーシ ョ ン ノートで使用する用語を次に示します。図 1 の簡略ブロッ ク図では、 さまざまなコンポーネン ト を組み合わせてどのよ うに SDI インターフェイスが形成されているかを示しています。 • SDI コアとは、 Vivado® IP カタログでから入手可能な SMPTE SD/HD/3G-SDI コアのこ とをいい

    ます。 • 制御モジュールとは、 GTH ト ランシーバーが SMPTE SDI コアを使用して SDI インターフェイス

    を実装する際に必要となるさまざまなデバイス固有の機能を実装するモジュールです。 このアプリケーシ ョ ン ノートでは、 制御モジュールをソース コード形式で提供します。

    アプリケーシ ョ ン ノート : Virtex-7 ファ ミ リ

    XAPP1187 (v1.0) 2014 年 2 月 21 日

    Virtex-7 GTH ト ランシーバーを使用した SMPTE SDI インターフェイスの実装著者 : John Snow

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Application_Notes&docId=XAPP1187&Title=Virtex-7%20GTH%20%26%2312488%3B%26%2312521%3B%26%2312531%3B%26%2312471%3B%26%2312540%3B%26%2312496%3B%26%2312540%3B%26%2312434%3B%26%2320351%3B%26%2329992%3B%26%2312375%3B%26%2312383%3B%20SMPTE%20SDI%20%26%2312452%3B%26%2312531%3B%26%2312479%3B%26%2312540%3B%26%2312501%3B%26%2312455%3B%26%2312452%3B%26%2312473%3B%26%2312398%3B%26%2323455%3B%26%2335013%3B&releaseVersion=1.0&docPage=1

  • はじめに

    XAPP1187 (v1.0) 2014 年 2 月 21 日 japan.xilinx.com 2

    • SDI ラ ッパーは、 SDI コアや制御モジュールをインスタンシエート して相互接続するためのラ ッパー モジュールです。 このアプリ ケーシ ョ ン ノートでは、 SDI ラ ッパーをソース コード形式で提供します。

    • GTH ラ ッパーは、 GTHE2_CHANNEL ト ランシーバーのインスタンスを 1 つ含む Verilog モジュールです。 このラ ッパーは、 Vivado IP カタ ログで利用可能な 7 Series FPGAs TransceiversWizard によって生成されます。

    • GTH コモン ラ ッパーは、GTH クワ ッ ドの QPLL が含まれている GTHE2_COMMON ブロ ッ クのインスタンスを 1 つ含む Verilog または VHDL モジュールです。このラ ッパーは、7 Series FPGAsTransceivers Wizard によって GTH ラ ッパーと共に生成されます。SDI アプリ ケーシ ョ ンで QPLLが使用されない場合、 このラ ッパーは不要です。

    SDI ラ ッパーには、制御モジュールのインスタンス と SDI コアのインスタンスが 1 つずつ含まれています。 SDI コアには、 SDI RX データパス と SDI TX データパスの両方が含まれます。 通常、 ラ ッパー モジュールは同じ GTH ト ランシーバー内の RX/TX ユニッ トへ接続されますが、 この場合はこれに該当しません。 異なる GTH ト ランシーバーの RX/TX ユニッ ト を同じ SDI ラ ッパーへ接続できます。 SDIRX のみ、 あるいは SDI TX のみ必要な場合は、合成段階で制御モジュールと SDI コアの未使用部分が最適化によって除去されます。

    このアプリ ケーシ ョ ン ノートでは、SDI コアを使用する 2 つのデモ アプリ ケーシ ョ ン例を紹介します。これらのアプ リ ケーシ ョ ンは VC709 評価ボード を使用して実行されます。 SDI の物理的なインターフェイス と して、 Inrevium 社製 SDI FMC メザニン ボード も必要です。

    X-Ref Target - Figure 1

    図 1 : 一般的な SDI RX/TX インターフェイスのブロック図

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Application_Notes&docId=XAPP1187&Title=Virtex-7%20GTH%20%26%2312488%3B%26%2312521%3B%26%2312531%3B%26%2312471%3B%26%2312540%3B%26%2312496%3B%26%2312540%3B%26%2312434%3B%26%2320351%3B%26%2329992%3B%26%2312375%3B%26%2312383%3B%20SMPTE%20SDI%20%26%2312452%3B%26%2312531%3B%26%2312479%3B%26%2312540%3B%26%2312501%3B%26%2312455%3B%26%2312452%3B%26%2312473%3B%26%2312398%3B%26%2323455%3B%26%2335013%3B&releaseVersion=1.0&docPage=2

  • Virtex-7 GTH ト ランシーバーを使用して SDI インターフェイスを実現

    XAPP1187 (v1.0) 2014 年 2 月 21 日 japan.xilinx.com 3

    Virtex-7 GTH ト ランシーバーを使用して SDI インターフェイスを実現

    このセクシ ョ ンでは、『7 シ リーズ FPGA GTX/GTH ト ランシーバー ユーザー ガイ ド』 (UG476) [参照 1]を補足する内容を提供します。 ユーザー ガイ ドではないため、 SDI アプ リ ケーシ ョ ンの実現に必要なGTH ト ランシーバーの機能および動作要件を中心に説明します。このアプリ ケーシ ョ ン ノートの GTH ト ランシーバー ポートに関しては、『7 シ リーズ FPGA GTX/GTHト ランシーバー ユーザー ガイ ド』 (UG476) [参照 1] で使用されている命名規則に従います。 この規則は、 ポー ト のベース ネームにのみ使用します。 GTH ラ ッパーの作成に 7 Series FPGAs TransceiversWizard を使用した場合、すべての入力ポートには名前の後ろに _in が追加され、すべての出力ポートには _out が追加されます。 たとえば、 このアプリ ケーシ ョ ン内で txrate ポート名について言及する場合、GTH ラ ッパー内での実際の名前は txrate_in とな り ます。Vivado 2013.3 ツールで提供される 7 Series FPGAs Transceivers Wizard バージ ョ ン 3 以降、GTH ラ ッパーのすべてのポート名が小文字で表記されます。ISE ツール バージ ョ ンのウ ィザードでは、GTH ラ ッパーのポート名は大文字で表記されます。 このアプリ ケーシ ョ ン ノートは、 Vivado ツール バージ ョ ン3.0 およびそれ以降の 7 Series FPGAs Transceivers Wizard を対象と しています。GTH ト ランシーバーを使用するアプリ ケーシ ョ ンで必要となる ク ロ ッ クがいくつかあ り ます。 データス ト リームにデータを追加した り削除してク ロ ッ クを補正できない SDI プロ ト コルの場合は、 アプ リケーシ ョ ン内でク ロ ッ クがどのよ うに生成および使用されるかに細心の注意が必要です。 GTH ト ランシーバーを駆動するには基準クロ ッ クが必要です。GTH ト ランシーバー クワ ッ ドにある PLL (位相ロック ループ) が基準クロ ッ クを使用して、各ト ランシーバーの受信部および送信部用のシ リ アル ク ロ ッ クを生成します。 「GTH ト ランシーバーの基準クロ ッ ク」 で詳し く説明するよ うに、 GTH ト ランス ミ ッターのシ リ アル ビッ ト レートは、 供給される基準クロ ッ クの整数倍とな り ます。 さ らに、 SDI ト ランス ミ ッ ター データパスの入力に与えられるビデオ データ レートは、GTH ト ランス ミ ッ ターで使用される基準クロ ッ ク周波数と正確に一致する (または正確な整数倍となる) 必要があ り ます。 したがって、送信されるビデオ ス ト リームのデータ レートへ周波数が確実に固定するよ うに、 ト ランス ミ ッ ターの基準クロ ッ クを生成する設計を行う必要があ り ます。

    GTH ト ランス ミ ッ ターは、 その txoutclk ポートへ供給されるデータのワード レート と まった く同じ周波数のク ロ ッ クを txdata に出力します。 この txoutclk は、 シ リ アル ク ロ ッ クが PLL によってワードレート と等し くなるよ うに分周されるこ とで、 GTH ト ランス ミ ッ ター内で生成されます。 大抵のアプリ ケーシ ョ ンでは、 GTH ト ランシーバーからの txoutclk は、 グローバル (BUFG) または水平 (BUFH)ク ロ ッ ク バッファーを介し、 その後 SDI ト ランス ミ ッ ター データ パスおよび GTH ト ランス ミ ッ ターの txusrclk および txusrclk2 ク ロ ッ ク入力へのクロ ッ ク供給に使用されます。 txoutclk から直接派生したクロ ッ ク以外も、SDI ト ランス ミ ッ ター データパスおよび GTH ト ランス ミ ッ ターの txusrclk ポートと txusrclk2 ポー ト のク ロ ッ ク ソース と して使用できます。 GTH ト ランス ミ ッ ターの浅い TX バッファーは、 txdata ポートに供給されるデータ と GTH ト ランス ミ ッ ターの内部クロ ッ ク間の位相差を許容します。 しかし、入力されるデータ と GTH ト ランス ミ ッ ターの内部クロ ッ ク周波数 (txoutclk で表される) 間の周波数差は、 即座に TX バッファーのアンダーフロー /オーバーフローを引き起こすため、GTH ト ランス ミ ッ ターで生成されるシ リ アル ビッ ト ス ト リームにエラーが生じます。したがって、GTHト ラ ンス ミ ッ ターの txdata ポー ト へ供給されるデータ ス ト リームのデータ レー ト (txusrclk およびtxusrclk2 ク ロ ッ クの周波数で表される) と GTH ト ランス ミ ッ ターの内部データ レート ( ト ランス ミ ッターの基準クロ ッ クで設定され、 xoutclk 周波数で表される) は、 正確に一致させる必要があ り ます。ただし、 GTH レシーバーの基準クロ ッ クは入力される SDI 信号のビッ ト レート と正確な関係を持つ必要はあ り ません。 これは、GTH レシーバーのクロ ッ ク データ リ カバリ (CDR) ユニッ トが、基準クロ ック周波数による設定どおりに公称ビッ ト レートから最大 ±1,250ppm でビッ ト レート を受信できるためです。 このため、入力される SDI 信号と正確な周波数関係を持たないローカルのオシレーターでレシーバー基準クロ ッ クが生成可能になり ます。 GTH レシーバーは、 入力される SDI ビッ ト レートに周波数ロッ ク された リ カバリ ク ロ ッ クを生成します。 このクロ ッ クは、 GTH ト ランシーバーの rxoutclk ポートの出力です。 このアプリ ケーシ ョ ン ノートで後ほど詳し く説明しますが、 rxoutclk は、 HD-SDI および 3G-SDI 信号を受信する際の真のリ カバリ ク ロ ッ クであ り、 SD-SDI 信号を受信する際のクロ ッ クではあ り ません。 通常、 rxoutclk は、 グローバルまたは水平クロ ッ ク バッファーを介し、 その後 GTH レシーバーの rxusrclk および rxusrclk2 ポートへ供給されて、SDI レシーバー データパス用のクロ ッ ク として使用されます。

    SDI アプ リ ケーシ ョ ンには、 も う 1 つク ロ ッ クが必要です。 これはフ リーランニングの固定周波数クロ ッ クであ り、GTH ト ランシーバーの DRP (ダイナミ ッ ク リ コンフ ィギュレーシ ョ ン ポート ) 用クロ ック と して使用されます。通常、 これと同じクロ ッ クが SDI ラ ッパーの制御モジュールに供給され、 タイミ ング制御に使用されます。 ザイ リ ンクスでは 10MHz 以上にするこ とを推奨しています。 このクロ ックの周波数は、SDI アプリ ケーシ ョ ンのその他のクロ ッ クやデータ レートに対して特定の関連性を持つ必要はあ り ません。 SDI モードを変更した際に、 このクロ ッ クの周波数を変更しないでください。 常に同じ公称周波数で動作する必要があ り ます。 また、 SDI アプリ ケーシ ョ ンがアクティブな間は停止させるこ と もできません。 このクロ ッ クは、 デバイス内のすべての SDI インターフェイスで使用可能です。

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Application_Notes&docId=XAPP1187&Title=Virtex-7%20GTH%20%26%2312488%3B%26%2312521%3B%26%2312531%3B%26%2312471%3B%26%2312540%3B%26%2312496%3B%26%2312540%3B%26%2312434%3B%26%2320351%3B%26%2329992%3B%26%2312375%3B%26%2312383%3B%20SMPTE%20SDI%20%26%2312452%3B%26%2312531%3B%26%2312479%3B%26%2312540%3B%26%2312501%3B%26%2312455%3B%26%2312452%3B%26%2312473%3B%26%2312398%3B%26%2323455%3B%26%2335013%3B&releaseVersion=1.0&docPage=3

  • Virtex-7 GTH ト ランシーバーを使用して SDI インターフェイスを実現

    XAPP1187 (v1.0) 2014 年 2 月 21 日 japan.xilinx.com 4

    GTH ト ランシーバーの基準クロックVirtex-7 GTH ト ラ ンシーバーは、 ク ワ ッ ド に分け られています。 各ク ワ ッ ド には 4 つのGTHE2_CHANNEL ト ランシーバー プリ ミ ティブがあ り、各 GTHE2_COMMON プリ ミ ティブにはクワッ ド PLL (QPLL) が 1 つずつ含まれています (図 2 を参照)。QPLL で生成されたクロ ッ クは、クワ ッド内の 4 つすべての ト ラ ンシーバーへ分配されます。 各 GTHE2_CHANNEL には、 チャネル PLL(CPLL) と呼ばれる専用の PLL があ り、その ト ランシーバーの RX および TX へのみクロ ッ クを供給できます。 クワ ッ ド内の各 RX と TX ユニッ トでは、 ク ロ ッ ク ソース と して QPLL または CPLL のいずれを使用すべきかを個別に設定できます。 さ らに、 この RX/TX ユニッ トは、 ク ロ ッ ク ソースを QPLLと CPLL 間で動的に切り替え可能です。 このコンフ ィギュレーシ ョ ンと動的切り替え機能は、 SDI アプリ ケーシ ョ ンに特に有効です。

    重要 : -1 スピード グレード Virtex-7 FPGA デバイスの GTH ト ランシーバー クワ ッ ドにある QPLL は、SDI ビッ ト レートに必要な周波数に対応していません。-1 スピード グレード デバイスで SDI インターフェイスに使用される GTH ト ランシーバー用にシ リ アル ク ロ ッ クを生成するには、 CPLL しか使用できません。 -2 またはそれ以上のスピード グレード デバイスの QPLL は SDI ビッ ト レートに対応しているため、 このよ う な高速デバイスでは QPLL と CPLL の両方を使用して SDI インターフェイスのGTH ト ランシーバー用シ リ アル ク ロ ッ クを生成できます。 -1 スピード グレード デバイスの場合、 多くの SDI アプリ ケーシ ョ ンで QPLL を利用できず、RX ユニッ ト または TX ユニッ トのいずれかのみを使用できますが、 これらユニッ ト を同時に用いるこ とはできません。 これは、 -1 スピード グレード デバイスにのみ適用される制約です。

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Application_Notes&docId=XAPP1187&Title=Virtex-7%20GTH%20%26%2312488%3B%26%2312521%3B%26%2312531%3B%26%2312471%3B%26%2312540%3B%26%2312496%3B%26%2312540%3B%26%2312434%3B%26%2320351%3B%26%2329992%3B%26%2312375%3B%26%2312383%3B%20SMPTE%20SDI%20%26%2312452%3B%26%2312531%3B%26%2312479%3B%26%2312540%3B%26%2312501%3B%26%2312455%3B%26%2312452%3B%26%2312473%3B%26%2312398%3B%26%2323455%3B%26%2335013%3B&releaseVersion=1.0&docPage=4

  • Virtex-7 GTH ト ランシーバーを使用して SDI インターフェイスを実現

    XAPP1187 (v1.0) 2014 年 2 月 21 日 japan.xilinx.com 5

    一般的な SDI アプ リ ケーシ ョ ンでは、 5 つの異なるビッ ト レート をサポートするために GTH ト ランシーバーが必要です。

    • 270Mb/s (SD-SDI の場合)• 1.485Gb/s (HD-SDI の場合)• 1.485/1.001Gb/s (~ 1.4835Gb/s) (HD-SDI の場合)• 2.97Gb/s (3G-SDI の場合)• 2.97/1.001Gb/s (~ 2.967Gb/s) (3G-SDI の場合)GTH ト ランシーバーの RX 部に含まれる CDR ユニッ トは、 基準周波数から最大 ±1,250ppm のビッ トレートの信号を受信できます。HD-SDI の 2 つのビッ ト レートの差は正確に 1,000ppm であ り、同様に

    X-Ref Target - Figure 2

    図 2 : Virtex-7 GTH ト ランシーバーのクワッ ド コンフ ィギュレーシ ョ ン

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Application_Notes&docId=XAPP1187&Title=Virtex-7%20GTH%20%26%2312488%3B%26%2312521%3B%26%2312531%3B%26%2312471%3B%26%2312540%3B%26%2312496%3B%26%2312540%3B%26%2312434%3B%26%2320351%3B%26%2329992%3B%26%2312375%3B%26%2312383%3B%20SMPTE%20SDI%20%26%2312452%3B%26%2312531%3B%26%2312479%3B%26%2312540%3B%26%2312501%3B%26%2312455%3B%26%2312452%3B%26%2312473%3B%26%2312398%3B%26%2323455%3B%26%2335013%3B&releaseVersion=1.0&docPage=5

  • Virtex-7 GTH ト ランシーバーを使用して SDI インターフェイスを実現

    XAPP1187 (v1.0) 2014 年 2 月 21 日 japan.xilinx.com 6

    2 つの 3G-SDI ビッ ト レートの差も 1000ppm であるため、ppm オフセッ ト マージンを十分に与えながら 1 つの基準クロ ッ ク周波数を用いて 5 つすべての SDI ビッ ト レート を受信できます。一方 、GTH ト ランシーバーの TX 部では、 5 つすべての SDI ビッ ト レート をサポートするには 2 つの基準クロ ッ ク周波数が必要です。 これは、 ト ランス ミ ッ ターが通常は供給される基準クロ ッ ク周波数の正確な指定整数倍でのみ送信可能であるためです。 このため、 ほとんどの SDI アプリ ケーシ ョ ンでは、2 つの基準クロ ッ クを GTH クワ ッ ドへ供給します。 これらのク ロ ッ クのいずれか一方を RX の基準クロ ッ ク と して使用し、両方を TX の基準クロ ッ ク と して使用します。通常、2 つの供給される基準クロ ックの組み合わせは、 148.5MHz と 148.5MHz/1.001MHz です。 GTH ト ランシーバーの基準クロ ッ ク ソースは、 アプリ ケーシ ョ ンによって大き く異な り ます。 レシーバーの基準クロ ッ ク ソースは、 入力される SDI ビッ ト レート と正確に一致する必要がないため、 ローカルのオシレーターで対応できます。一方、GTH ト ランス ミ ッ ターのライン レートは、常に基準クロ ック周波数の整数倍であるため、 ト ランス ミ ッ ターの基準クロ ッ ク周波数は、 送信されるデータのデータレート と正確な関係を持つ必要があ り ます。 ほとんどの場合、 ト ランス ミ ッ ターの基準クロ ッ クはゲンロ ッ ク PLL で生成されるため、 スタジオのビデオ基準信号から GTH ト ランス ミ ッ ター ライン レートを生成します。 このアプリ ケーシ ョ ン ノートに含まれる SDI パススルー デモンス ト レーシ ョ ンなどの特殊な場合、 ト ランス ミ ッ ター ライン レートは、SDI 信号を受信している GTH レシーバーのリ カバリク ロ ッ クから生成されます。 そのよ う な場合、 ト ランス ミ ッ ターの基準ク ロ ッ ク と して使用する前に、外部 PLL を使用して リ カバリ ク ロ ッ クのジッ ターを軽減する必要があ り ます。一般的な SDI アプリ ケーシ ョ ンでは、 これら 2 つの基準クロ ッ クの一方を QPLL へ接続し、 も う一方をクワ ッ ド内のすべての CPLL へ接続します。 どちらのク ロ ッ クを QPLL 基準クロ ッ クまたは CPLL基準クロ ッ クへ接続するかは重要ではあ り ません。 クワ ッ ド内の各ト ランシーバーの RX ユニッ トは、QPLL からのクロ ッ クを使用するよ うにコンフ ィギュレーシ ョ ンされます。 TX ユニッ トは、 そのと きに必要なビッ ト レートに基づいて、 QPLL ク ロ ッ ク と ローカル CPLL ク ロ ッ クを動的に切り替えます。GTH txsysclksel ポート を使用して TX ユニッ トのシ リ アル ク ロ ッ ク ソースを QPLL または CPLL から選択します。図 3 に、 この一般的な SDI アプリ ケーシ ョ ンのコンフ ィギュレーシ ョ ンを示します。 この図では、 インプ リ メ ンテーシ ョ ンで動的に使用されないマルチプレクサーを配線に置き換えており、クワ ッ ド間の基準クロ ッ ク配線は表示していません。 -1 スピード グレード デバイスでは QPLL を SDIに利用できないため、 図 3 に示すクロ ッキング コンフ ィギュレーシ ョ ンは、 このスピード グレード デバイスに使用できません。

    また、 各 GTH RX および TX ユニッ トには、 選択したクロ ッ クを指定可能な 2 の累乗の整数値で分周するシ リ アル ク ロ ッ ク分周器があ り ます。 これによって、 たとえば、 クワ ッ ド内のすべての RX ユニットは QPLL からの同じ ク ロ ッ ク周波数を使用しながら も、 異なるシ リ アル ク ロ ッ ク分周値を用いて異なるライン レートで動作できるよ うにな り ます。 3G-SDI ビッ ト レートは HD-SDI ビッ ト レートの 2倍の速度となるため、 この機能は SDI インターフェイスに非常に有効です。 270Mb/s SD-SDI の場合、GTH ト ランシーバーは 11 倍のオーバーサンプリ ング テクニッ クによって 3G-SDI ライン レートで動作します。 このため、 各 RX ユニッ ト内で 2 の累乗値で指定した異なる 2 つの分周器を使用するこ とで、 QPLL から供給される 1 つの RX ク ロ ッ ク周波数ですべての SDI ビッ ト レートの受信をサポートできます。 また、 TX ユニッ トでも、 2 の累乗値で指定した異なる 2 つの分周器を用いてクロ ッ ク ソースを分周できるため、 基準クロ ッ ク周波数を 2 つ使用するだけですべての SDI ビッ ト レートの送信をサポートできます。 RX および TX ユニッ ト のシ リ アル ク ロ ッ ク分周器の値は、 各 GTH ト ランシーバーの rxrate およびtxrate ポート を利用して動的に変更可能です。 この分周器は、 RXOUT_DIV および TXOUT_DIV 属性を使用して DRP を介して変更するこ と もできます。 このアプリ ケーシ ョ ン ノートで提供される制御モジュールは、GTH ト ランシーバーの txrate ポート を用いて TX シ リ アル ク ロ ッ ク分周器を制御し、DRPを介して変更する GTH ト ランシーバー RXOUT_DIV 属性を用いて RX シ リ アル ク ロ ッ ク分周器を制御します。 これによ り、 SDI アプリ ケーシ ョ ンに適した最も効率的な GTH ト ランス ミ ッ ターおよびレシーバーのライン レート変更シーケンスが実現します。図 3 に示すコンフ ィギュレーシ ョ ンは、 ほとんどの SDI アプ リ ケーシ ョ ンに最適なソ リ ューシ ョ ンです。 その理由は、 次のとおりです。

    • レシーバーは、 1 つの固定された基準クロ ッ ク周波数からすべての SDI ビッ ト レート を受信でき、QPLL がクワ ッ ド内のすべてのレシーバーへ基準ク ロ ッ クから生成されたシ リ アル ク ロ ッ クを供給します。

    • ト ランス ミ ッ ターは、 サポート されている SDI ビッ ト レートで送信するのに必要な QPLL およびCPLL の両方の周波数を得るため、QPLL および CPLL からのクロ ッ クを動的に切り替えるこ とができます。

    • クワ ッ ド内の 4 つのレシーバーと 4 つのト ランス ミ ッ ターは完全に独立しているため、それぞれ異なる SDI ビッ ト レートで動作でき、 ほかの RX や TX ユニッ トへ干渉するこ とな く ビッ ト レートを動的に切り替えるこ と も可能です。

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Application_Notes&docId=XAPP1187&Title=Virtex-7%20GTH%20%26%2312488%3B%26%2312521%3B%26%2312531%3B%26%2312471%3B%26%2312540%3B%26%2312496%3B%26%2312540%3B%26%2312434%3B%26%2320351%3B%26%2329992%3B%26%2312375%3B%26%2312383%3B%20SMPTE%20SDI%20%26%2312452%3B%26%2312531%3B%26%2312479%3B%26%2312540%3B%26%2312501%3B%26%2312455%3B%26%2312452%3B%26%2312473%3B%26%2312398%3B%26%2323455%3B%26%2335013%3B&releaseVersion=1.0&docPage=6

  • Virtex-7 GTH ト ランシーバーを使用して SDI インターフェイスを実現

    XAPP1187 (v1.0) 2014 年 2 月 21 日 japan.xilinx.com 7

    • ゲンロ ッ ク機能を搭載したアプリ ケーシ ョ ンでは、同期リ ファレンス入力信号から必要な 2 つの基準クロ ッ ク周波数を最新のゲンロ ッ ク PLL が同時に提供できます。

    ただし、 図 3 に示すコンフ ィギュレーシ ョ ンは -1 スピード グレード デバイスに使用できません。 同じGTH ト ランシーバーの RX と TX の両ユニッ ト を同時に使用しなければならない SDI アプリ ケーシ ョンは通常、 図 3 に示すコンフ ィギュレーシ ョ ンが必要であ り、 -1 スピード グレード デバイスにはインプリ メン トできません。

    SDI アプリ ケーシ ョ ンによっては、 異なる SDI ト ランス ミ ッ ターが同じ公称ビッ ト レートで送信していても、 わずかに異なるビッ ト レートで動作する場合があ り ます。 これは、 各 TX のビッ ト レートが、関連する SDI RX で受信される SDI のビッ ト レート と正確に一致しなければならない SDI ルーターでよ く見られます。 同じ公称ビッ ト レートで送信する 2 つのト ランス ミ ッ ターのビッ ト レートは、 実際、数 ppm 異なり ます。 このよ うなアプ リ ケーシ ョ ンは、 各 TX ユニッ トが CPLL を排他的に使用できるVirtex-7 GTH のクワッ ド アーキテクチャによってサポートできますが、 これには各 CPLL に専用の基準クロ ッ ク周波数を供給する必要があ り、 その上、 使用可能な GTH 基準クロ ッ ク入力数には制限があり ます。 基準クロ ッ ク入力は、 各 GTH クワ ッ ドに 2 つずつあり ます。 クワ ッ ドは上下クワッ ドからの基準クロ ッ クを使用できるため、 デバイス内の複数の GTH クワ ッ ドに 5 つの異なる基準クロ ッ ク周波数 (RX ユニッ トに 1 つ、 4 つの TX ユニッ トに 4 つ) を供給できますが、 デバイス内の GTH すべてが独自の基準クロ ッ クを持つには、基準クロ ッ ク入力数が足り ません。 このよ うな場合、 シ リ アル ク ロ ック周波数から ± 数百 ppm で GTH TX をプルできる PICXO (Phase Interpolator Controlled Oscillator)テクニッ クが非常に有効です。 このため、 各 SDI ト ランス ミ ッ ターのビッ ト レートが受信される SDI信号のビッ ト レート と個別にロ ッ クする必要があるアプ リ ケーシ ョ ンでは、図 3 に示す一般的な基準クロ ッ クを利用して実装し、さ らに PICXO テクニッ クを利用して各 GTH TX にそれぞれの SDI TX の正確なビッ ト レート を設定します。 このアプ リ ケーシ ョ ン ノートでは、 PICXO について説明していません。 PICXO の使用については、 ザイ リ ンクス テクニカル サポート までお問い合わせください。

    X-Ref Target - Figure 3

    図 3 : SDI 用の GTH 基準クロックのインプリ メンテーシ ョ ン (一般的な場合)

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Application_Notes&docId=XAPP1187&Title=Virtex-7%20GTH%20%26%2312488%3B%26%2312521%3B%26%2312531%3B%26%2312471%3B%26%2312540%3B%26%2312496%3B%26%2312540%3B%26%2312434%3B%26%2320351%3B%26%2329992%3B%26%2312375%3B%26%2312383%3B%20SMPTE%20SDI%20%26%2312452%3B%26%2312531%3B%26%2312479%3B%26%2312540%3B%26%2312501%3B%26%2312455%3B%26%2312452%3B%26%2312473%3B%26%2312398%3B%26%2323455%3B%26%2335013%3B&releaseVersion=1.0&docPage=7

  • Virtex-7 GTH ト ランシーバーを使用して SDI インターフェイスを実現

    XAPP1187 (v1.0) 2014 年 2 月 21 日 japan.xilinx.com 8

    リセッ ト

    『7 シ リーズ FPGA GTX/GTH ト ランシーバー ユーザー ガイ ド』 (UG476) [参照 1] で説明されているように、 GTH ト ランシーバーには固有のリセッ ト要件があ り ます。 GTH ト ランシーバーでは、 PLL のリセッ ト 、GTH ト ランシーバーのリセッ ト (gttxreset、gtrxreset)、txrate など複数の GTH ト ランシーバーポートの動的な変更、および DRP を介した GTH ト ランシーバー属性の動的な変更を注意して調整する必要があ り ます。 これらのイベン ト をすべて適切に調整しなければ、 GTH ト ランシーバーが SDI に対して正し く機能しない、 つま り、 FPGA を リ コンフ ィギュレーシ ョ ンするこ とでしか回復できない状態になる可能性があ り ます。このアプリ ケーシ ョ ン ノートで提供する制御モジュールは、GTH ト ランシーバーが確実かつ適切に動作するよ う これらの要件をすべて満たします。

    ユーザー アプリ ケーシ ョ ンでは、GTH 入力の gttxreset と gtrxreset を直接制御しないでください。GTHト ラ ンシーバーが確実に正し く動作する よ う に、 これらの GTH ト ラ ンシーバー入力は SDI 制御モジュールでのみ制御します。ユーザー アプリ ケーシ ョ ンでは、制御モジュールのさまざまな リセッ ト入力を使用して GTH リセッ ト を要求できます。 このよ う な リセッ ト要求は、 次の適切なタイ ミ ングで制御モジュールによって処理され、GTH ト ランシーバーのほかの動作と干渉しないよ うに調整されます。

    GTH ト ランシーバーの初期化シーケンスFPGA コンフ ィギュレーシ ョ ン直後、SDI 制御モジュールが GTH ト ランシーバーの QPLL、CPLL、および RX と TX ユニッ トの初期化シーケンスを実行します。制御モジュールには、GTH ト ランシーバーの RX 部および TX 部に対して次の初期化シーケンスを別々に実行する個別のステート マシンがあ ります。 こ こでは、 RX の初期化シーケンスについて説明します。 TX 初期化シーケンスの手順は、 レシーバーおよび QPLL ポートが ト ランス ミ ッ ターと CPLL ポートに置き換わる以外はこれと同じです。1. FPGA コンフ ィギュレーシ ョ ン後に 500ns 以上待機したら、 qpllreset 信号および gtrxreset 信号を

    アサート します。

    2. rx_refclk_stable 入力がアサート されるまで待機し、 qpllreset をネゲート します。3. qplllock 信号がアサート されるまで待機し、 gtrxreset 信号をネゲート します。4. rxresetdone 信号がアサート されるまで待機し、 初期化シーケンスが完了したこ とを示します。GTH の txuserrdy 入力および rxuserrdy 入力も適切に制御する必要があ り ます。 これらの信号は共にSDI ラ ッパーによって生成されます。 SDI ラ ッパーは、 gttxreset がネゲー ト される と、 txuserrdy をtxusrclk の 5 サイクル間アサート します。同様に、 gtrxreset がネゲート される と、 rxuserrdy を rxusrclkの 5 サイ クル間アサート します。 条件が満たされるまで初期化シーケンスが待機している、 手順 2、 手順 3、 および手順 4 では、 タイムアウ ト カウンターが動作しています。 待機条件が満たされる前にタイムアウ ト カウンターが終了すると、 ステート マシンがタイムアウ ト ステートに遷移し、 リ ト ラ イ カウンターをインク リ メン ト してから初期化シーケンスに戻り、 シーケンスを再開します。 タイムアウ トが多数発生したこ とが原因でリ トラ イ カウンターが最大カウン トに達する と、 初期化シーケンスにエラーが発生し、 ステート マシンがエラー ステート に遷移して初期化シーケンスのエラーを示します。 リ ト ラ イ可能な最大回数は、 SDIラ ッパーのパラ メーターで指定します。

    PLL リセッ トFPGA コンフ ィギュレーシ ョ ン後に自動的に実行される初期化シーケンスでの リ セッ ト だけでな く、PLL へ供給される基準ク ロ ッ クに対して周波数が変更された場合や割り込みが発生した場合は常に、QPLL または CPLL を リセッ トする必要があ り ます。 この リセッ トは、 対象となる PLL を基準クロ ックに対して強制的に再度ロッ クする目的で必要です。PLL リセッ ト を実行するために、GTH コモン ラ ッパーの qpllreset 入力と GTH ラ ッパーの cpllreset 入力が SDI 制御モジュールによって制御されます。ユーザー アプリ ケーシ ョ ンは PLL リセッ ト を直接アサートできません。 SDI 制御モジュールが単独でPLL リセッ ト を制御する必要があ り ます。 一方、 ユーザー アプリ ケーシ ョ ン次第で PLL リセッ トが必要なタイ ミ ングが判断され、対象となる PLL のリセッ ト 、およびその PLL からのシ リ アル ク ロ ッ クを使用する GTH RX および/または TX ユニッ トすべてのリセッ トが要求されます。SDI ラ ッパーには rx_pllreset 出力および tx_pllreset 出力が 1 つずつあ り ます。 これらの出力信号を使用して GTH コモン ラ ッパーの qpllreset 入力と GTH ラ ッパーの cpllreset 入力を制御します。 PLL が1 つの RX または 1 つの TX ユニッ ト にしか使用されない場合、 SDI ラ ッパーの rx_pllreset またはtx_pllreset 出力を対応する PLL リセッ ト入力ポートに正し くかつ簡単に接続するこ とができます。ただし、片方の PLL がシ リ アル ク ロ ッ クを複数の RX および/または TX ユニッ トに供給する場合、 その接続は複雑になり ます。 詳細は、 「SDI アプリ ケーシ ョ ンの GTH PLL の使用例」 を参照して ください。SDI ラ ッパーには、 GTH RX (rx_gth_full_reset) および GTH TX (tx_gth_full_reset) の完全な リセッ トを要求するためにアプ リ ケーシ ョ ンが使用する 2 つの入力があ り ます。 これらの入力のいずれかをアサートする と、制御モジュールのリセッ ト ステート マシンが適宜 GTH の RX 部または TX 部の完全な

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Application_Notes&docId=XAPP1187&Title=Virtex-7%20GTH%20%26%2312488%3B%26%2312521%3B%26%2312531%3B%26%2312471%3B%26%2312540%3B%26%2312496%3B%26%2312540%3B%26%2312434%3B%26%2320351%3B%26%2329992%3B%26%2312375%3B%26%2312383%3B%20SMPTE%20SDI%20%26%2312452%3B%26%2312531%3B%26%2312479%3B%26%2312540%3B%26%2312501%3B%26%2312455%3B%26%2312452%3B%26%2312473%3B%26%2312398%3B%26%2323455%3B%26%2335013%3B&releaseVersion=1.0&docPage=8

  • Virtex-7 GTH ト ランシーバーを使用して SDI インターフェイスを実現

    XAPP1187 (v1.0) 2014 年 2 月 21 日 japan.xilinx.com 9

    初期化シーケンスを実行します (関連する PLL のリセッ ト を含む)。 PLL が使用する基準クロ ッ クに割り込みが発生したり、 変更が加えられた場合は常にこれらの初期化シーケンスが実行されるよ う、 ユーザー アプ リ ケーシ ョ ンで rx_gth_full_reset 入力および tx_gth_full_reset 入力を正し く制御する必要があ り ます。 制御モジュールへの rx_refclk_stable 入力および tx_refclk_stable 入力が正し く制御されるかど うかはユーザー アプ リ ケーシ ョ ンが担います。 これらの入力信号は、 PLL への基準クロ ッ クが安定している場合にのみアサート しなければな り ません。 すでに説明したとおり、 これらの入力が PLL リ セッ ト のネゲー ト 前にアサー ト される まで、 初期化シーケンスは待機し ます。 rx_refclk_stable 入力またはtx_refclk_stable 入力のネゲートによって、 対象となる PLL のリセッ トが開始されるわけではあ り ません。 制御モジュールへの rx_gth_full_reset 入力および tx_gth_full_reset 入力がアサート される こ とによってのみ、PLL リセッ トは開始します。rx_refclk_stable および tx_refclk_stable は、rx_gth_full_resetまたは tx_gth_full_reset のアサートによって初期化シーケンスが開始された後に リセッ ト シーケンスの完了を遅延させる場合にのみ有効です。

    GTH TX のリセッ トGTH ト ランシーバーの TX 部のリセッ トが必要となる状況は次の 3 つです。1. GTH TX へシ リ アル ク ロ ッ クを供給する PLL がリセッ ト される場合は、 gttxreset ポート を使用し

    て TX 部を必ずリセッ ト します。 このリセッ トは、SDI 制御モジュールによる FPGA コンフ ィギュレーシ ョ ン後、 およびユーザー アプ リ ケーシ ョ ンで SDI ラ ッパーへの tx_gth_full_reset がアサート されるたびに自動的に実行され、 この結果、 PLL および GTH TX の両方がリセッ ト されます。

    2. GTH gttxreset 入力は、 txsysclksel ポートが動的に変更されている間アサートする必要があ り ます。txsysclksel ポート を使用し、QPLL または CPLL のいずれを GTH TX のシ リ アル ク ロ ッ ク ソースと して使用するかを選択します。各 GTH ト ランシーバーは、固有の txsysclksel ポート を備えており、 2 つの PLL のシ リ アル ク ロ ッ ク ソースを個別に切り替えるこ とができます。 txsysclksel ポートはアプリ ケーシ ョ ンで直接制御できません。 SDI 制御モジュールが、 tx_m 入力の変更を受けてGTH ト ランシーバーの txsysclksel ポート を動的に変更します。制御モジュールは、 tx_m 入力への変更を検出する とまず gttxreset 信号をアサート し、 その後 txsysclksel を変更してから gttxreset をネゲート します。 このシーケンスは、 GTH ト ランシーバーが txresetdone 出力をアサート して終了します。 この時点で SDI 制御モジュールは、 tx_change_done 出力をアサート して txsysclksel が変更されたこ とを示します。

    3. txrate 入力ポートが動的に変更される と、 GTH TX は GTH ト ランシーバー自身によって必ず自動的にリセッ ト されます。 txrate ポートは、 GTH TX のシ リ アル ク ロ ッ ク分周器を制御します。 ユーザー アプ リ ケーシ ョ ンでは txrate ポート を直接変更できません。 tx_mode 入力ポートの変更を受け、 適切な場合は SDI 制御モジュールが txrate ポート を変更します。

    QPLL および CPLL は異なる動作周波数範囲で動作します。SDI アプリ ケーシ ョ ンの場合、QPLL からのシ リ アル ク ロ ッ クの周波数は CPLL からのシ リ アル ク ロ ッ クの周波数の 2 倍の値となり ます。 したがって、 SDI ラ ッパーの tx_m 入力ポート を変更して 2 つの PLL 間における GTH TX の動的切り替えを要求する と き、 ト ランス ミ ッ ターが同じ SDI モードに維持されている場合は、 txrate ポート を介してシ リ アル ク ロ ッ ク分周器を同時に動的に変更する必要があ り ます。たとえば、QPLL をシ リ アル ク ロ ック ソース と して使用する 1.485Gb/s の HD-SDI ビッ ト レートから、CPLL をシ リ アル ク ロ ッ ク ソースと して使用する 1.485/1.001Gb/s の HD-SDI ビッ ト レートへ変更する場合、 txsysclksel ポートおよびtxrate ポートの両方を変更します。ただし、SDI ラ ッパーの tx_mode 入力で選択した SDI モードが tx_mポート と同時に変更される場合は、シ リ アル ク ロ ッ ク分周器を変更する必要はないかもしれません。たとえば、 CPLL を使用する HD-SDI モードを QPLL を使用する 3G-SDI モードに変更する場合、 CPLLから QPLL に変更する とシ リ アル ク ロ ッ ク周波数が本質的に増加し、 その結果ライン レートが 2 分の1 になるため、 txrate ポート を変更する必要はあ り ません。tx_m および tx_mode は SDI ラ ッパーへの個別の入力ポートであるため、これらポートの 1 つが変更された場合、txsysclksel ポートおよび/または txrate ポートが動的に変更される前にわずかな整定遅延がインプリ メン ト されます。 この整定遅延では、 txrate ポートの変更が必要かど うかを TX ロジッ クが判断する前に、 ほかのポート も変更できるよ うわずかな時間が考慮されています。 要求された SDI モードまたはビッ ト レートの変更を実行するために txrate および txsysclksel の両ポート を変更する必要がある場合、 これら 2 つのポート を変更する短い時間に GTH txoutclk ポー ト のクロ ッ ク周波数が 297MHz になる可能性があ り ます。 150MHz のクロ ッ ク周期制約は一般に txoutclk に適用されるため txoutclk が短い間でも 297MHz になるこ とがあれば、txoutclk によってクロ ッ クが供給されるロジッ クに悪影響が及ぶ可能性があ り ます。 TX 制御ロジッ クは、 txoutclk が 150MHz を超えないよ うに txrate および txsysclksel を変更する順序を注意して選択するこ とで、このよ うな問題を回避します。

    SDI ラ ッパーには TX 部のリセッ ト入力が 3 つあ り ます。

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Application_Notes&docId=XAPP1187&Title=Virtex-7%20GTH%20%26%2312488%3B%26%2312521%3B%26%2312531%3B%26%2312471%3B%26%2312540%3B%26%2312496%3B%26%2312540%3B%26%2312434%3B%26%2320351%3B%26%2329992%3B%26%2312375%3B%26%2312383%3B%20SMPTE%20SDI%20%26%2312452%3B%26%2312531%3B%26%2312479%3B%26%2312540%3B%26%2312501%3B%26%2312455%3B%26%2312452%3B%26%2312473%3B%26%2312398%3B%26%2323455%3B%26%2335013%3B&releaseVersion=1.0&docPage=9

  • Virtex-7 GTH ト ランシーバーを使用して SDI インターフェイスを実現

    XAPP1187 (v1.0) 2014 年 2 月 21 日 japan.xilinx.com 10

    • tx_rst : High にアサート される と、 SDI コアにある SDI TX データパスを リセッ ト します。• tx_gth_full_reset : High にアサート される と、 TX に関連する PLL を リセッ ト してから、 GTH ト

    ランシーバーの TX 部 (gttxreset) を リセッ ト します。 2 つのリセッ トのこのよ うなシーケンスにより、 PLL リセッ トが完了して PLL が基準クロ ッ クにロ ッ ク されるまで gttxreset は完了しません。

    • tx_gth_reset : High にアサート される と、 GTH ト ランシーバーの TX 部のみを リセッ ト します。gttxreset シーケン スの開始時に PLL がロ ッ ク されていない場合、 PLL がロ ッ ク される までgttxreset シーケンスは完了しません。

    GTH RX のリセッ トTX 部と同様に、 ユーザー アプリ ケーシ ョ ンでは、 こ こで説明したすべての RX リセッ トおよび動的変更の動作が互いに干渉しないよ うに SDI 制御モジュールによって慎重に調整されます。 GTH RX 部のリセッ トが必要となる状況は次のとおりです。• GTH RX (一般に QPLL) へシ リ アル ク ロ ッ クを供給する PLL がリセッ ト される場合は、 gtrxreset

    ポー ト を使用して RX 部を必ず リ セッ ト し ます。 この リ セッ ト は、 SDI 制御モジュールによ るFPGA コンフ ィギュレーシ ョ ン後、 およびユーザー アプリ ケーシ ョ ンで SDI 制御モジュールへのrx_gth_full_reset がアサート されるたびに自動的に実行され、 この結果、 PLL および GTH RX の両方がリセッ ト されます。 何らかの理由で gtrxreset 信号を使用して GTH RX を リセッ トする場合は、『7 シ リーズ FPGA GTX/GTH ト ランシーバー ユーザー ガイ ド』 (UG476) [参照 1] に記載されているよ うに特定のシーケンスを実行する必要があ り ます。 このシーケンスには、 シーケンスの一部を実行中に DRP ポート を使用して DRP アドレス 0x011 のビッ ト 11 を変更した後、 そのビット を元の値に戻すこ となどが含まれます。 通常の SDI 動作では、 このビッ ト を 1 にします。 GTHラ ッパーにあるステート マシンは、GTH ト ランシーバーの gtrxreset 入力がアサート される と常にこの完全なシーケンスを実行します。

    • SDI モードを SD-SDI、 HD-SDI、 および 3G-SDI のいずれかに変更する場合、 rxcdrhold ポート、LPM イコライザーの自動適応モードの有効化/無効化、 RXCDR_CFG 属性、 および RXOUT_DIV属性のう ち 1 つまたは複数を変更する必要があ り ます。 RXCDR_CFG 属性および RXOUT_DIV属性は DRP を介して変更します。RX SDI モードが SD-SDI であ り、ほかの SDI モードで Low の場合、rxcdrhold ポート を High にアサートする必要があ り ます。LPM イコライザーの自動適応モードは、 SD-SDI モードのと きは無効にし、 HD-SDI モードおよび 3G-SDI モードのと きは有効にします。現ライン レートに対して CDR を最適化するために HD-SDI または 3G-SDI モードに切り替える と きは、RXCDR_CFG 属性を変更します。RXOUT_DIV 属性は、GTH RX のシ リ アル ク ロ ック分周器を制御します。 上記 4 つのいずれかが動的に変更された後、 GTH RX は gtrxreset ポートを使用して リセッ トする必要があ り ます。同一の SDI モード変更シーケンスでこれらのうち 2 つ以上が変更される場合は、 変更がすべて完了した後に gtrxreset を 1 回だけ実行します。

    SDI ラ ッパーには RX 部のリセッ ト入力が 3 つあり ます。• rx_rst : High にアサート される と、 SDI コアにある SDI RX データパスを リセッ ト します。• rx_gth_full_reset : High にアサート される と、 RX に関連する PLL を リセッ ト してから、 GTH ト

    ランシーバーの RX 部 (gtrxreset) を リセッ ト します。 2 つのリセッ トのこのよ うなシーケンスにより、 PLL リセッ トが完了して PLL が基準クロ ッ クにロ ッ ク されるまで gtrxreset は完了しません。

    • rx_gth_reset : High にアサート される と、 GTH ト ランシーバーの RX 部 (gtrxreset) のみを リセット します。 gtrxreset シーケンスの開始時に PLL がロ ッ ク されていない場合、 PLL がロ ッ ク されるまで gtrxreset シーケンスは完了しません。

    SDI アプリケーシ ョ ンの GTH PLL の使用例このセクシ ョ ンでは、PLL および SDI アプリ ケーシ ョ ンで使用する ト ランシーバーの一般的なコンフ ィギュレーシ ョ ンについていくつか説明します。 可能なコンフ ィギュレーシ ョ ンをすべて網羅しているわけではあ り ませんが、 こ こに示すコンフ ィギュレーシ ョ ンは PLL リ セッ トおよびロ ッ ク信号の適切な接続について十分に説明するものです。

    SDI ラ ッパーには、どのシ リ アル ク ロ ッ クのソースを QPLL にし、シ リ アル ク ロ ッ クのソースを CPLLにするかを指定する 3 つのパラ メーターがあ り ます。 これらの属性によって PLL ク ロ ッ クの配線が制御されるわけではあ り ません。これらは RX および TX シ リ アル ク ロ ッ ク分周器の正しい値を算出する目的にのみ使用され、TX については、 tx_m の現在値に基づいて GTH ラ ッパーの txsysclksel ポートに駆動する値を算出します。 これら 3 つのパラ メーターは整数であ り、 次に示す値を指定する必要があ ります。

    • QPLL が GTH RX のクロ ッ ク ソースの場合、 RX_CLK_QPLL パラ メーターを 1 に設定します。CPLL がクロ ッ ク ソースの場合は 0 に設定します。

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Application_Notes&docId=XAPP1187&Title=Virtex-7%20GTH%20%26%2312488%3B%26%2312521%3B%26%2312531%3B%26%2312471%3B%26%2312540%3B%26%2312496%3B%26%2312540%3B%26%2312434%3B%26%2320351%3B%26%2329992%3B%26%2312375%3B%26%2312383%3B%20SMPTE%20SDI%20%26%2312452%3B%26%2312531%3B%26%2312479%3B%26%2312540%3B%26%2312501%3B%26%2312455%3B%26%2312452%3B%26%2312473%3B%26%2312398%3B%26%2323455%3B%26%2335013%3B&releaseVersion=1.0&docPage=10

  • Virtex-7 GTH ト ランシーバーを使用して SDI インターフェイスを実現

    XAPP1187 (v1.0) 2014 年 2 月 21 日 japan.xilinx.com 11

    • SDI ラ ッパーへの tx_m 入力が Low のと き、 QPLL が GTH TX のクロ ッ ク ソースの場合はTX_CLK0_QPLL パラ メーターを 1 に設定します。 tx_m が Low のと き、 CPLL が GTH TX のクロ ッ ク ソースの場合は 0 に設定します。

    • SDI ラ ッパーへの tx_m 入力が High のと き、 QPLL が GTH TX のクロ ッ ク ソースの場合はTX_CLK1_QPLL パラ メーターを 1 に設定します。 tx_m が High のと き、 CPLL が GTH TX のクロ ッ ク ソースの場合は 0 に設定します。

    3 つのパラ メーターはすべて固定です。 SDI ラ ッパーの tx_m ポート を使用して TX が CPLL と QPLLを動的に切り替えるために、 TX ク ロ ッ クには 2 つのパラ メーターがあ り ます。 tx_m が Low の場合はTX_CLK0_QPLL を使用し、 tx_m が High の場合は TX_CLK1_QPLL を使用します。 TX が QPLL とCPLL を動的に切り替えないアプリ ケーシ ョ ンでは、 QPLL が常に TX シ リ アル ク ロ ッ ク ソースのときは TX_CLK0_QPLL および TX_CLK1_QPLL を 1 に設定し、 CPLL が常に TX シ リ アル ク ロ ッ クソースのと きは 0 に設定します。

    使用モデル 1 : クワッ ド内で 1 つのト ランシーバーがアクテ ィブで、 RX のクロック ソースが QPLL であり、 TX のクロック ソースが QPLL と CPLL の両方の場合図 4 に示すこの使用モデルでは、 クワッ ド内にある ト ランシーバーの 1 つがアクティブであ り、 RX シリ アル ク ロ ッ クが QPLL によって提供され、GTH TX が QPLL と CPLL を動的に切り替えます。 この場合、 SDI ラ ッパーの RX 部が QPLL リセッ ト を制御し、 TX 部が CPLL リセッ ト を制御します。ただし、 gttxreset サイクルが完了する前に QPLL および CPLL の両方がロッ ク されなければならないため、TX 部はこれら両 PLL のロ ッ ク ステータスを観察する必要があ り ます。次の接続が必要です。

    • SDI ラ ッパーの gth_rxpllreset 出力は、 GTH コモン ラ ッパーの qpllreset ポートに接続します。• SDI ラ ッパーの gth_txpllreset 出力は、 GTH ラ ッパーの cpllreset ポートに接続します。• SDI ラ ッパーの gth_rxplllock 入力は、 GTH コモン ラ ッパーの qplllock 出力に接続します。• SDI ラ ッパーの gth_txplllock 入力は、GTH コモン ラ ッパーの qplllock 出力および GTH ラ ッパー

    の cplllock 出力のロジッ ク OR で駆動します。• QPLL への基準クロ ッ ク ソースが安定している場合にのみ、 SDI ラ ッパーの rx_refclk_stable 入力

    を High にアサート します。• CPLL への基準クロ ッ ク ソースが安定している場合にのみ、 SDI ラ ッパーの tx_refclk_stable 入力

    を High にアサート します。• SDI ラ ッパーの RX_CLK_QPLL パラ メーターは 1 に設定します。• SDI ラ ッパーの tx_m 入力ポートは、 GTH ラ ッパーの txsysclksel ポートに接続しなければならな

    い SDI ラ ッパーの gth_txsysclksel 出力を制御するこ とで、 TX シ リ アル ク ロ ッ ク ソースの動的切り替えを制御します。

    • SDI ラ ッパーの TX_CLK0_QPLL と TX_CLK1_QPLL パラ メーターは、 tx_m ポート を使用してQPLL または CPLL をどのよ う に選択するかによって適切に設定する必要があ り ます。 通常、TX_CLK0_QPLL は 1 に設定し、TX_CLK1_QPLL は 0 に設定します。これによ り、 tx_m が Lowの場合は QPLL を TX シ リ アル ク ロ ッ ク ソース と して選択し、tx_m が High の場合は CPLL を選択するよ うに tx_m が設定されます。

    • 基準クロ ッ クに対する変更や割り込みが発生したため QPLL を リセッ トする必要がある場合は、SDI ラ ッパーの rx_gth_full_reset 入力をアサート して QPLL と GTH RX の両方を リ セッ ト します。 また、 SDI ラ ッパーの tx_gth_reset 入力もアサート して CPLL を リセッ トせずに GTH TX をリセッ ト します。

    • 基準クロ ッ クに対する変更や割り込みが発生したため CPLL を リセッ トする必要がある場合は、SDI ラ ッパーの tx_gth_full_reset 入力をアサー ト して CPLL と GTH RX の両方を リ セッ ト します。

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Application_Notes&docId=XAPP1187&Title=Virtex-7%20GTH%20%26%2312488%3B%26%2312521%3B%26%2312531%3B%26%2312471%3B%26%2312540%3B%26%2312496%3B%26%2312540%3B%26%2312434%3B%26%2320351%3B%26%2329992%3B%26%2312375%3B%26%2312383%3B%20SMPTE%20SDI%20%26%2312452%3B%26%2312531%3B%26%2312479%3B%26%2312540%3B%26%2312501%3B%26%2312455%3B%26%2312452%3B%26%2312473%3B%26%2312398%3B%26%2323455%3B%26%2335013%3B&releaseVersion=1.0&docPage=11

  • Virtex-7 GTH ト ランシーバーを使用して SDI インターフェイスを実現

    XAPP1187 (v1.0) 2014 年 2 月 21 日 japan.xilinx.com 12

    使用モデル 2 : クワッ ド内で 1 つのト ランシーバーがアクテ ィブで、 RX のクロック ソースが QPLL であり、 TX のクロック ソースが CPLL の場合図 5 に示すこの使用モデルでは、 クワ ッ ド内にある ト ランシーバーの 1 つがアクティブであ り、 GTHRX のクロ ッ クが QPLL によって供給され、 GTH TX のクロ ッ クが CPLL によって供給されています。次の接続が必要です。

    • SDI ラ ッパーの gth_rxpllreset 出力は、 GTH コモン ラ ッパーの qpllreset ポートに接続します。• SDI ラ ッパーの gth_txpllreset 出力は、 GTH ラ ッパーの cpllreset ポートに接続します。• SDI ラ ッパーの gth_rxplllock 入力は、 GTH コモン ラ ッパーの qplllock 出力で駆動します。• SDI ラ ッパーの gth_txplllock 入力は、 GTH ラ ッパーの cplllock 出力で駆動します。• QPLL への基準クロ ッ ク ソースが安定している場合にのみ、 SDI ラ ッパーの rx_refclk_stable 入力

    を High にアサート します。• CPLL への基準クロ ッ ク ソースが安定している場合にのみ、 SDI ラ ッパーの tx_refclk_stable 入力

    を High にアサート します。• 各 GTH ラ ッパーの txsysclksel 入力ポートは、 CPLL を TX シ リ アル ク ロ ッ ク ソース と して永久

    的に選択するよ う 2’b00 値で駆動します。 SDI ラ ッパーの txsysclksel 出力ポートは未接続にします。

    • SDI ラ ッパーの RX_CLK_QPLL パラ メーターは 1 に設定します。• SDI ラ ッパーの TX_CLK0_QPLL および TX_CLK1_QPLL パラ メーターは 0 に設定します。• SDI ラ ッパーの tx_m 入力ポートは使用されず、 Low に駆動します。• 基準クロ ッ クに対する変更や割り込みが発生したため QPLL を リセッ トする必要がある場合は、

    SDI ラ ッパーの rx_gth_full_reset 入力をアサート して QPLL と GTH RX の両方を リ セッ ト します。

    X-Ref Target - Figure 4

    図 4 : PLL の使用モデル 1

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Application_Notes&docId=XAPP1187&Title=Virtex-7%20GTH%20%26%2312488%3B%26%2312521%3B%26%2312531%3B%26%2312471%3B%26%2312540%3B%26%2312496%3B%26%2312540%3B%26%2312434%3B%26%2320351%3B%26%2329992%3B%26%2312375%3B%26%2312383%3B%20SMPTE%20SDI%20%26%2312452%3B%26%2312531%3B%26%2312479%3B%26%2312540%3B%26%2312501%3B%26%2312455%3B%26%2312452%3B%26%2312473%3B%26%2312398%3B%26%2323455%3B%26%2335013%3B&releaseVersion=1.0&docPage=12

  • Virtex-7 GTH ト ランシーバーを使用して SDI インターフェイスを実現

    XAPP1187 (v1.0) 2014 年 2 月 21 日 japan.xilinx.com 13

    • 基準クロ ッ クに対する変更や割り込みが発生したため CPLL を リセッ トする必要がある場合は、SDI ラ ッパーの tx_gth_full_reset 入力をアサー ト して CPLL と GTH RX の両方を リ セッ ト します。

    使用モデル 3 : クワッ ド内で複数のト ランシーバーがアクテ ィブで、 すべての RX のクロック ソースが QPLL であり、 各 TX のクロック ソースを QPLL と CPLL 間で動的に切り替える場合

    図 6 に示すこの使用モデルでは、クワ ッ ド内で複数のト ランシーバーがアクティブです。すべての GTHレシーバーのクロ ッ クが QPLL によって供給されます。 すべての GTH ト ランス ミ ッ ターが、 QPLL と自身の CPLL を個別に切り替え可能です。 全 CPLL が同じ基準クロ ッ クを使用します。 このモデルは、図 3 に示す標準使用モデルに準じます。この使用モデルでは、 SDI ラ ッパーが QPLL マス ターと して選択され、 GTH コモン ラ ッパーのgth_qpllreset ポート を制御します。その他の SDI ラ ッパーは QPLL リセッ ト を制御しませんが、QPLLがロ ッ ク されるまで GTH ト ランシーバーのリセッ ト シーケンスが処理されないよ う GTH コモン ラ ッパーの qplllock 出力を監視します。次の接続が必要です。

    • QPLL マスターと して指定されている SDI ラ ッパーの gth_rxpllreset 出力は、 GTH コモン ラ ッパーの qpllreset ポートに接続します。クワ ッ ドにあるその他の SDI ラ ッパーの gth_rxpllreset 出力は未接続にします。

    • 各 SDI ラ ッパーの gth_txpllreset 出力は、関連する GTH ラ ッパーの cpllreset ポートに接続します。 • 全 SDI ラ ッパーの gth_rxpllock 入力は、 GTH コモン ラ ッパーの qplllock 出力で駆動します。• 各 SDI ラ ッパーの gth_txplllock 入力は、 GTH コモン ラ ッパーの qplllock 出力と関連する GTH

    ラ ッパーの cplllock 出力のロジッ ク OR で駆動します。• QPLL への基準クロ ッ ク ソースが安定している場合にのみ、 QPLL マスター SDI ラ ッパーの

    rx_refclk_stable 入力は High にアサート します。 その他の SDI ラ ッパーの rx_reflk_stable 入力は永久的に High に接続します。

    X-Ref Target - Figure 5

    図 5 : PLL の使用モデル 2

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Application_Notes&docId=XAPP1187&Title=Virtex-7%20GTH%20%26%2312488%3B%26%2312521%3B%26%2312531%3B%26%2312471%3B%26%2312540%3B%26%2312496%3B%26%2312540%3B%26%2312434%3B%26%2320351%3B%26%2329992%3B%26%2312375%3B%26%2312383%3B%20SMPTE%20SDI%20%26%2312452%3B%26%2312531%3B%26%2312479%3B%26%2312540%3B%26%2312501%3B%26%2312455%3B%26%2312452%3B%26%2312473%3B%26%2312398%3B%26%2323455%3B%26%2335013%3B&releaseVersion=1.0&docPage=13

  • Virtex-7 GTH ト ランシーバーを使用して SDI インターフェイスを実現

    XAPP1187 (v1.0) 2014 年 2 月 21 日 japan.xilinx.com 14

    • 各 SDI ラ ッパーの tx_refclk_stable 入力は、CPLL 基準クロ ッ ク ソースが安定している場合にのみHigh にアサート します。

    • 全 SDI ラ ッパーの RX_CLK_QPLL パラ メーターは 1 に設定します。• 各 SDI ラ ッパーの tx_m 入力ポートは、関連する GTH ラ ッパーの txsysclksel ポートに接続しなけ

    ればならない SDI ラ ッパーの gth_txsysclksel 出力を制御するこ とで、 関連する GTH ト ランシーバーにある TX シ リ アル ク ロ ッ ク ソースの動的切り替えを制御します。

    • 各 SDI ラ ッパーの TX_CLK0_QPLL と TX_CLK1_QPLL パラ メーターは、 tx_m ポート を使用して QPLL または CPLL をどのよ うに選択するかによって適切に設定する必要があ り ます。 通常、TX_CLK0_QPLL は 1 に設定し、TX_CLK1_QPLL は 0 に設定します。これによ り、 tx_m が Lowの場合は QPLL を TX シ リ アル ク ロ ッ ク ソース と して選択し、tx_m が High の場合は CPLL を選択するよ うに tx_m が設定されます。

    • 基準クロ ッ クに対する変更や割り込みが発生したため QPLL を リセッ トする必要がある場合は、すべての SDI ラ ッパーの rx_gth_full_reset 入力をアサート します。 QPLL マスターの SDI ラ ッパーは QPLL を リセッ ト し、すべての GTH RX ユニッ トが リセッ ト されます。 GTH TX ユニッ ト を リセッ トするために、 すべての SDI ラ ッパーの tx_gth_reset 入力もアサート します。

    • CPLL 基準クロ ッ ク ソースに対して変更または割り込みが発生した場合、 すべての SDI ラ ッパーの tx_gth_full_reset ポート をアサート してその基準ク ロ ッ クを使用するすべての CPLL を リ セット します。

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Application_Notes&docId=XAPP1187&Title=Virtex-7%20GTH%20%26%2312488%3B%26%2312521%3B%26%2312531%3B%26%2312471%3B%26%2312540%3B%26%2312496%3B%26%2312540%3B%26%2312434%3B%26%2320351%3B%26%2329992%3B%26%2312375%3B%26%2312383%3B%20SMPTE%20SDI%20%26%2312452%3B%26%2312531%3B%26%2312479%3B%26%2312540%3B%26%2312501%3B%26%2312455%3B%26%2312452%3B%26%2312473%3B%26%2312398%3B%26%2323455%3B%26%2335013%3B&releaseVersion=1.0&docPage=14

  • Virtex-7 GTH ト ランシーバーを使用して SDI インターフェイスを実現

    XAPP1187 (v1.0) 2014 年 2 月 21 日 japan.xilinx.com 15

    使用モデル 4 : 1 つのクワッ ドで複数のト ランシーバーがアクテ ィブで、 すべての RX が QPLL を使用し、 すべての TX が自身の CPLL を使用する場合図 7 に示すこの使用モデルでは、 ク ワ ッ ド内で複数の ト ランシーバーがアクテ ィブです。 すべてのレシーバーのク ロ ッ クが QPLL によって供給されます。 各ト ランス ミ ッ ターのク ロ ッ クはその ト ランスミ ッ ターに関連する CPLL によってのみ供給されます。 各 CPLL にはそれぞれの基準クロ ッ ク ソースがあ り ます。

    この使用モデルは、 クワッ ド内の複数のト ランシーバーがアクティブで、いずれも SDI インターフェイスを実装している一般的な例です。 クワ ッ ド内のアクティブな GTH RX ユニッ トはすべて同じ QPLLからのシ リ アル ク ロ ッ クを使用します。 GTH TX ユニッ トはすべて、 それらに関連する CPLL からのシ リ アル ク ロ ッ クを使用します。

    X-Ref Target - Figure 6

    図 6 : PLL の使用モデル 3

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Application_Notes&docId=XAPP1187&Title=Virtex-7%20GTH%20%26%2312488%3B%26%2312521%3B%26%2312531%3B%26%2312471%3B%26%2312540%3B%26%2312496%3B%26%2312540%3B%26%2312434%3B%26%2320351%3B%26%2329992%3B%26%2312375%3B%26%2312383%3B%20SMPTE%20SDI%20%26%2312452%3B%26%2312531%3B%26%2312479%3B%26%2312540%3B%26%2312501%3B%26%2312455%3B%26%2312452%3B%26%2312473%3B%26%2312398%3B%26%2323455%3B%26%2335013%3B&releaseVersion=1.0&docPage=15

  • Virtex-7 GTH ト ランシーバーを使用して SDI インターフェイスを実現

    XAPP1187 (v1.0) 2014 年 2 月 21 日 japan.xilinx.com 16

    この使用モデルでは、 SDI ラ ッパーが QPLL マス ターと して指定され、 GTH コモン ラ ッパーのgth_qpllreset ポート を制御します。 その他の SDI ラ ッパーは QPLL リセッ ト を制御しませんが、 GTHコモン ラ ッパーの QPLL ロ ッ ク出力を監視します。次の接続が必要です。

    • QPLL マスターの SDI ラ ッパーの gth_rxpllreset 出力は、 GTH コモン ラ ッパーの qpllreset ポートに接続します。 その他の SDI ラ ッパーの gth_rxpllreset 出力は未接続にします。

    • 各 SDI ラ ッパーの gth_txpllreset 出力は、関連する GTH ラ ッパーの cpllreset ポートに接続します。• あらゆる SDI ラ ッパーの gth_rxplllock 入力は、 GTH コモン ラ ッパーの qplllock 出力で駆動しま

    す。

    • 各 SDI ラ ッパーの gth_txplllock 入力は、 関連する GTH ラ ッパーの cplllock 出力で駆動します。• QPLL への基準クロ ッ ク ソースが安定している場合にのみ、 QPLL マスター SDI ラ ッパーの

    rx_refclk_stable 入力は High にアサート します。その他の SDI ラ ッパーの rx_refclk_stable 入力はHigh に接続します。

    • 関連する ト ランシーバー CPLL への基準クロ ッ ク ソースが安定している場合にのみ、 各 SDI ラ ッパーの tx_refclk_stable 入力を High にアサート します。

    • 各 GTH ラ ッパーの txsysclksel ポートは、 CPLL を TX シ リ アル ク ロ ッ ク ソース と して永久的に選択するよ うに 2’b00 値に接続します。 SDI ラ ッパーの txsysclksel 出力ポートは未接続にします。

    • あらゆる SDI ラ ッパーの RX_CLK_QPLL パラ メーターは 1 に設定します。• 各 SDI ラ ッパーの TX_CLK0_QPLL および TX_CLK1_QPLL パラ メーターは 0 に設定します。• 各 SDI ラ ッパーの tx_m 入力ポートは使用されず、 Low に接続します。• 基準クロ ッ クに対する変更や割り込みが発生したため QPLL を リセッ トする必要がある場合は、各

    SDI ラ ッパーの rx_gth_full_reset 入力をアサート して QPLL とすべての GTH RX の両方を リセット します。

    • 基準クロ ッ クに対する変更や割り込みが発生したため特定ト ランシーバーの CPLL を リセッ トする必要がある場合は、 関連する SDI ラ ッパーの tx_gth_full_reset 入力をアサー ト して CPLL とGTH RX の両方を リセッ ト します。

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Application_Notes&docId=XAPP1187&Title=Virtex-7%20GTH%20%26%2312488%3B%26%2312521%3B%26%2312531%3B%26%2312471%3B%26%2312540%3B%26%2312496%3B%26%2312540%3B%26%2312434%3B%26%2320351%3B%26%2329992%3B%26%2312375%3B%26%2312383%3B%20SMPTE%20SDI%20%26%2312452%3B%26%2312531%3B%26%2312479%3B%26%2312540%3B%26%2312501%3B%26%2312455%3B%26%2312452%3B%26%2312473%3B%26%2312398%3B%26%2323455%3B%26%2335013%3B&releaseVersion=1.0&docPage=16

  • Virtex-7 GTH ト ランシーバーを使用して SDI インターフェイスを実現

    XAPP1187 (v1.0) 2014 年 2 月 21 日 japan.xilinx.com 17

    使用モデル 5 : CPLL のみを使用図 8 に示すこの使用モデルでは、 –1 スピード グレード デバイスの使用時と同様に CPLL のみをシ リアル ク ロ ッ ク ソース と して使用します。 2 つの GTH ト ランシーバーがあ り、 1 つは SDI RX 用に、 も う1 つは SDI TX 用に使用します。 1 つの SDI ラ ッパーが使用され、 両方の GTH ト ランシーバーに接続されます。

    次の接続が必要です。

    • SDI ラ ッパーの gth_rxpllreset 出力は、 RX GTH ラ ッパーの cpllreset ポートに接続します。

    X-Ref Target - Figure 7

    図 7 : PLL の使用モデル 4

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Application_Notes&docId=XAPP1187&Title=Virtex-7%20GTH%20%26%2312488%3B%26%2312521%3B%26%2312531%3B%26%2312471%3B%26%2312540%3B%26%2312496%3B%26%2312540%3B%26%2312434%3B%26%2320351%3B%26%2329992%3B%26%2312375%3B%26%2312383%3B%20SMPTE%20SDI%20%26%2312452%3B%26%2312531%3B%26%2312479%3B%26%2312540%3B%26%2312501%3B%26%2312455%3B%26%2312452%3B%26%2312473%3B%26%2312398%3B%26%2323455%3B%26%2335013%3B&releaseVersion=1.0&docPage=17

  • Virtex-7 GTH ト ランシーバーを使用して SDI インターフェイスを実現

    XAPP1187 (v1.0) 2014 年 2 月 21 日 japan.xilinx.com 18

    • SDI ラ ッパーの gth_txpllreset 出力は、 TX GTH ラ ッパーの cpllreset ポートに接続します。• SDI ラ ッパーの gth_rxplllock 入力は、 RX GTH ラ ッパーの cplllock 出力で駆動します。• SDI ラ ッパーの gth_txplllock 入力は、 TX GTH ラ ッパーの cplllock 出力で駆動します。• RX GTH ラ ッパーの CPLL への基準クロ ッ ク ソースが安定している場合にのみ、 SDI ラ ッパーの

    rx_refclk_stable 入力を High にアサート します。• TX GTH ラ ッパーの CPLL への基準クロ ッ ク ソースが安定している場合にのみ、 SDI ラ ッパーの

    tx_refclk_stable 入力を High にアサート します。• TX GTH ラ ッパーの txsysclksel ポートは、CPLL を TX シ リ アル ク ロ ッ ク ソース と して永久的に

    選択するよ うに 2’b00 値に接続します。 SDI ラ ッパーの txsysclksel 出力ポートは未接続にします。• SDI ラ ッパーの RX_CLK_QPLL パラ メーターは 0 に設定します。• SDI ラ ッパーの TX_CLK0_QPLL および TX_CLK1_QPLL パラ メーターは 0 に設定します。• 各 SDI ラ ッパーの tx_m 入力ポートは使用されず、Low に接続します。TX のビッ ト レート を整数

    と 1/1.001 間で切り替える唯一の方法が、TX GTH ラ ッパーにある CPLL への基準クロ ッ クの周波数を変更するこ とです。 このよ うな基準クロ ッ ク周波数への変更が発生した場合、 SDI ラ ッパーのtx_gth_full_reset 入力をアサート して TX GTH ラ ッパーの CPLL を リセッ ト します。

    • 基準クロ ッ クに対する変更や割り込みが発生したため RX GTH ラ ッパーの CPLL を リセッ トする必要がある場合は、 SDI ラ ッパーの rx_gth_full_reset 入力をアサート して CPLL と GTH RX を リセッ ト します。

    SDI 電気的インターフェイスGTH ト ランシーバーから /GTH ト ランシーバーへ送信されるシ リ アル信号を SDI の電気的規格へ変換するには、 外部に SDI ケーブル イコライザーとケーブル ド ラ イバーが必要です。外部 SDI ケーブル イコラ イザーを使用し、 シングルエンドの 75Ω SDI 信号を GTH ト ランシーバーのレシーバー入力信号要件に対応する 50Ω 差動信号へ変換する必要があ り ます。複数のメーカーが、それぞれに適切な SDI ケーブル イコラ イザーを提供しています。 同相電圧が異なるため、 これらのケーブル イコライザーの差動出力は通常 AC カップリ ングを用いて GTH レシーバー入力信号と接続する必要

    X-Ref Target - Figure 8

    図 8 : PLL の使用モデル 5

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Application_Notes&docId=XAPP1187&Title=Virtex-7%20GTH%20%26%2312488%3B%26%2312521%3B%26%2312531%3B%26%2312471%3B%26%2312540%3B%26%2312496%3B%26%2312540%3B%26%2312434%3B%26%2320351%3B%26%2329992%3B%26%2312375%3B%26%2312383%3B%20SMPTE%20SDI%20%26%2312452%3B%26%2312531%3B%26%2312479%3B%26%2312540%3B%26%2312501%3B%26%2312455%3B%26%2312452%3B%26%2312473%3B%26%2312398%3B%26%2323455%3B%26%2335013%3B&releaseVersion=1.0&docPage=18

  • Virtex-7 GTH ト ランシーバーを使用して SDI インターフェイスを実現

    XAPP1187 (v1.0) 2014 年 2 月 21 日 japan.xilinx.com 19

    があ り ます。図 9 に、標準的な SDI ケーブル イコライザーと GTH レシーバーのインターフェイス例を示します。 重要 : 外部 SDI ケーブル イコラ イザーの出力と GTH RX のシ リ アル入力間の AC カップ リ ング キャパシタの電気容量値は、 SDI パソロジカル信号を減衰させるこ とな く渡すのに十分な大きさが必要です。少なく と も 1.0µF 以上の AC カップ リ ング キャパシタが必要で、 4.7µF のキャパシタを推奨します。GTH RX の差動入力には、 ビル ト インの差動終端があ り ます。 『7 シ リーズ FPGA GTX/GTH ト ランシーバー ユーザー ガイ ド』 (UG476) [参照 1] で説明している とおり、SDI アプリ ケーシ ョ ンの GTH RX入力の RX 終端使用モードは 3 が推奨されています。 SDI アプリ ケーシ ョ ンの場合、 GTH の内部プログラム可能な終端電圧は 800mV に設定します。

    同様に、 GTH ト ランス ミ ッ ターの差動シ リ アル出力は、 SDI ケーブル ド ラ イバーの入力へ接続し、 通常は AC カップ リ ングを用いて接続します (図 10 を参照)。 ケーブル ド ラ イバーは、 電気的特性が SDI仕様を満たすよ うに、 GTH ト ランス ミ ッ ターからの差動信号をシングル エンド信号へ変換します。 通常、SDI ケーブル ド ラ イバーには、スルー レート を設定するためのスルー レート制御入力があ り ます。SD-SDI のスルー レート要件は、 HD-SDI および 3G-SDI のスルー レート要件とは大き く異なり ます。SDI ケーブル ド ラ イバーのスルー レート制御入力は一般的に FPGA で制御されます。 このアプリ ケーシ ョ ン ノー ト で提供する制御モジュールでは、 外部の SDI ケーブル ド ラ イバーで使用するためのスルー レート制御入力を生成します。重要 : GTH TX シ リ アル出力と外部 SDI ケーブル ド ラ イバーの入力間の AC カップリ ング キャパシタの電気容量値は、 SDI パソロジカル信号を減衰させるこ とな く渡すのに十分な大きさが必要です。 少なく と も 1.0µF 以上の AC カップ リ ング キャパシタが必要で、 4.7µF のキャパシタを推奨します。

    SD-SDI の考察SD-SDI の受信270Mb/s ビッ ト レートの SD-SDI は、 GTH RX でサポート されている最低ラインレート未満になり ます。 270Mb/s の SD-SDI を受信するには、 GTH RX を非同期オーバーサンプラーと して使用し、 ビット ト ランザクシ ョ ンが行われる場所を問わずに 270Mb/s の 11 倍 (2.97 ギガサンプル/秒) で SD-SDI

    X-Ref Target - Figure 9

    図 9 : SDI ケーブル イコライザーと GTH レシーバー入力のインターフェイス

    X-Ref Target - Figure 10

    図 10 : SDI ケーブル ド ライバーと GTH ト ランスミ ッ ター出力のインターフェイス

    http://japan.xilinx.comhttp://japan.xilinx.com/about/feedback.html?docType=Application_Notes&docId=XAPP1187&Title=Virtex-7%20GTH%20%26%2312488%3B%26%2312521%3B%26%2312531%3B%26%2312471%3B%26%2312540%3B%26%2312496%3B%26%2312540%3B%26%2312434%3B%26%2320351%3B%26%2329992%3B%26%2312375%3B%26%2312383%3B%20SMPTE%20SDI%20%26%2312452%3B%26%2312531%3B%26%2312479%3B%26%2312540%3B%26%2312501%3B%26%2312455%3B%26%2312452%3B%26%2312473%3B%26%2312398%3B%26%2323455%3B%26%2335013%3B&releaseVersion=1.0&docPage=19

  • Virtex-7 GTH ト ランシーバーを使用して SDI インターフェイスを実現

    XAPP1187 (v1.0) 2014 年 2 月 21 日 japan.xilinx.com 20

    ビ ッ ト ス ト リームをサンプ リ ングします。 GTH RX のク ロ ッ ク データ リ カバ リ (CDR) ユニッ ト がGTH ト ランシーバーの rxcdrhold 入力ポート を High にアサート し、 基準クロ ッ クにロ ッ ク します。 これによ り、CDR が低速な SD-SDI 信号にロッ クするこ とを防ぎ、SD-SDI 信号のオーバーサンプリ ングをよ り一定して実行できます。

    SD-SDI 信号を受信する場合は、低消費電力モード (LMP) イコライザーの自動適応機能を無効にする必要があ り ます。 低速ビッ ト レートにおいてラン レングスが長くなる と、 LPM イコライザーの自動適応機能にエラーが発生します。LPM 自動適応機能を無効にするには GTHE2_CHANNEL プリ ミ ティブのRXOSOVRDEN、 RXLPMHFOVRDEN、 および RXLPMLFKLOVRDEN ポート を High にアサートします。 7 Series FPGAs Transceivers Wizard バージ ョ ン 3.0 では、 これら 3 つのポー ト は通常 GTHラ ッパーに存在せず、 GTH ラ ッパー内部で永久的に Low に接続されるため、 手動で変更する必要があり ます。 最も簡単な方法は、 GTH ラ ッパーの rxcdrhold_in ポート を GTHE2_CHANNEL プ リ ミ テ ィブのこれらのポートに接続するこ とです。レシーバーが SD-SDI モードのと きは rxcdrhold_in ポートがSDI 制御ロジッ クによって High に駆動されるため、 このよ うに接続された 3 つのポート は SD-SDIモードで High に駆動されます。FPGA のプログラマブル ロジッ クに実装されたデータ リ カバリ ユニッ ト (DRU) は、 GTH RX でオーバーサンプルされた SD-SDI データを解析し、各ビッ トの最も可能性が高い値を決定して回復データを出力します。 この DRU は SDI コアの一部ではなく、 アプリ ケーシ ョ ン ノートの SDI 制御モジュールの一部と して提供されています。

    このアプリ ケーシ ョ ン ノートで提供する DRU は、 『高速シ リ アル I/O 向けに動的にプログラム可能なDRU』 (XAPP875) [参照 2] で説明している DRU です。提供される DRU は、11 倍のオーバーサンプリング データから 270Mb/s SD-SDI ビッ ト ス ト リームを回復するよ う最適化されたものです。 XAPP875で説明されている汎用 DRU は、 さまざまなオーバーサンプ リ ング係数を使用してデータを回復でき、SDI コア用に最適化されたこのバージ ョ ンよ り も大規模で、 多くの FPGA リ ソースを使用します。 SMPTE ST 259 (SD-SDI 規格) では、 270Mb/s 以外のビッ ト レート も定められています。 ほとんどのSDI インターフェイスでは 270Mb/s の SD-SDI ビッ ト レートのサポートで十分であるため、 このアプリ ケーシ ョ ン ノー ト で提供する最適化された DRU は、 270Mb/s のみをサポー ト し ます。 その他のSD-SDI ビッ ト レート をサポートする必要があるアプ リ ケーシ ョ ンでは、 この DRU を XAPP875 の汎用 DRU に置き換えるこ とができます。 汎用 DRU は分数のオーバーサンプリ ング係数をサポート しているため、 追加の RX 基準クロ ッ ク周波数を使用しなくても 270Mb/s 以外の SD-SDI ビッ ト レート を受信できます。 SMPTE ST 344 で指定されている 540Mb/s の SD-SDI ビッ ト レートは、 GTH ト ランシーバーでサポート されるライン レート範囲内であるため、GTH RX でこれを受信する目的で DRU を使用する必要はあ り ません。 ただし、 DRU を使用せずに 540Mb/s ビッ ト レート を受信するには、 その他の SDI ビッ ト レー ト で使用されているものとは異なる基準ク ロ ッ ク周波数が必要です。 このため、XAPP875 の DRU を使用して 5.5 倍のオーバーサンプリ ングで 540Mb/s の ST344 を受信した方が、標準の SDI 基準クロ ッ ク周波数を使用できるので、 よ り簡単な方法といえます。また、 その他の SD-SDI ビッ ト レート を受信する場合は、 レシーバーがロ ッ クするまですべての SDIビッ ト レート を順に検索するこ とで SDI RX のロ ッ クを制御する、SDI RX レート検出機能を変更する必要も あ り ます。 レー ト 検出アルゴ リ ズムは、 SMPTE SDI コ ア と 共に提供されるtriple_sdi_rx_autorate.v ファ イルに実装されています。 ザイ リ ンク スでは、 その他の SD-SDIビッ ト レート をサポートする同等のモジュールを提供していません。DRU はリ カバリ ク ロ ッ クを提供しません。 また、 GTH RX の CDR ユニッ トは、 その基準クロ ッ クにロ ッ ク されているため、 SD-SDI モードでは rxoutclk は入力されるビッ ト レートにロ ッ ク されません。DRU は、出力で 10 ビッ ト データ ワードが有効であるこ とを示すデータ ス ト ローブ信号を生成します。SDI コアは、 このデータ ス ト ローブ信号を使用してクロ ッ ク イネーブルを生成します。 これは 27MHzレートでアサート され、 GTH からの rxoutclk ク ロ ッ クに対して通常 5/6/5/6 のクロ ッ ク サイクル リ ズムでアサート されます。SDI ラ ッパーからの rx_ce_sd 信号は、DRU のデータ ス ト ローブ信号で生成されるため、 同じ リ ズムとな り ます。 DRU データ ス ト ローブと rx_ce_sd 信号は、 通常の 5/6/5/6 リ ズムから外れる場合があ り ます。 これは、 実際の SD-SDI ビッ ト レート と、 GTH RX が使用する PLL へ供給されるローカル基準ク ロ ッ クの周波数の間に発生したずれを DRU が補正するために生じる ものです。

    図 11 に、 27MHz rx_ce_sd 信号を示したオシロスコープのスク リーン シ ョ ッ ト を示します。 画面中央の rx_ce_sd の立ち上が