Top Banner
31-5-2015 INSTITUTO TECNLOGICO DE PIEDRAS NEGRAS Electronica Digital Practica No. 1 Prueba De Compuertas Logicas TTL Alfredo Montoya Garcia 12430120 Diego Eduardo Olivo Valdez 12430030 Luis Felipe Hernandez Garza 12430027
9

PRACTICA 1 Pruba de Compuertas Lógicas TTLl

Sep 14, 2015

Download

Documents

Esta práctica está relacionada con las compuertas TTL y las operaciones lógicas que realiza específicamente la compuerta digital 08 AND, en la cual procederemos a realizar un circuito simple que programaremos con pulsos continuos de 5V y nos saldrá el resultado respecto a la hoja de datos de la compuerta AND.
Welcome message from author
This document is posted to help you gain knowledge. Please leave a comment to let me know what you think about it! Share it to your friends and learn new things together.
Transcript

INSTITUTO TECNLOGICO DE PIEDRAS NEGRAS

ContenidoIntroduccin.1Objetivo1Marco terico..2Material y equipo2Desarrollo3 Circuito de prueba.3

Probar tabla de verdad.3

Medicin de voltaje de salida..4

Prueba en el osciloscopio5Conclusiones y observaciones...6Bibliografa y referencias.6IntroduccionEsta prctica est relacionada con las compuertas TTL y las operaciones lgicas que realiza especficamente la compuerta digital 08 AND, en la cual procederemos a realizar un circuito simple que programaremos con pulsos continuos de 5V y nos saldr el resultado respecto a la hoja de datos de la compuerta AND.Representaremos en una tabla los valores de la entrada del voltaje en A y B, finalmente daremos el resultado en C para representar fsicamente el valor dado en la compuerta y el resultado donde el valor se representara como 1 si el foco led esta encendido y 0 si el foco led est apagado.Finalmente haremos una prueba con el osciloscopio para las seales de entrada y salida a 1KHz, 1MHz y 5 MHz y anotaremos las observaciones de cada una, tomaremos el voltaje de cada salida y compilaremos sus niveles de TTL con y sin la resistencia asignada al final.ObjetivoElaborar un circuito der prueba de la operacin logica de una compuerta TTL y de los niveles de voltaje de entrada y salida.Marco TeoricoTTL es la sigla en ingls de Transistor Transistor Logic. Es una tecnologa de construccin de circuitos electrnicos digitales. En los componentes fabricados con tecnologa TTLRS los elementos de entrada y salida del dispositivo son Transistor de unin bipolar transistores bipolares. Su tensin de alimentacin caracterstica se halla comprendida entre los 4,75V y los 8,25V. Normalmente TTL trabaja con 5V. Los niveles lgicos vienen definidos por el rango de tensin comprendida entre 0,0V y 0,8V para el estado L (bajo) y los 5,4V y Vcc para el estado H (alto). La velocidad de transmisin entre los estados lgicos es su mejor base, si bien esta caracterstica le hace aumentar su consumo siendo su mayor enemigo. Motivo por el cual han aparecido diferentes versiones de TTL como FAST, LS, S, etc. y ltimamente los CMOS: HC, HCT y HCTLS. En algunos casos puede alcanzar poco ms de los 400 MHz. Las seales de salida TTL se degradan rpidamente si no se transmiten a travs de circuitos adicionales de transmisin (no pueden viajar ms de 2 m por cable sin graves prdidas).La tecnologa TTL se caracteriza por tener tres etapas, siendo la primera la que le nombra: Etapa de entrada por emisor: se utiliza un transistor multiemisor en lugar de la matriz de diodos de DTL. Separador de fase: es un transistor conectado en emisor comn que produce en su colector y emisor seales en contrafase. Driver: est formada por varios transistores, separados en dos grupos. El primero va conectado al emisor del separador de fase y drenan la corriente para producir el nivel bajo a la salida. El segundo grupo va conectado al colector del divisor de fase y produce el nivel alto.Material y equipo Compuerta Digital 08 AND 3 Leds (2 rojos y 1 verde) 3 Resistencias con valor de 3.3k Fuente de 5v Hoja de datos de compuerta AND seleccionada Tablilla electrnica (Protoboard) Osciloscopio Generador de sealesDEsarrollo1. Circuito de prueba.Armamos el siguiente circuito de prueba con una compuerta 08 AND siguiendo los procedimientos indicados en la imagen que indica las entradas y salidas de dicha compuerta. Realizando cada paso como se indica en la prctica y as obtuvimos estos resultados:

2. Probar tabla de verdad. Conecte las entradas A y B a vcc y tierra para formar el 1 y 0 de la entrada. Llene la tabla siguiente y especifique que compuerta o funcin lgica es.ABZ

001

011

101

110

Realice la prueba anterior (llenando la tabla) pero deje desconectadas las entradas en lugar de conectar a Vcc cuando requiera aplicar un 1 de entrada (anote observaciones).Observaciones:Con la compuerta NAND observamos que no enciende la salida debido a que desconectamos la corriente Vcc de las entradas y solo enciende la salida cuando tenemos un 0 y un 1.3. Medicin de voltaje de salida. En el circuito de la figura 1 mida el voltaje cuando la salida es 0 y 1.Entrada Salida Cumple niveles de TTL

ABZ

0V0V1.506Si

5V5V4.935si

Desconecte la R de salida para que el circuito quede como el de la figura 2, mida el voltaje cuando la salida es 0 y1.

Mida los voltajes de salida para 0 y 1 y verifique si cumple con los niveles TTL ( anote observaciones)Entrada Salida Cumple niveles de TTL

ABZ

0V0V2.858si

5V5V4.935si

Observaciones: El led tiene ms intensidad debido a que se retir la resistencia, adems el voltaje de salida es mayor al indicado en la hoja de datos. 4. Prueba con el osciloscopio Arme el circuito de la figura 3 y conecte el osciloscopio (el generador debe tener una seal de salida cuadrada de niveles TTL 0.5V).

Conecte el osciloscopio (un canal de entrada y un canal de salida para observacin simultnea). Realice la prueba para las seales de entrada y salida a 1KHz, 1MHz y 5 MHz (copie, dibuje o fotografi las seales, anote las observaciones).

En la primera imagen podemos observar que la onda es cuadrada debido a los 5KHz se puede notar una pequea deformacin.En la segunda imagen podemos notar una oscilacin cuadrada perfecta de 5MHzY finalmente en la tercera imagen podemos notar una oscilacin cuadrada de 1KHzConcluciones y observacionesAlfredo Montoya Garcia.En esta prctica pudimos entender mejor las compuertas TTL y sus representaciones de cada una gracias a su hoja de datos que ilustra sus partes y sus componentes que trae cada compuerta.Aprendimos a cmo utilizar correctamente la protoboard y conectar cada uno de sus componentes para realizar la prctica y finalmente calcular su voltaje de salida con el multmetro y determinar sus diferentes seales osiloscopicas para cada una de sus frecuencias requeridas. Diego eduardo olivo valdezDespus de varios intentos fallidos y errores en las conexiones que nos indico el profesor logramos terminar de manera satisfactoria la prctica, pudimos obtener las ondas con mucha claridad en el osciloscopio. luis felipe hernandez garzaEn esta prctica tuvimos nuestro primer acercamiento a las compuertas. Principalmente a la compuerta and. Lo que ms pudimos ver fue que los led de color azul no funcionaban para esta prctica porque funcionan con un voltaje distinto, para lo cual era preciso utilizar ya fueran led verde. Despus de varios intentos fallidos conseguimos terminarla.Bibliografas y referenciasElectrnica Digital Fundamental (3 Edicin), Antonio Hermosa Donate, Editorial Carles Parcerisa Civil.

5