Top Banner
TM C DFTMAX TM Compression 2010.12-SP1 Update Training DFTMAX with Serializer
19

DFTMAXTM Compression 2010.12-SP1 Update Training

Apr 21, 2023

Download

Documents

Khang Minh
Welcome message from author
This document is posted to help you gain knowledge. Please leave a comment to let me know what you think about it! Share it to your friends and learn new things together.
Transcript
Page 1: DFTMAXTM Compression 2010.12-SP1 Update Training

TM CDFTMAXTM Compression 2010.12-SP1

Update Training

DFTMAX with SerializerSe a e

1© Synopsys 2011

Page 2: DFTMAXTM Compression 2010.12-SP1 Update Training

Agenda

• Clock specification for Serializer registers at p gchip-level

• Port specification for Serializer signals atPort specification for Serializer signals at core-level

• HASS flow with a parallel mode• HASS flow with a parallel mode• Hybrid flow with Serializer insertion

2© Synopsys 2011

Page 3: DFTMAXTM Compression 2010.12-SP1 Update Training

Specify a Clock for Serializer Registers

set_dft_signal -view exist -type ScanClock \-timing {45 55} -port EXT CLK2 -test mode alltiming {45 55} port EXT_CLK2 test_mode all

set_serialize_configuration \-inputs 1 -outputs 1 -serializer clock EXT CLK2p p _ _

• Note• The clock specified with -serializer_clock must be predefined with t dft i l t S Cl kset_dft_signal –type ScanClock

• Limitation• A clock dedicated to Serialize registers is not supported• The clock has to be used for some other scan cells

3© Synopsys 2011

• This limitation will be removed in 2010.12-SP2

Page 4: DFTMAXTM Compression 2010.12-SP1 Update Training

Specify Signals For Core-Level Flow

• Specify specific ports for serializer signals in p y p p ga core-level flow– ser clk_

• Clock driving Serializer registers– update_clk_

• Clock driving update stage registers– strobe

• Timing signal for parallel/serial conversion

4© Synopsys 2011

Page 5: DFTMAXTM Compression 2010.12-SP1 Update Training

Specify Signals For Core-Level Flow

set_dft_signal –view existing_dft -type ScanClock \–timing {45 55} -port MY SERI CLK –test mode alltiming {45 55} port MY_SERI_CLK test_mode all

set_dft_signal –view existing_dft -type ScanClock \–timing {45 55} -port MY_UPD_CLK –test_mode all

set dft signal –view existing dft -type TestData \_ _ g g_ yp–port MY_STROBE –test_mode all

set_serialize_configuration \-inputs 1 outputs 1 -update_stage true \-serializer_clock MY_SERI_CLK \-update_clock MY_UPD_CLK \-strobe MY STROBEstrobe MY_STROBE

• Note• All ports have to be dedicated

5© Synopsys 2011

All ports have to be dedicated

Page 6: DFTMAXTM Compression 2010.12-SP1 Update Training

HASS Integration Flow With Parallel Modescan_in(serial)

scan_in(parallel)

scan_in(serial)

scan_in(parallel)

DeserializerDeserializer

Decompressor Decompressor

……

p p

SerializerSerializerSerializer

Cl k

Compressor Compressor

Serializer

scan_out(serial)

SerializerClock Controller

scan_out(parallel)

scan_out(serial)

scan_out(parallel)

6© Synopsys 2011

(serial)(parallel)(serial)(parallel)

Page 7: DFTMAXTM Compression 2010.12-SP1 Update Training

HASS Integration Flow With Parallel ModeSerializer Chains Dedicated to Each CoreSerializer Chains Dedicated to Each Core### core1, core2 (same as core1)define_test_mode my_regular –usage scandefine_test_mode my_serial –usage scan_compressiond fi t t d ll l idefine_test_mode my_parallel –usage scan_compressionset_scan_configuration –chain_count 1 –test_mode my_regularset_dft_configuration -scan_compression enableset_scan_compression_configuration \

-base_mode my_regular \

### top levelfor {set i 0} {$i < 16} {incr i} {

set_dft_signal -view spec -type ScanDataIn \-port SI ${i} –test mode all_ _

-test_mode my_serial \-xtol high \-chain_count 250 \-input 8 \-output 8 \

port SI_${i} test_mode all set_dft_signal -view spec -type ScanDataOut \-port SO_${i} –test_mode all

}define_test_mode my_regular -usage scan

-output 8 \-serialize core_level

set_serialize_configuration \-test_mode my_serial \-parallel_mode my_parallel \

define_test_mode my_serial -usage scan_compression define_test_mode my_parallel -usage scan_compression set_scan_configuration –chain_count 2 set_dft_configuration -scan_compression enable set scan compression configuration \

-input 1 \-output 1 \-update_stage true

create_test_protocoldft drc

_ _ p _ g \-integration_only true \-serialize chip_level

create_test_protocol dft_drc preview dft

Serial2 scan-in/out

Parallel16 (8+8) scan-in/out

7© Synopsys 2011

dft_drcinsert_dft

preview_dftinsert_dft

Standard scan2 scan-in/out

Page 8: DFTMAXTM Compression 2010.12-SP1 Update Training

HASS Integration Flow With a Parallel ModeCore Levelscan_in(serial)

scan_in(parallel)

scan_in(serial)

scan_in(parallel)

Core Level

DeserializerDeserializer

D D

### core1, core2 (same as core1)define_test_mode my_regular –usage scandefine_test_mode my_serial –usage scan_compre…define_test_mode my_parallel –usage scan_comp…

t fi ti h i t 1 t t

……

Decompressor Decompressorset_scan_configuration –chain_count 1 –test_m…set_dft_configuration -scan_compression enabl…set_scan_compression_configuration \

-base_mode my_regular \-test mode my serial \

Serializer

Compressor Compressor

_ y_-xtol high \-chain_count 250 \-input 8 \-output 8 \serialize core level

Serializer

scan out

SerializerSerializer

Clock Controller

scan outscan outscan out

-serialize core_levelset_serialize_configuration \

-test_mode my_serial \-parallel_mode my_parallel \-input 1 -output 1 \

8© Synopsys 2011

scan_out(serial)

scan_out(parallel)

scan_out(serial)

scan_out(parallel) -update_stage true

Page 9: DFTMAXTM Compression 2010.12-SP1 Update Training

HASS Integration Flow With a Parallel ModeChip Level Serial mode

scan_in(serial)

scan_in(parallel)

scan_in(serial)

scan_in(parallel)

Chip Level Serial mode2 scan-in/out

Parallel mode16 (8+8) scan-in/out

Standard scan mode2 scan-in/out

DeserializerDeserializer

D D

### top levelfor {set i 0} {$i < 16} {incr i} {

set_dft_signal -view spec -type ScanDataIn \$

……

Decompressor Decompressor-port SI_${i} –test_mode all set_dft_signal -view spec -type ScanDataOut \-port SO_${i} –test_mode all

}define test mode my regular -usage scan

Serializer

Compressor Compressor

_ _ y_ g gdefine_test_mode my_serial -usage scan_compression define_test_mode my_parallel -usage scan_compression set scan configuration chain count 2

Serializer

scan out

SerializerSerializer

Clock Controller

scan outscan outscan out

set_scan_configuration –chain_count 2 set_dft_configuration -scan_compression enable set_scan_compression_configuration \

-integration_only true \-serialize chip_level

9© Synopsys 2011

scan_out(serial)

scan_out(parallel)

scan_out(serial)

scan_out(parallel)

_

Page 10: DFTMAXTM Compression 2010.12-SP1 Update Training

HASS Integration Flow With a Parallel ModeLimitation

• Parallel mode is not supported with Serializer

Limitation

ppchain concatenation (block-to-block)

10© Synopsys 2011

Page 11: DFTMAXTM Compression 2010.12-SP1 Update Training

Hybrid Flow

scan_in

Decompressor DecompressorDecompressor

DeserializerDeserializer Deserializer

Decompressor

U_core_1 …

Decompressor

U_core_2

Decompressor

Compressor CompressorCompressorSerializer

Clock Controller

SerializerSerializer Serializer

scan_out

11© Synopsys 2011

Page 12: DFTMAXTM Compression 2010.12-SP1 Update Training

Hybrid Flowset test_serializer_ip_insertion_concat trueset_scan_configuration -chain_count 3 \

–clock_mixing mix_clocks set_dft_configuration

-scan compression enable

set_serialize_configuration \-input 1 \

scan_in

scan_compression enable set_scan_compression_configuration \

-xtol high -chain_count 50 \-input 6 -output 6 \-hybrid true -serialize chip_level

-output 1 \-ip_inputs [list U_core_1 1 U_core_2 1] \-ip_outputs [list U_core_1 1 U_core_2 1]

Decompressor DecompressorDecompressor

DeserializerDeserializer Deserializer

Decompressor

U_core_1 …

Decompressor

U_core_2

Decompressor

Compressor CompressorCompressor

Serializer Clock

Controller

SerializerSerializer Serializer

12© Synopsys 2011

Page 13: DFTMAXTM Compression 2010.12-SP1 Update Training

Hybrid Flow with Partitions

scan_in

Decompressor DecompressorDecompressor

Deserializer

Decompressor

DeserializerDeserializer Deserializer

Decompressor

U_core_1 …

Decompressor

U_core_2

Decompressor Decompressor

Compressor CompressorCompressorSerializer

CompressorSerializer

Serializer Serializer

scan_out

13© Synopsys 2011

Page 14: DFTMAXTM Compression 2010.12-SP1 Update Training

Hybrid Flow with Partitions

scan_in

default partitionpart 1

Decompressor DecompressorDecompressor

Deserializer

Decompressor

DeserializerDeserializer Deserializer

default_partitionpart_1

Decompressor

U_core_1 …

Decompressor

U_core_2

Decompressor Decompressor

Compressor CompressorCompressorSerializer

CompressorSerializer

Serializer Serializer

scan_out

14© Synopsys 2011

Page 15: DFTMAXTM Compression 2010.12-SP1 Update Training

Hybrid Flow with Partitions

scan_in

default partitionpart 1

# -- global setting -- #set test_serializer_ip_insertion_concat true set_dft_configuration -scan_compression enable

t i fi ti \

Decompressor DecompressorDecompressor

Deserializer

Decompressor

DeserializerDeserializer Deserializer

default_partitionpart_1 set_scan_compression_configuration \-hybrid true \-serialize chip_level

Decompressor

U_core_1 …

Decompressor

U_core_2

Decompressor Decompressor

Compressor CompressorCompressorSerializer

CompressorSerializer

Serializer Serializer

scan_out

15© Synopsys 2011

Page 16: DFTMAXTM Compression 2010.12-SP1 Update Training

Hybrid Flow with Partitions

# --- part_1 ---define_dft_partition part_1 –include [list TOP_UDL_1]

scan_in

default_partitionpart_1

current_dft_partition part_1 set_scan_configuration \

-chain_count 1 \-clock_mixing mix_clocks

set scan compression configuration \

Decompressor DecompressorDecompressor

Deserializer

Decompressor

DeserializerDeserializer Deserializer

_ _ p _ g \-xtol high \-input 8 -output 8 \-chain_count 200

set_serialize_configuration \i t 1 t t 1

…U_core_1 …U_core_2

-input 1 -output 1

# Define 1 scan-in and scan-out port with set_dft_signal

Compressor CompressorCompressorSerializer

CompressorSerializer

Serializer Serializer

16© Synopsys 2011

scan_out

Page 17: DFTMAXTM Compression 2010.12-SP1 Update Training

Hybrid Flow with Partitions

# --- default partition ---current_dft_partition default_partition set scan configuration \

scan_in

default_partitionpart_1

set_scan_configuration \-chain_count 3 -clock_mixing mix_clocks

set_scan_compression_configuration \-input 8 -output 8 \-chain_count 200 –xtol high

Decompressor DecompressorDecompressor

Deserializer

Decompressor

DeserializerDeserializer Deserializer

set_serialize_configuration \-input 1 -output 1 \-ip_inputs [list U_core_1 1 U_core_2 1] \-ip_outputs [list U_core_1 1 U_core_2 1]

…U_core_1 …U_core_2# Define 3 scanins/outs with set_dft_signal

Compressor CompressorCompressorSerializer

CompressorSerializer

Serializer Serializer

17© Synopsys 2011

scan_out

Page 18: DFTMAXTM Compression 2010.12-SP1 Update Training

Hybrid Flow with PartitionsLimitations

• Parallel access mode is not supported

Limitations

pp• Serializer chain concatenation is not

supportedsupported

18© Synopsys 2011

Page 19: DFTMAXTM Compression 2010.12-SP1 Update Training

Predictable Success

19© Synopsys 2011