Top Banner
271 Epitaxial Cryst 14. Epitaxial Crystal Growth: Methods and Materials The epitaxial growth of thin films of material for a wide range of applications in electronics and optoelectronics is a critical activity in many industries. The original growth technique used, in most instances, was liquid-phase epitaxy (LPE), as this was the simplest and often the cheapest route to producing device-quality layers. These days, while some production processes are still based on LPE, most research into and (increasingly) much of the production of electronic and optoelectronic devices now centers on metalorganic chemical vapor deposition (MOCVD) and molecular beam epitaxy (MBE). These techniques are more versatile than LPE (although the equipment is more expensive), and they can readily produce multilayer structures with atomic-layer control, which has become more and more important in the type of nanoscale engineering used to produce device structures in as-grown multilayers. This chapter covers these three basic techniques, including some of their more common variants, and outlines the relative advantages and disadvantages of each. Some examples of growth in various important systems are also outlined for each of the three techniques. 14.1 Liquid-Phase Epitaxy (LPE) ................... 271 14.1.1 Introduction and Background ..... 271 14.1.2 History and Status ..................... 272 14.1.3 Characteristics .......................... 272 14.1.4 Apparatus and Techniques ......... 273 14.1.5 Group IV................................... 275 14.1.6 Group III–V ............................... 276 14.1.7 Group II–VI ............................... 278 14.1.8 Atomically Flat Surfaces ............. 280 14.1.9 Conclusions .............................. 280 14.2 Metalorganic Chemical Vapor Deposition (MOCVD) .............................................. 280 14.2.1 Introduction and Background ..... 280 14.2.2 Basic Reaction Kinetics .............. 281 14.2.3 Precursors ................................ 283 14.2.4 Reactor Cells ............................. 284 14.2.5 III–V MOCVD .............................. 286 14.2.6 II–VI MOCVD .............................. 288 14.2.7 Conclusions .............................. 290 14.3 Molecular Beam Epitaxy (MBE) .............. 290 14.3.1 Introduction and Background ..... 290 14.3.2 Reaction Mechanisms ................ 291 14.3.3 MBE Growth Systems.................. 293 14.3.4 Gas Sources in MBE .................... 295 14.3.5 Growth of III–V Materials by MBE 296 14.3.6 Conclusions .............................. 299 References .................................................. 299 This chapter outlines the three major epitaxial growth processes used to produce layers of material for elec- tronic, optical and optoelectronic applications. These are liquid-phase epitaxy (LPE), metalorganic chemical vapor deposition (MOCVD) and molecular beam epi- taxy (MBE). We will also consider their main variants. All three techniques have advantages and disadvan- tages when applied to particular systems, and these will be highlighted where appropriate in the following sections. 14.1 Liquid-Phase Epitaxy (LPE) 14.1.1 Introduction and Background Liquid-phase epitaxy (LPE) is a mature technology and has unique features that mean that it is still applicable for use in niche applications within certain device technolo- gies. It has given way in many areas, however, to various vapor-phase epitaxy techniques, such as metalorganic vapor phase, molecular beam and atomic layer epitax- ies (MOVPE, MBE, ALE), see Sects. 14.2 and 14.3. When selecting an epitaxial growth technology for a par- Part B 14
31

14. Epitaxial Crystal Growth: Methods and Materials ...extras.springer.com/2007/978-0-387-26059-4/... · 14. Epitaxial Crystal Growth: Methods and MaterialsEpitaxial Cryst The epitaxial

Jun 17, 2020

Download

Documents

dariahiddleston
Welcome message from author
This document is posted to help you gain knowledge. Please leave a comment to let me know what you think about it! Share it to your friends and learn new things together.
Transcript
Page 1: 14. Epitaxial Crystal Growth: Methods and Materials ...extras.springer.com/2007/978-0-387-26059-4/... · 14. Epitaxial Crystal Growth: Methods and MaterialsEpitaxial Cryst The epitaxial

271

Epitaxial Cryst14. Epitaxial Crystal Growth: Methods and Materials

The epitaxial growth of thin films of materialfor a wide range of applications in electronicsand optoelectronics is a critical activity in manyindustries. The original growth technique used,in most instances, was liquid-phase epitaxy(LPE), as this was the simplest and often thecheapest route to producing device-quality layers.These days, while some production processesare still based on LPE, most research intoand (increasingly) much of the production ofelectronic and optoelectronic devices now centerson metalorganic chemical vapor deposition(MOCVD) and molecular beam epitaxy (MBE). Thesetechniques are more versatile than LPE (althoughthe equipment is more expensive), and theycan readily produce multilayer structures withatomic-layer control, which has become moreand more important in the type of nanoscaleengineering used to produce device structuresin as-grown multilayers. This chapter coversthese three basic techniques, including some oftheir more common variants, and outlines therelative advantages and disadvantages of each.Some examples of growth in various importantsystems are also outlined for each of the threetechniques.

14.1 Liquid-Phase Epitaxy (LPE) ................... 27114.1.1 Introduction and Background ..... 27114.1.2 History and Status ..................... 27214.1.3 Characteristics .......................... 27214.1.4 Apparatus and Techniques ......... 27314.1.5 Group IV................................... 27514.1.6 Group III–V............................... 27614.1.7 Group II–VI............................... 27814.1.8 Atomically Flat Surfaces ............. 28014.1.9 Conclusions .............................. 280

14.2 Metalorganic Chemical Vapor Deposition(MOCVD) .............................................. 28014.2.1 Introduction and Background ..... 28014.2.2 Basic Reaction Kinetics .............. 28114.2.3 Precursors ................................ 28314.2.4 Reactor Cells ............................. 28414.2.5 III–V MOCVD .............................. 28614.2.6 II–VI MOCVD .............................. 28814.2.7 Conclusions .............................. 290

14.3 Molecular Beam Epitaxy (MBE) .............. 29014.3.1 Introduction and Background ..... 29014.3.2 Reaction Mechanisms ................ 29114.3.3 MBE Growth Systems.................. 29314.3.4 Gas Sources in MBE .................... 29514.3.5 Growth of III–V Materials by MBE 29614.3.6 Conclusions .............................. 299

References .................................................. 299

This chapter outlines the three major epitaxial growthprocesses used to produce layers of material for elec-tronic, optical and optoelectronic applications. Theseare liquid-phase epitaxy (LPE), metalorganic chemicalvapor deposition (MOCVD) and molecular beam epi-

taxy (MBE). We will also consider their main variants.All three techniques have advantages and disadvan-tages when applied to particular systems, and thesewill be highlighted where appropriate in the followingsections.

14.1 Liquid-Phase Epitaxy (LPE)

14.1.1 Introduction and Background

Liquid-phase epitaxy (LPE) is a mature technology andhas unique features that mean that it is still applicable foruse in niche applications within certain device technolo-

gies. It has given way in many areas, however, to variousvapor-phase epitaxy techniques, such as metalorganicvapor phase, molecular beam and atomic layer epitax-ies (MOVPE, MBE, ALE), see Sects. 14.2 and 14.3.When selecting an epitaxial growth technology for a par-

PartB

14

Page 2: 14. Epitaxial Crystal Growth: Methods and Materials ...extras.springer.com/2007/978-0-387-26059-4/... · 14. Epitaxial Crystal Growth: Methods and MaterialsEpitaxial Cryst The epitaxial

272 Part B Growth and Characterization

ticular material system and/or device application, thechoice needs to take into account the basic principles ofthermodynamics, kinetics, surface energies, and so on,as well as practical issues of reproducibility, scalabil-ity, process control, instrumentation, safety and capitalequipment costs. A systematic comparison of the vari-ous epitaxy techniques suggests that no single techniquecan best satisfy the needs of all of the material/devicecombinations needed in microelectronics, optoelectron-ics, solar cells, thermophotovoltaics, thermoelectrics,semiconductor electrochemical devices, magnetic de-vices and microelectromechanical systems. LPE is stilla good choice for many of these application areas (M.Mauk, private communication, 2004).

14.1.2 History and Status

LPE is basically a high-temperature solution growthtechnique [14.1] in which a thin layer of the requiredmaterial is deposited onto a suitable substrate. Ho-moepitaxy is defined as growth of a layer of the samecomposition as the substrate, whereas heteroepitaxy isthe growth of a layer of markedly different composition.A suitable substrate material would have the same crys-tal structure as the layer, have as close a match in termsof lattice parameters as possible and be chemically com-patible with the solution and the layer. Nelson [14.2] iscommonly thought to have developed the first LPE sys-tems, in this case for producing multilayer compoundsemiconducting structures. In the following decadesa large technology base was established for III–V com-pound semiconductor lasers, LEDs, photodiodes andsolar cells. LPE has been applied to the growth of Si,Ge, SiGe alloys, SiC, GaAs, InP, GaP, GaSb, InAs,InSb (and their ternary and quaternary alloys), GaN,ZnSe, CdHgTe, HgZnTe and HgMnTe. It has alsobeen used to produce a diverse range of oxide/fluoridecompounds, such as high-temperature superconductors,garnets, para- and ferroelectrics and for various othercrystals for optics and magnetics. The early promise ofgarnet materials for making ‘bubble’ memories was notfully realised as standard semiconductor memory wasmore commercially viable. Dipping LPE is still used tomake magneto-optical isolators by epitaxially growinggarnet layers on gadolinium gallium garnet substrates.

It is probably true to say that most of these systemswere first studied using LPE, where it was used in thedemonstration, development and commercialization ofmany device types, including GaAs solar cells, III–VLEDs and laser diodes, GaAs-based Gunn-effect andother microwave devices and various IR detectors based

on InSb and on CdHgTe. Nevertheless, LPE does not ap-pear in the research literature as often as, say, MOVPE,MBE and ALE in reference to work in these systems.However, it is still used extensively in industrial appli-cations, including III–V LEDs, particularly those basedon AlGaAs and GaP alloys, where it is ideally suited tothe small die areas, the high luminescence efficienciesand the relatively simple device structures needed, andIR detectors based on CdHgTe.

Realistic industrial production data is difficult to ob-tain, but Moon [14.3] noted that the large majority ofoptoelectronic devices were still being grown by LPEat that time, amounting to ≈ 4000 m2 per year. He alsoestimated that despite the loss of market share to moreadvanced techniques, the total demand for LPE materialwas still increasing at ≈ 10% per year. LPE was discon-tinued for many applications because of its perceivedlimitations in regard to control of layer thickness, alloycompositions, doping, interface smoothness and diffi-culties in growing certain combinations of interest forheterostructure devices. LPE is normally dismissed forthe production of superlattices, quantum wells, strained-layer structures and heterojunctions with large latticemismatches of chemical dissimilarities. It also suffersfrom a reputation for poor reproducibility, problemswith scaling up in size or throughput, and difficultiesin achieving abrupt interfaces between successive layerswithin structures.

14.1.3 Characteristics

LPE is characterized as a near-equilibrium growth pro-cess, when compared to the various vapor-phase epitaxytechniques. Heat and mass transport, surface energies,interface kinetics and growth mechanisms are differ-ent in LPE compared to those in vapor-phase epitaxyor bulk growth techniques. These features result inboth advantages and disadvantages for LPE. The formerinclude:

• High growth rates. These are typically 0.1–10 µm/h,i. e. faster than in MOVPE or MBE. This feature isuseful when thick layers or “virtual substrates” arerequired.• Favorable segregation of impurities into the liquidphase. This can lead to lower residual or backgroundimpurities in the epitaxial layer.• Ability to produce very flat surfaces and excellentstructural perfection (Fig. 14.1).• Wide selection of dopants. Most solid or liquidelements can be added to a melt and incorpo-

PartB

14.1

Page 3: 14. Epitaxial Crystal Growth: Methods and Materials ...extras.springer.com/2007/978-0-387-26059-4/... · 14. Epitaxial Crystal Growth: Methods and MaterialsEpitaxial Cryst The epitaxial

Epitaxial Crystal Growth: Methods and Materials 14.1 Liquid-Phase Epitaxy (LPE) 273

103

100

10

1

0.1

0.1 1 10

PVD

CVD

LPE

Supersaturation (%)

Misfit (%)

3-D nucleation

FVM

SB

2-D nucleation

Instability

VWSKSI

CG

Inhomogeneity region,inclusions

Flat surfaces,monostepshigh structuralperfection

FVM Frank–Van der MerweSB Step bunchingVW Volmer–WeberSK Stranski–KrastanovSI Spiral islandCG Columnar growth

Fig. 14.1 The effects of both supersaturation (and thegrowth method) and misfit on the nucleation and growthregimes. Only at very low supersaturation in LPE usinglow-misfit substrates can really flat surfaces be expected.(After [14.4])

rated in the layer, unlike in vapor-phase growthwhere the development of volatile dopant precur-sors with suitable kinetics and sticking coefficientsis a major undertaking. In this regard, there iswork underway on rare-earth doping of semicon-ductor layers to exploit their gettering and opticalproperties.• Suppression of certain types of defects. In general,LPE material has lower point defects (vacancies,interstitials, antisites) than material made by othertechniques. For example, the Ga-rich conditions dur-ing GaAs LPE inhibits the formation of the As

Dipping

Sliding boat Tipping

S

Melt Graphite

Melt Melt

S

S

Melt

Fig. 14.2 Dipping, sliding boat and tipping LPE arrangements. (After [14.5])

antisite defect that is responsible for the nonradiativelosses in luminescent devices.• Once the relevant phase diagram is established,growth can be made to occur over a wide range oftemperatures.• Absence of highly toxic precursors or byproducts.• Low capital equipment and operating costs. A re-search LPE kit can be constructed for under $50 000.

The main consideration when designing an LPE pro-cess is to determine accurate phase equilibria (S–Land/or S–L–V) of the required system. Solution mod-eling, extrapolations of existing phase equilibria andsemi-empirical predictions are usually sufficient to guidedevelopments in new systems/applications. The near-equilibrium nature of LPE provides for several importantgrowth modes, such as selective epitaxy (depositionthrough masks on a substrate) and epitaxial layer over-growth (ELOG, where growth over a mask occurs),which are useful for defect reduction and new devicestructures. These new areas include work on the cur-rently important growth of SiC and GaN for diodeapplications.

14.1.4 Apparatus and Techniques

The basic requirement is to bring the substrate andgrowth solution into contact while the epitaxial layergrows, and then to separate them cleanly at the end ofthe growth cycle. The three main embodiments of theLPE growth method are tipping, dipping and slidingboat, see Fig. 14.2.

Figure 14.3 shows the tipping furnace system usedby Nelson [14.2]. The boat, normally graphite or silica,sits in the work tube in the center of the tilted furnacesuch that the substrate, held with a clamp, is held at oneend of the boat with the growth solution at the other end.Once the melt has been equilibrated the temperature is

PartB

14.1

Page 4: 14. Epitaxial Crystal Growth: Methods and Materials ...extras.springer.com/2007/978-0-387-26059-4/... · 14. Epitaxial Crystal Growth: Methods and MaterialsEpitaxial Cryst The epitaxial

274 Part B Growth and Characterization

Furnace

Furnace

Gas inGas outSilica boat

Substrate

Silicafurnace tube

Growth solutionThermocouple

Fig. 14.3 Tipping LPE furnace. (After [14.2])

Fibre optic guides

UV source

Detector

Crucible

CCD camera(image analysis)

Hinge

Substrateholder

Stirringpaddle

MultizoneAu-coated

furnace

Melt

HgResistancetemperaturedevices (RTD)

Fig. 14.4 Schematic diagram of a dipping LPE reactorshowing the Te-rich melt, the mercury reservoir and po-sitions of the sensors. (After [14.5])

Pd-diffusedhydrogen

Growthsolution

High-puritygraphite block

High-puritygraphite cradle

SubstratePolycrystallinesource

Push rod

Fig. 14.5 Basic structure of graphite horizontal sliding-boat LPE.(After [14.6])

slowly reduced and the furnace is tipped to roll the solu-tion over the substrate. After a suitable time the furnaceis tipped back to the start position and the solution rollsoff the grown layer. This is a relatively simple and cheaptechnique but has the limitations that solution removal isdifficult and it is normally only suitable for single-layergrowth.

Figure 14.4 shows the dipping system used for thegrowth of CdHgTe [14.5]. The design and operation ofa system for growth from Hg-rich melts is dominatedby consideration of the high vapor pressure of Hg thatcomprises ≥ 90% of the growth solution, which led tothe evolution of a vertical high-pressure furnace designwith a cooled reflux region. The cylindrical melt vesselconsists of a high-strength stainless steel chamber linedwith quartz. Such systems are capable of containingabout 10–20 kg of melt at 550 ◦C for several years withno degradation in melt integrity or purity. The preparedsubstrates are introduced into the melt through a transferchamber or air lock. The paddle assembly can be low-ered into the melt and rotated to stir the melt. In general,the high-purity melt components are introduced into theclean melt vessel at room temperature. The system issealed, evacuated and pressurized. The temperature ofthe furnace is raised above the predicted melting pointand held constant until all the solute dissolves. The use oflarge melts results in a near-constant saturation tempera-ture from run to run and ensures excellent reproducibilityof layer characteristics.

Figure 14.5 shows the basic structure of a graphitesliding-boat system, which has turned out to be the mostpopular and versatile of the three main methods [14.6].The substrate sits in a recess in a slider supported bya base section. Growth solutions reside in wells in theupper section of the boat and can be repositioned overthe substrate using a push-rod arrangement. One of themain drawbacks of this method is that of melt retentionon the grown layer. Various means, such as empty wells,slots, lids on the solutions, and pistons to tilt the sub-strate have been tried with varying degrees of success.The critical design feature of the boat to aid wipe-off isto control the gap between the top of the grown layerand the underside of the top section. If this is too large,melt retention occurs, but if it is too small the layer maybe scratched. Multilayer growth is easily possible us-ing this sliding-boat method, providing melt retention iskept to a minimum. The thin melts lead to suppressionof thermal or solutal convection, and hence reduce en-hanced edge growth. Scale-up has also been achieved inthis method with several substrates (up to 16) growingthree-layer GaAlAs structures in a single run.

PartB

14.1

Page 5: 14. Epitaxial Crystal Growth: Methods and Materials ...extras.springer.com/2007/978-0-387-26059-4/... · 14. Epitaxial Crystal Growth: Methods and MaterialsEpitaxial Cryst The epitaxial

Epitaxial Crystal Growth: Methods and Materials 14.1 Liquid-Phase Epitaxy (LPE) 275

In all of the LPE methods, production of supersat-uration in the growth solution drives the deposition ofthe layer on the substrate. This supersaturation can beproduced by ramp cooling, step cooling, supercooledgrowth (a hybrid of the previous two techniques), two-phase growth, constant-temperature growth or transientgrowth [14.7]. The choice between these various meanswill depend on the details of the particular material sys-tem and the precise requirement for the material. Anadditional means of producing the required supersatura-tion is that of electroepitaxy, in which an electric currentis passed through the interface to stimulate layer growth.It is now thought that this occurs via an electromigrationprocess rather than via Peltier cooling. Benefits claimedfor the technique include reduced surface ripple, a re-duced number of certain microdefects and an ability togrow millimeter-thick layers of GaAlAs with uniformcomposition.

14.1.5 Group IV

Silicon and Silicon/GermaniumCiszek [14.9] noted that high-quality Si layers have beengrown on Si substrates at temperatures in the range700–900 ◦C at a rate of 1 µm/min. The potential ap-plication was for solar cells, but because growth wason silicon, rather than a low-cost alternative, this is notconsidered to be a viable production process.

Alonso and Winer [14.10] grew SiGe alloys of vari-ous compositions from Si–Ge–In melts at temperaturesbetween 640 and 900 ◦C. Layers were 1–5 µm thickand were used to study Raman spectra features seen inmaterial grown by MBE. The advantage of LPE-grownmaterial was thought to lie in the random distributionof Si and Ge atoms (no ordering is present) comparedto the MBE-grown material. The authors were able toshow that the Raman peaks seen in MBE-grown ma-terial were not due to ordering; rather they were dueto optical phonons associated with Si–Si motion. Thisdemonstrates the benefits that a near-equilibrium growthprocess can have when studying material grown by‘nonequilibrium’ techniques.

Silicon CarbideDmitriev [14.8,11] has described the production of high-quality 6H-SiC and 4H-SiC p-n junctions by LPE fromSi melts. Layer thicknesses range from 0.2 to 100 µmwith growth rates of 0.01–2 µm/min. Nitrogen is usedas the donor impurity and aluminium, gallium and boronas acceptor impurity elements. The material showed highcarrier mobility and low deep-center concentrations. Ini-

tial attempts used a technique where molten silicon ranfrom an upper section of the crucible to a lower sec-tion where the SiC substrates were held fixed. Dippingwas also used in an attempt to grow material that wasless stressed by Si melt solidification. Growth temper-atures were 1500–1750 ◦C and layer thicknesses were20–40 µm. Both of these techniques produced materialthat was successfully used to make blue LEDs. A newversion of LPE, so-called container-free LPE (CFLPE)based on the electromagnetic crucible technique, wasalso developed, see Fig. 14.6. Liquid metal (molten Si)is suspended in a high-frequency electromagnetic fieldat 1000 ◦C and the substrates, SiC, are placed on top ofthe melt after heating to 1450 ◦C. A source of SiC is alsoplaced at the bottom of the Si melt. Cooling of the so-lution was used to produce the epitaxial layer and the

a)

b)

c)

d)

Silicon

Inductor

SiC substrates

Coppercontainer

Fig. 14.6a–d Schematic of CFLPE: 1 – silicon, 2 – induc-tor, 3 – SiC substrate, 4 – copper container; (a) solid Si incopper inductor, (b) solid Si suspended in the inductor, (c) li-quid Si with SiC crystals suspended in the electromagneticfield, (d) Si is dropped into the container. (After [14.8])

PartB

14.1

Page 6: 14. Epitaxial Crystal Growth: Methods and Materials ...extras.springer.com/2007/978-0-387-26059-4/... · 14. Epitaxial Crystal Growth: Methods and MaterialsEpitaxial Cryst The epitaxial

276 Part B Growth and Characterization

samples were then removed from the melt. The tech-nique succeeded in producing p–n junctions by growingboth layers in the same run. These formed the basis ofseveral types of high-temperature devices (up to 500 ◦C),including diodes, FETs, bipolar transistors and dynis-tors, and optoelectronic devices such as green, blue,violet and UV LEDs. Reductions in melt temperaturehave been attempted by adding Sn, Ge and Ga to Simelts, with some success being reported for the latter;growth at 1100–1200 ◦C was obtained.

14.1.6 Group III–V

Arsenic- and Phosphorus-Based MaterialsThe majority of work in the area of III–V growth hasbeen on GaAs and GaP, plus additions of As and Al. Fol-lowing the earlier treatment by Elwell and Scheel [14.1],Astles [14.7] gave a comprehensive treatment of the LPEgrowth of GaAs and other III–V binaries and ternaries.He lists the advantages of LPE as: high luminescenceefficiency due to the low concentration of nonradiativecenters and deep levels, growth of ternary and quater-nary alloys, controlled p- and n-type doping, multilayergrowth with low interface recombination velocities andgood reproducibility and uniformity. Disadvantages in-cluded: large areas that are required to be free of surfacefeatures (such as for photocathodes or ICs), very abruptcontrol of doping/composition profiles is required (asfor microwave devices), accurate thickness control is re-quired (as for microwave and quantum-well devices),and compositional grading between the substrate andthe layer is inevitable. A problem associated with theuse of phosphorus-containing substrates is the need toprovide an overpressure source or a dummy solution toprevent phosphorus loss during the pregrowth phases.

All of the methods outlined above were attemptedfor the growth of GaAs and related materials. In addi-tion, because LPE is a near-equilibrium technique thatuses low supersaturation, nucleation is very sensitive tosubstrate lattice parameters and the growth rate is influ-enced by the substrate orientation. This enables localizedgrowth in windows on the substrate surface and growthon nonplanar substrates with ribs or channels producedby preferential etching. The latter feature has been usedto produce novel laser structures.

In fact, a vast array of both optoelectronic and mi-crowave devices have been produced in LPE GaAs andrelated materials. The earliest were the GaAs Gunndevices and GaP/GaAsP LEDs. Later, GaAs/GaAlAsheterojunctions were produced for use in lasers, pho-tocathodes and solar cells. Other alloy systems, such as

GaInP for blue/green LEDs, GaInSb for improved Gunndevices, and GaInAs or GaAsSb for photocathodes werealso studied. Later still came growth of ternaries, suchas GaInAsP (lattice-matched to InP) for heterostruc-ture optoelectronic devices. Finally, OEICs and buriedheterostructure lasers were developed to exploit thepotential for selective-area growth and anisotropy ofgrowth rate.

III–V AntimonidesCommercially available substrates for epitaxy are lim-ited in their lattice constant spread and this imposescertain constraints in terms of lattice-matched growthand miscibility gaps. Ternary and quaternary alloy sub-strates with adjustable lattice parameters would open upnew device applications. However, bulk-grown ternaryalloys suffer from segregation and stress effects. An al-ternative approach is to grow very thick layers (> 50 µm)of these compounds for use as ‘virtual substrates’, Maoand Krier [14.12]. For III–V antimonides, where sub-strate and lattice-matching problems are acute, suchthick layers are feasible by LPE due to the relatively fastgrowth rates (1–10 µm/min). Either gradual composi-tional grading or growing multilayers with abrupt butincremental compositional changes between layers canby combined with either selective removal of the sub-strate (to produce free-standing layers) or wafer-bondingtechniques, yielding an alloy layer bonded to a surrogatesubstrate. The challenge for these virtual substrates is toproduce lattice constants that are sufficiently differentfrom those available using binary substrates, withoutintroducing an excessive level of defects.

Another interesting application of antimonides isthat of InSb-based quantum dots, Krier et al. [14.13].The potential application here is in mid-IR lasers, LEDsand detectors. In particular, there is a market for thesematerials as gas detectors based on IR absorption. Theprinciple is that of rapid slider LPE, in which a thin slitof melt is wiped across the substrate producing contacttimes of 0.5–200 ms. This produces low-dimensionalstructures such as quantum wells and quantum dots. InSbquantum dots were grown on InAs substrates at 465 ◦Cwith 10 ◦C supercooling and a 1 ms melt–substrate con-tact time. Both small (4 nm high and 20 nm in diameter)and large quantum dots (12 nm high and 60 nm in diam-eter) are produced. Extensions to this work includedgrowing InSb dots on GaAs and InAsSb dots. Photo-luminescence and electroluminescence in the mid-IRregion (≈ 4 µm) were observed in these dots.

A Japanese group [14.14] is pioneering a techniquecalled melt epitaxy, which can be viewed as a variant

PartB

14.1

Page 7: 14. Epitaxial Crystal Growth: Methods and Materials ...extras.springer.com/2007/978-0-387-26059-4/... · 14. Epitaxial Crystal Growth: Methods and MaterialsEpitaxial Cryst The epitaxial

Epitaxial Crystal Growth: Methods and Materials 14.1 Liquid-Phase Epitaxy (LPE) 277

of LPE. A sliding-boat arrangement rapidly solidifiesa ternary melt into a ≈ 300 µm-thick ternary slab on a bi-nary substrate. For example, thick InGaSb and InAsSblayers were grown onto GaAs and InAs substrates, re-spectively. Low background doping and high electronmobilities are achieved in material that demonstratescut-off wavelengths in the 8–12 µm region, potentiallya competitor to the more established IR detectors basedon MCT (Sect. 14.1.7).

Group III NitridesThe LPE of GaN is difficult due to the low solubility ofnitrogen in molten metals at atmospheric pressure. Thereare reports of growth of GaN from gallium and bismuthmelts, and in some instances the melt is replenishedwith nitrogen by introducing ammonia into the growthambient, relying on a so-called VLS (vapor–liquid–solid) growth mechanism that essentially combines LPEwith CVD (chemical vapor deposition). Another re-port [14.15] notes the use of Na fluxes as a solvent.Klemenz and Scheel [14.16] used a dipping mode at900 ◦C with sapphire, LiGaO2, LiAlO2 and CVD GaNon sapphire substrates.

Other TopicsDoping with rare-earth elements (Dy, Er, Hl, Nd, Pr,Yb, Y, ...) in the AlGaAs, InGaAs, InGaAsSb and In-GaAsP systems can lead to impurity gettering effectsthat radically reduce background doping and junctionsaturation currents and increase carrier mobilities andminority carrier lifetimes. Such rare-earth doping inInAsSb LEDs [14.17] increases the luminescence by10–100 times.

There is no fundamental limit to the number of com-ponents in mixed alloy layers produced by LPE. Forexample, AlGaInPAs layers have been grown on GaAsby LPE [14.18]. Each additional element adds an ex-tra degree of freedom for tailoring the properties of thelayer, although more detailed phase equilibria data ormodels are required to determine accurate melt compo-sitions and temperatures. However, as more constituentsare added the melt becomes more dilute and more nearlyapproaches ideal behavior.

Traditionally, LPE melts are rich in one of the majorcomponents of the layer to be grown. However, there arecertain advantages to using alternative solvents, such asbismuth, as used for GaAs. In the latter case the melt isthen dilute in both arsenic and gallium and the chemicalactivities can be separately controlled to try to reducepoint defects since the concentrations of these defectsdepend on the chemical potentials of the constituents.

Bismuth also has lower surface tension that providesbetter wetting of the substrate. Solubilities can also bechanged to affect growth rates or segregation of certainelements, such as Al in AlGaAs. Other solvents thatmight be considered include molten salts, alloys withHg, Cd, Sb, Se, S, Au, Ag, or even perhaps some fusedoxides.

Several groups have reported success with LPEgrowth of several less-common semiconductors, suchas InTlAsSb, InBiSb and GaMnAs [14.14]. The drivefor this work is for low-bandgap material for use indetectors to rival those made in MCT (Sect. 14.1.7).

The low supersaturation of LPE makes selectivemodes of epitaxy feasible. A substrate can be masked(using, say, SIO2, Si3N4, TiN) and patterned withopenings that serve as sites for preferential nucleation.In epitaxial lateral overgrowth (ELO), the selectivelyseeded material overgrows the mask. This technique hasbeen used for defect filtering, stress reduction, substrateisolation and buried mirrors and electrodes [14.14].ELO is difficult with vapor-phase methods; aspect ra-tios (width to thickness of selectively grown material)are small, whereas they can be 100 in LPE. This couldhave potential for light-emitting diodes [14.14]. Anotherinteresting application of selective LPE is the growthof pyramidal AlGaAs microtips for scanning near-fieldoptical microscopy.

LPE growth of heterostructures with high lattice mis-match has also been attempted, for example of InSb onGaAs [14.14] and AlGaAs on GaP [14.14]. This can beassisted by growing a buffer layer by CVD, as in theLPE of AlGaAs on GaAs-coated (by MOCVD or MBE)silicon substrates. Defect-density reductions of ≈ 2 or-ders of magnitude can be achieved relative to the GaAsbuffer layer grown by MOCVD or MBE.

Another variant of the basic LPE process is thatof liquid-phase electroepitaxy (LPEE), where appli-cation of an electric current through the growthinterface can enhance growth rates for producing thickternary layers [14.14]. Selective LPEE on patterned,tungsten-masked GaAs substrates can produce invertedpyramid-shaped crystals that can be used to make veryhigh efficiency LEDs [14.14].

Mauk et al. [14.19] have reported on a massivescaling up of the LPE growth of thick (> 50 µm) Al-GaAs on 75 mm-diameter GaAs substrates. The methodproduces a two orders of magnitude improvement inareal throughput compared to conventional horizontalsliding boat systems and has applications for LEDs,thermophotovoltaic devices, solar cells and detectors.A large rectangular aluminium chamber is used instead

PartB

14.1

Page 8: 14. Epitaxial Crystal Growth: Methods and Materials ...extras.springer.com/2007/978-0-387-26059-4/... · 14. Epitaxial Crystal Growth: Methods and MaterialsEpitaxial Cryst The epitaxial

278 Part B Growth and Characterization

Preheat “Puck”

SliderPedestal

Boat top

Melt

Boat cap

Preheat “Puck”

Pushrod

Direction of travel

Substrate “Puck”

a)

Pushrod Preheat “Puck”

Graphite heaterbetween quartzplates

Boat cap

Melt

Thermocouple well

Boat top

Preheat “Puck”

Substrate “Puck” Slider railSeed

Pedestal

b)

Fig. 14.7a,b Schematic of three-inch LPE apparatus, (a) perspectiveview, (b) side view. (After [14.19])

of a silica tube (Fig. 14.7). A modified sliding-boat ar-rangement is used with a top heater mounted above theboat cap, in conjunction with a heat exchanger/pedestalthat acts as a cold finger to impose a vertical temper-ature gradient, which is the driving force for growth.These > 50 µm-thick layers were then produced as free-standing layers bonded to glass.

14.1.7 Group II–VI

Widegap CompoundsWhile vapor-phase methods are normally used, LPEhas been used to grow some widegap Zn-based II–VIcompounds [14.20]. This work was driven by the viewat that time that blue LEDs could be made economi-cally in ZnSe. The aim was to produce p–n junctionsdirectly by LPE via growth at 950–650 ◦C, much lowerthan the bulk crystal growth, to reduce the number ofdefects. The problems included the high Se vapor pres-

sure, necessitating a closed-tube approach, and the needto maintain the ZnSe substrates in the upper portion ofthe vertically held melt during deposition. Nevertheless,10–20 µm-thick layers were grown in 2 h. Growth at950 ◦C produced smoother surfaces, but the layers con-tained more deep levels and impurities compared withthose grown at the lower temperatures. Addition of a sep-arate Zn vapor pressure source improved the properties,showing p-type conductivity, and doping with Au, Na,and Li was also attempted. All of these produced p-typematerial but there was no n-type material reported. Morerecently, the same group [14.21] reported growth of p-type ZnSe doped with Na2Se from which p–n junctionswere fabricated after Ga diffusion from a Zn solution,to produce the n-type layer. Blue light was emitted ata wavelength of 471 nm.

Astles [14.22] has reviewed the work done on LPEof CdTe-based compounds. Most studies have beencarried out from Te-rich solutions in the tempera-ture range 500–900 ◦C. Layers are p-type as-grownor n-type if doped with In or Al. Growth rates aretypically 0.5 µm/◦C at 500 ◦C. Growth from Bi-richmelts was also studied and this was found to im-prove melt wipe-off and surface morphology. Bufferlayer growth of CdZnTe layers was used by Pelliciariet al. [14.23] as impurity barrier layers. Both CdM-nTe [14.24] and HgCdMnTe [14.25] have also beengrown by LPE. The latter compound was used to pro-duce mesa diodes for room-temperature 1.3–1.8 µmapplications.

Mercury Cadmium Telluride (MCT)The situation regarding LPE of MCT was reviewed byCapper et al. [14.5]. LPE has emerged as the predomi-nant materials growth technology for the fabrication ofboth first- and second-generation MCT IR focal plane ar-rays (FPAs). The technology has advanced to the pointwhere material can now be routinely grown for high-performance photoconductive (PC), photovoltaic (PV)and laser detector devices covering the entire 2–18 µmspectral region. Two different technical approaches havebeen pursued with almost equal success: growth fromHg solutions and growth from Te solutions. One majoradvantage of the Hg-solution technology is its abilityto produce layers of excellent surface morphology dueto the ease of melt decanting. Two additional uniquecharacteristics have now been widely recognized asessential for the fabrication of high-performance double-layer heterojunction (DLHJ) detectors by LPE: lowliquidus temperature (< 400 ◦C), which makes a cap-layer growth step feasible, and ease of incorporating both

PartB

14.1

Page 9: 14. Epitaxial Crystal Growth: Methods and Materials ...extras.springer.com/2007/978-0-387-26059-4/... · 14. Epitaxial Crystal Growth: Methods and MaterialsEpitaxial Cryst The epitaxial

Epitaxial Crystal Growth: Methods and Materials 14.1 Liquid-Phase Epitaxy (LPE) 279

p-type and n-type temperature-stable impurity dopants,such as As, Sb and In, during growth.

Figure 14.4 shows the dipping system used forthe growth of CdHgTe [14.5]. A typical growth pro-cedure begins by lowering the paddle plus substratesinto the melt and allowing thermal equilibrium to bereached while stirring. After reaching equilibrium, a pro-grammed ramp reduces the melt temperature to therequired level at which point the shutters are opened andthe substrates are exposed to the melt. Upon comple-tion, the paddle is withdrawn into the transfer chamberand the isolation valve is closed. Large melts allow theproduction of layers of up to 30 cm2 with excellent com-positional and thickness uniformity and allow dopantimpurities to be accurately weighed for incorporationinto layers and to maintain stable electrical characteris-tics over a long period of time. Four layers (30 cm2 each)with a total area of 120 cm2 can be grown in a singlerun [14.27]. Norton et al. [14.28] also scaled up for thegrowth of cap layers from Hg-rich solutions, each reactorcapable of growth on four 24 cm2 base layers per run.

While layers grown from Hg-rich solutions are easilydoped with group VB elements with high solubility, lay-ers grown from tellurium-rich solutions are not. GroupVB dopants have low solubility and are not 100% activeelectrically. Group IIIB elements, indium in particu-lar, are easily incorporated from both solutions. Indiumdoping from tellurium-rich melts, however, has oneadvantage in that the segregation coefficient is near unity.

Astles [14.22] has reviewed the experimental dataof Te-rich LPE growth at 460 to 550 ◦C. As an exam-

0 µm 5.19 µm 10.39 µm

10.39 µm

5.19 µm

0 µm

1.99 nm

0 nm

Fig. 14.8 AFM image of a Frank–Read growth spiral. (Af-ter [14.26])

ple, to compare growth parameters for Te solutions withthose for Hg solutions, consider the growth of LWIRMCT (x = 0.2) at 500 ◦C from both Te and Hg solu-tions. The xCd for Te-rich solutions is 8.3 × 10−3, whilexCd for Hg-rich solutions is 2.6 × 10−4. This is one ofthe difficulties encountered in LPE growth from Hg-richsolutions. Use of large melts, however, overcomes theCd depletion problem. MCT epitaxial layers of the de-sired thickness (> 10 µm) and of uniform compositionthrough the thickness can be grown.

A typical sliding-boat arrangement has been de-scribed by Capper et al. [14.29]. The LPE boat is madefrom purified, high-density, small-grain, electronic-grade graphite. The precompounded growth solution isplaced in a growth well, and crushed HgTe is placed ina blind well. The HgTe acts as a buffering source forthe volatile elements to help stabilize the growth solu-tion composition and also to deliver an overpressure tothe annealing well to control the metal vacancy levelduring annealing. These wells are connected to the an-nealing well with a gas channel plate that controls themovement of the volatile elements within the boat. Thegrowth solution and HgTe overpressure source are madefrom high-purity elements. The control over the impu-rity levels in the major constituent elements is a crucialpart of the control of the overall process.

At the start of the growth cycle the slider is posi-tioned so that the substrate is under the annealing well.The loaded boat is placed in the reactor tube and the fur-nace is pre-heated to 520 ◦C and then moved over theboat. The boat heats rapidly, and after a solution meltingand equilibration period, the furnace is cooled rapidlyby ≈ 20 ◦C, and then a slow cooling ramp (2 or 3 ◦C/h)is initiated. When the boat reaches the required growthstart temperature, the slider is moved so that the substrateis positioned under the molten solution. The ramp con-tinues until the required film thickness has been grown,after which the slider is returned to the starting positionand the furnace temperature reduced rapidly to an an-nealing temperature. Following the anneal, the furnaceis moved back to its starting position and the system isallowed to cool.

Surface morphology is controlled at two levels:microtexture and long-range variation. The micro-texture is a result of misalignment of the substratecrystal plane with the growing surface. Deviations> 0.1◦ from the <111>B plane lead to signifi-cant surface texture. Growth on accurately orientatedsubstrates gives a specular surface on which atomic-scale growth features can be seen using atomicforce microscopy (AFM). Figure 14.8 shows a clas-

PartB

14.1

Page 10: 14. Epitaxial Crystal Growth: Methods and Materials ...extras.springer.com/2007/978-0-387-26059-4/... · 14. Epitaxial Crystal Growth: Methods and MaterialsEpitaxial Cryst The epitaxial

280 Part B Growth and Characterization

sical Frank–Read site on an as-grown LPE layersurface.

The approach to forming p-on-n DLHJ structures byLPE is virtually universal. LPE from Hg-rich solutionis used to grow the As- or Sb-doped p-type cap layers.The In-doped n-type base layers are grown by variousTe-melt LPE techniques including tipping, sliding, anddipping. The trend appears to be in favor of the p-on-nDLHJ structures, as passivation is more controllable thanthat of the n-on-p structures [14.30]. A bias-selectabletwo-color (LWIR/MWIR) detector structure was firstfabricated by growing three LPE layers from Hg-richmelts in sequence on a bulk CdZnTe substrate, Cassel-man et al. [14.31].

Other Narrowgap II–IV CompoundsHgZnTe was first proposed as an alternative detectormaterial to MCT due to its superior hardness and itshigh energies for Hg vacancy formation and disloca-tion formation [14.32]. Rogalski [14.33] reviewed theLPE growth of HgZnTe and noted that Te-rich growthis favored due to the low solubility of Zn in Hg andthe high Hg partial pressure. He also commented thatthe same factors apply to the growth of HgMnTe. Be-cla et al. [14.24] grew HgMnTe in a two-temperature,closed-tube tipping arrangement at 550–670 ◦C ontoCdMnTe bulk substrates and CdMnTe LPE layers pre-viously grown on CdTe substrates. Phase diagram datawere also presented and the value of kMn was quoted as2.5–3. Rogalski [14.33] also reviewed the status of PCand PV detectors in both HgZnTe and HgMnTe.

14.1.8 Atomically Flat Surfaces

Chernov and Scheel [14.34] have argued that far fromthe perceived drawback of LPE of producing roughsurfaces, it may be uniquely suited to providing atom-ically flat, singular surfaces over distances of severalmicrometers. These surfaces would have applicationsin surface physics, catalysis and improved homogene-ity of layers and superlattices of semiconductors andsuperconductors.

In support of this view, Fig. 14.8 shows an AFM im-age of a Frank–Read growth spiral on the surface ofan MCT layer grown by LPE in this author’s labora-tory [14.26].

14.1.9 Conclusions

LPE was generally the first epitaxial technique appliedto most systems of interest in micro- and optoelec-tronics. It is now generally a mature technology, withlarge fractions of several optoelectronic, IR detectorsand other device types being made in LPE material, al-though some developments are still taking place. LPEhas several advantages over the various vapor-phase epi-taxial techniques, such as high growth rates, favorableimpurity segregation, ability to produce flat faces, sup-pression of certain defects, absence of toxic materials,and low cost. There is much less emphasis on LPE in thecurrent literature than on the vapor-phase methods, butLPE continues to seek out and develop in several nichemarkets where vapor-phase techniques are not suitable.

14.2 Metalorganic Chemical Vapor Deposition (MOCVD)

14.2.1 Introduction and Background

The technique of MOCVD was first introduced in thelate 1960s for the deposition of compound semiconduc-tors from the vapor phase. The pioneers of the technique,Manasevit and Simpson [14.35] were interested ina method for depositing optoelectronic semiconductorssuch as GaAs onto different substrates such as spineland sapphire. The near-equilibrium techniques such asLPE and chloride VPE were not suitable for nucleationonto a surface chemically very different to the com-pound being deposited. These pioneers found that ifthey used combinations of an alkyl organometallic forthe Group III element and a hydride for the Group Velement, then films of GaAs could be deposited ontoa variety of different surfaces. Thus, the technique of

MOCVD was born, but it wasn’t until the late 1980sthat MOCVD became a production technique of any sig-nificance. This success depended on painstaking workimproving the impurity of the organometallic precursorsand hydrides. By this time the effort was on high-quality epitaxial layers on lattice-matched substrates,in contrast with the early work. The high-quality epi-taxial nature of the films was emphasized by changingthe name of the growth method to metalorganic va-por phase epitaxy (MOVPE) or organometallic VPE(OMVPE). All of these variants of the name can befound in the literature and in most cases they can beused interchangeably. However, MOCVD can also in-clude polycrystalline growth that cannot be describedas epitaxy. The early niche applications of MOVPEwere with GaAs photocathodes, GaAs HBT lasers and

PartB

14.2

Page 11: 14. Epitaxial Crystal Growth: Methods and Materials ...extras.springer.com/2007/978-0-387-26059-4/... · 14. Epitaxial Crystal Growth: Methods and MaterialsEpitaxial Cryst The epitaxial

Epitaxial Crystal Growth: Methods and Materials 14.2 Metalorganic Chemical Vapor Deposition (MOCVD) 281

GaInAsP lasers and detectors for 1.3 µm optical fibercommunications.

The characteristics of MOCVD that have taken itfrom a research curiosity to production have been in thesimplicity of delivery of the reactive vapors and the ver-satility of compositions, dopants and layer thicknesses.These basic attributes have enabled the same basic tech-nique to be used for narrow bandgap semiconductorssuch as the infrared detector materials CdxHg1−xTe andGaInSb and now for wide bandgap semiconductors suchas GaN and ZnO. Indeed, the success of GaInN in the1990s for high-brightness blue LEDs has now led to thisbeing the most popular material produced by MOCVD.The early strength of MOCVD was its ability to growonto different substrates but this was later abandonedin favor of the more conventional homoepitaxy; how-ever, the nitrides rely on heteroepitaxy onto sapphireand SiC substrates, bringing MOCVD back to its rootswith the early work of Manasevit. This versatility withsubstrate materials presents MOCVD with the ultimatechallenge of mating high-performance optoelectronicmaterials with silicon substrates in order to combinethe best of optoelectronic and electronic performance.

This section of the chapter will cover the keyelements of the MOCVD process from the physi-cal characteristics of the precursors through reactordesign to getting the right materials properties for high-performance devices.

14.2.2 Basic Reaction Kinetics

The precursors for III–V MOCVD are generally a sim-ply alkyl for the Group III source and a hydride forthe Group V source. Both have the essential propertiesof being volatile in a suitable carrier gas stream (usu-ally hydrogen) and being chemically stable at ambienttemperature. These precursors are normally mixed out-side the reaction chamber, introduced into the reactionchamber through a suitable injector arrangement anddirected onto a hot substrate. This is shown schemati-cally in Fig. 14.9. The details of reactor design will bediscussed later in this chapter. The reaction of the pre-cursors to yield the III–V compound on the substratecan occur either in the hot vapor above the surface oron the hot surface. The stoichiometric reaction for GaAsgrowth is given as

(CH3)3Ga+AsH3 → GaAs+CH4 . (14.1)

This reaction has been the most widely studied of allthe MOCVD reactions and was one of the original pro-cesses reported by Manasevit and Simpson [14.35]. One

Organometallicstream

Pushflow

Parasitic reactions on reactor wall

MixingGas phasereactions

Desorptionof organicproducts Hydride

stream

Diffusion tosurface ofprecursors

Surface heterogeneous reactions

Diffusion ofproducts tosurface

Fig. 14.9 Schematic of MOCVD process from mixing of gasstreams to reaction on the substrate surface

Ga(CH3)3 CH3

Ga(CH3)2 CH3

AsH3 AsH2

Ga(CH3)

Ga(CH3)(surface)

GaAs (surface)

AsH3(surface)

AsH(surface)

Gas phasereactions

AsH2(surface)

AsH(surface)

Surfacereactions

CH4 H2

H2

CH4

HCH4

H

H

Fig. 14.10 Reaction scheme for epitaxial deposition of GaAs show-ing the most important vapor phase and surface reaction steps

reason that it works so well is that the hydrogen requiredto satisfy the .CH3 radical bond is supplied from the ar-sine hydride, and at normal growth temperatures (around700 ◦C) avoids unsatisfied carbon bonds that could leadto carbon incorporation. In reality this very simple pic-ture covers a complexity of reaction steps that have totake place, that have been discussed in great detail byChernov [14.36]. However, some of the important reac-tion steps will be described here as an introduction tothe kinetics of GaAs MOCVD.

The schematic shown in Fig. 14.10 gives some ofthe important reaction steps that have been identifiedfor the reaction of GaAs. This gives some insight intothe complexity of the reaction kinetics and it is worthremembering that this is a relatively straightforward re-action for MOCVD. Fortunately, one does not have to

PartB

14.2

Page 12: 14. Epitaxial Crystal Growth: Methods and Materials ...extras.springer.com/2007/978-0-387-26059-4/... · 14. Epitaxial Crystal Growth: Methods and MaterialsEpitaxial Cryst The epitaxial

282 Part B Growth and Characterization

understand every step in the process before attemptingto grow a layer, and this goes some way to explain-ing why MOCVD has developed along very empiricallines. An understanding of the reaction kinetics does,however, enable some of the problems that are associ-ated with MOCVD to be understood, particularly whenthese relatively simple precursors are replaced by morecomplex precursors.

It can be seen from Fig. 14.10 that the reactionprocess is started by gas-phase homolysis of TMGa[(CH3)3Ga] to yield dimethylgallium and methyl rad-icals (.CH3). There are two important roles that themethyl radicals can take and this is generally impor-tant in all MOCVD processes for deposition of III–Vsemiconductors:

1. Methyl radicals can react with the ambient hydrogencarrier gas to yield stable methane and hydrogenradicals.

2. Methyl radicals can react with the arsine (AsH3) toyield stable methane and AsH2.

Both of these steps can initiate the decomposition of ar-sine either through either a methyl radical or a hydrogenradical removing a hydrogen atom from the arsine; thesereaction steps can be seen in Fig. 14.10. There are someimportant consequences of these initial reaction steps,and one you may have already spotted is that the hy-drogen carrier gas is not included in the stoichiometricreaction (14.1) but can play a part in the reaction pro-cess. Another consequence is that although it is highlyunlikely that the two (or more) precursors have the same

Log (growth rate)

1/T (K)

Evaporationlimited

Transportlimited

Kineticlimited

Equilibriumvaporpressure ofcomponents

·Boundarylayer

·Diffusioncoefficient

Slope= (activationenergy)/R

Fig. 14.11 Schematic of the three different temperatureregimes for growth of a compound semiconductor byMOCVD

thermal decomposition characteristics, they can be usedtogether to react at the same temperature through radi-cal reaction mechanisms. The latter has been illustratedin many studies of different systems using ersatz reac-tion tube experiments, and further information on thesecan be found in Stringfellow [14.37]. For example, inthe case of GaAs, the pyrolysis of TMGa will occur at500 ◦C, but for arsine decomposition, a temperature ofaround 700 ◦C is required.

The stepwise removal of ligands from TMGa andarsine can occur in the vapor or on the surface. Fig-ure 14.10 shows both alternatives and the dominant pathwill depend on both the surface temperature and vaportemperature above the substrate. A general rule is thatmore of the reaction process will occur on the surface atlower temperatures when the vapor reaction rate will beslower and the surface adsorption will be more efficient.It is also important to note that there are two differentadsorption sites on the surface: the Ga sites that willtake the anion species and the As sites that will take thecation species.

Part of the early success of GaAs and the alloyGaAlAs was due to the absence of unwanted vapor-phase reactions that could lead to the formation ofpolymer chains that would contaminate the layer. Thiswas not the case with InP where the liquid alkyl sourceTEIn was used instead of the solid TMIn. This ledto a mist in the reactor resulting from the formationof an adduct between the precursors that subsequentlypolymerized. This was overcome through the use of low-pressure reactors, and this has remained a feature of mostproduction reactors today. However, the preferred pre-cursor is TMIn and the problems of uncertain supplyfrom a solid source has been overcome by a variety ofdifferent innovative methods. One example is to forma liquid with a stable amine, and the source then willbehave in the same way as any other liquid precursor(Frigo et al. [14.38]).

The details of the reaction kinetics will change withsubstrate temperature, but as the temperature is increaseda point will be reached where the rate of epitaxial growthwill no longer be determined by the overall reaction ratebut will be determined by the supply of precursors to thesubstrate. This will be reflected by a depletion of the pre-cursor concentration immediately above the surface anda gradient in precursor concentration towards the unde-pleted free stream. The limitation on the rate of epitaxialgrowth then becomes the rate of diffusion through thedepleted boundary layer to the substrate. This is calledtransport-limited growth and is characterized by highgrowth rates and only a weak dependence of growth

PartB

14.2

Page 13: 14. Epitaxial Crystal Growth: Methods and Materials ...extras.springer.com/2007/978-0-387-26059-4/... · 14. Epitaxial Crystal Growth: Methods and MaterialsEpitaxial Cryst The epitaxial

Epitaxial Crystal Growth: Methods and Materials 14.2 Metalorganic Chemical Vapor Deposition (MOCVD) 283

rate on substrate temperature. This is shown schemati-cally in Fig. 14.11. The plot is of ln(growth rate) versus1/T because of the expected Arrhenius relationship inthe rate constants. This really only applies to the low-temperature (kinetic) regime. Here the growth rate canbe expressed as

Rate = A exp −(Ea/RT ) , (14.2)

where A is a constant and Ea is the activation energy.It is unlikely that Ea can be attributed to the activationenergy for a single reaction step, but it is still useful forcharacterizing the kinetics when different precursors arebeing tested.

In the transport-limited regime there will be a smalldependence on temperature due to the increase in dif-fusion rate with temperature, and this is illustrated inFig. 14.11. Most MOCVD growth processes will takeplace in the transport-limited regime where it is easierto control growth rate. However, there are a number ofgrowth processes that will occur at lower temperatures inorder to control the properties such as native defect con-centrations of the epitaxial films. This is generally thecase with II–VI semiconductors, but can also apply to theformation of thermodynamically unstable III–V alloys.

In the high-temperature regime, the growth ratedecreases with temperature, as the equilibrium vaporpressure of the constituent elements in the film willincrease and give desorption rates similar to the deposi-tion rate, leading to significant loss of material throughevaporation to the gas stream.

14.2.3 Precursors

The choice of precursors is not confined to simple alkylsand hydrides but can extend to almost any volatileorganometallic as a carrier for the elemental compo-nents of a film. In the case of II–VI semiconductors itis usual to use an alkyl for both the Group II and theGroup VI elements. Hydrides have been used as Se andS sources but prereaction makes it difficult to controlthe growth process and in particular can make it difficultto incorporate dopants. The use of combined precursorsources has been extensively researched but is not incommon use for epitaxial device-quality material. Onereason for this is the difficulty in controlling the precur-sor ratio that is needed to control the stoichiometry ofthe material.

The important properties of precursors, and theirselection, can be generalized and provides a basis foroptimizing the MOCVD process. These properties canbe summarized as follows:

1. Saturated vapor pressure (SVP) should be in therange of 1–10 mbar in the temperature range0–20 ◦C.

2. Stable for long periods at room temperature.3. Will react efficiently at the desired growth tempera-

ture.4. The reaction produces stable leaving groups.5. Avoids unwanted side reactions such as polymeriza-

tion.

According to the Clausius–Clapeyron equation, theSVP of a liquid is given by an exponential relationship:

SVP = exp(−∆G/RT ) , (14.3)

where ∆G is the change in Gibbs free energy on evapo-ration, R is the gas constant and T the temperature of theliquid in the bubbler. This can be expressed as the heatof evaporation ∆H and the entropy for evaporation ∆S,where ∆G = ∆H − T∆S; this gives the familiar formof the SVP equation:

SVP = exp(−∆H/RT )+∆S/R

= exp(∆S/R) exp(−∆H/RT ) . (14.4)

This is of the form:

loge(SVP) = A − B/T , (14.5)

where A and B are constants given by A = ∆S/R andB = ∆H/R. Manufacturers of the precursors will gen-erally give the SVP data in the form of the constants A

Table 14.1 List of precursors with vapor pressure constantsderived according to (14.6)

Precursor A B SVP at 20 ◦C

(mm Hg)

TMGa 8.07 1703 182

TEGa 8.08 2162 5.0

TMAl 8.22 2134 8.7

TEAl 9.0 2361 0.02

TMIn 10.52 3014 1.7

TEIn 8.94 2815 1.2

Solution TMIn 10.52 2014 1.7

DMZn 7.80 1560 300

DEZn 8.28 2109 12

DMCd 7.76 1850 28.2

DES 8.184 1907 47

DMSe 9.872 2224

DESe 8.20 2020

DMTe 7.97 1865 40.6

DIPTe 8.29 2309 2.6

PartB

14.2

Page 14: 14. Epitaxial Crystal Growth: Methods and Materials ...extras.springer.com/2007/978-0-387-26059-4/... · 14. Epitaxial Crystal Growth: Methods and MaterialsEpitaxial Cryst The epitaxial

284 Part B Growth and Characterization

and B in (14.5). It can also be given in the form

log10(SVP) = A′ + B′/T . (14.6)

To convert the constants in (14.6) to (14.5), just multiplyby ln10. Some examples of the SVP constants, alongwith the calculated SVP at 20 ◦C, for a number of typicalprecursors are shown in Table 14.1.

14.2.4 Reactor Cells

The design of reactor cells has formed a very impor-tant part of the development of MOVPE and has beencrucial in scaling laboratory processes to large-scale pro-duction. The original research reactors fell into one oftwo groups, either the vertical reactor or horizontal re-actor. These reactor designs are shown schematically inFig. 14.12. The substrate is placed onto a graphite sus-ceptor that is heated by either RF coupling via a coilsurrounding the reactor, a resistance heater underneaththe susceptor, or lamps placed underneath the suscep-tor. The reactor wall can be water-cooled or gas-cooledto minimize reaction and deposition onto these surfaces.Either of these reactor cells could be operated at at-

a) Plan view of susceptor

Rotating substrate

Gas flowBoundaryThermocouple layer

b) Gas inlet

Quartz reactor cell

RF heatingcoil

Exhaust

Susceptor

Fig. 14.12a,b Schematic of (a) horizontal and (b) verticalreactor cells

mospheric or reduced pressure. For reduced-pressureoperation the reactor cell pressure would be typicallya tenth of an atmosphere but a wide range of differentpressures have been successfully used. Reduced pres-sure will increase the gas velocity and help to overcomethe effects of free convection from a hot substrate. Theforced convection parameter that is often quoted is theReynolds number and is proportional to gas velocity.A high Reynolds number will ensure streamline flow,while at low Reynolds number the buoyancy effects ofthe hot substrate will take over and the gas flow willbe dominated by free convection (characterized by theGrashof number) and become disorganized with recircu-lation cells. In transport-limited growth the exact natureof the gas flow will determine the uniformity of depo-sition and can also affect the defect concentration inthe films due to particulates and reaction products beingswept back across the growing surface. It is not normalto achieve the very high flow velocities and Reynoldsnumbers associated with turbulent flow in an MOVPEchamber, but the disorganized flow due to free convec-tion is often (wrongly) referred to as ‘turbulent flow’.

Another reason for using high flow velocities isto overcome the effects of depletion of the precursorconcentration at the downstream end of the depositionregion. For transport-limited growth the growth rate islimited by the rate of diffusion from the free stream tothe substrate. This region is called the boundary layerand increases in thickness going downstream from theleading edge of the susceptor, as shown in Fig. 14.13.Some horizontal reactors are designed with a tilt in thesusceptor so that the free cross-sectional area decreasesand hence the flow velocity increases going downstream.This helps to flatten the boundary layer and ensure betteruniformity.

Maintaining a boundary layer has a cost: the highutilization of expensive precursors and gases, as the

Gas flowInlet,v1, c1

Free gas stream

Gas flow velocity = vOM concentration = c

Flowvelocity profile

v1, c1

cs << c1

Fig. 14.13 Schematic of a boundary layer in a horizontalreactor

PartB

14.2

Page 15: 14. Epitaxial Crystal Growth: Methods and Materials ...extras.springer.com/2007/978-0-387-26059-4/... · 14. Epitaxial Crystal Growth: Methods and MaterialsEpitaxial Cryst The epitaxial

Epitaxial Crystal Growth: Methods and Materials 14.2 Metalorganic Chemical Vapor Deposition (MOCVD) 285

a)

b)

c)

d)

Slow downward flowof precursors

Gases pumpedoutwardby substraterotationHigh

speed rotatingsubstrate holder

Low speedrotation to giveuniformity

Silica top plate directs flowhorizontally across the wafers

Planetaryrotation to giveuniform growth

Group Vinjector

Group IIIinjector

Rotation of substateholder to dose alternatelybetween Group V and Group III precursors

Closecoupledshowerheadto injectGroup IIIand Group Vprecursors

Fig. 14.14a–d Schematic of four different production re-actor designs: (a) the Emcore (Veeco) Turbo-disc, (b) theThomas Swan showerhead, (c) the Aixtron planetary, and(d) the EMF Vector flow reactor

flow throughput is typically very high and the propor-tion of precursors reacted in the region of the substratesis very low. This became a more serious problem whenreactors were scaled to hold multiple wafers for produc-tion. These problems have been resolved with differentsolutions in the vertical and horizontal reactor configu-rations with the Emcore (now Veeco) turbo-disc reactor,the Thomas Swan showerhead reactor and the Aixtronplanetary reactor. A fourth production-scale reactor de-

sign has recently been introduced by EMF, called theTitan, and works on the principle of atomic layer depo-sition (ALD), where the Group II and Group V gasesare fed over the substrate surface separately. A commonfeature to all of these production reactor designs is thatthe substrates are rotated so that the concentrations ofprecursors and reactants arriving at the substrate do nothave to be uniform across the surface, as a portion of thesubstrate will alternately experience high and low con-centrations that will average out. Each of these reactordesigns is shown schematically in Fig. 14.14.

The turbo-disc reactor, shown schematically inFig. 14.14a, is a vertical reactor configuration but theboundary layer is kept to a narrow region above the sus-ceptor by high-speed rotation that pumps the gas radiallyoutwards due to viscous drag. The rotation speeds areup to 2000 rpm in order to create this lateral flow of theconstituents above the substrate. This is continuouslyreplenished from the slower downward gas stream, re-sulting in excellent uniformity of deposition across thewafers and a high utilization of the reactant gases (Tompaet al. [14.39]). The reactor pressure is typically around100 mbar.

The showerhead reactor is another vertical reac-tor arrangement but it takes a different approach toovercoming free-convection currents and poor unifor-mity [14.40]. The precursors are introduced througha water-cooled showerhead placed just above the sus-ceptor. The susceptor is rotated but typically at muchlower speeds than for the turbo-disc reactor. The precur-sor distribution can be balanced across the width of thereactor to give a uniform supply of precursors.

The planetary reactor is a horizontal flow arrange-ment where the reactants enter at the center of rotation ofthe susceptor and flow outwards. This is an example ofa fully developed flow where depletion of the reactantsis occurring as the gases move away from the center andthis will be accentuated by a decrease in the mean flowvelocity as the gases move outwards [14.41]. This wouldnormally give very poor uniformity but the planetary ro-tation mechanism will rotate each wafer on the platen soit will sample alternately high and low concentrations,giving uniform deposition. This approach has the advan-tage of high utilization of the precursors and the abilityto extend the design to very large reaction chambers formultiple wafers, with the Aixtron 3000 reactor holding95 2 inch-diameter wafers.

The fourth approach to multiple wafer deposition isthe EMF Ltd vector flow epitaxy (VFE), which intro-duces the Group II and Group V precursors separatelyover a rotating susceptor platen, as shown in Fig. 14.14d.

PartB

14.2

Page 16: 14. Epitaxial Crystal Growth: Methods and Materials ...extras.springer.com/2007/978-0-387-26059-4/... · 14. Epitaxial Crystal Growth: Methods and MaterialsEpitaxial Cryst The epitaxial

286 Part B Growth and Characterization

The rotation of the platen will direct the gases across thewafers and out through separate exhausts, thus keepingthe gases separate in the reactor chamber. This has theadvantage of alternately dosing the surface with GroupIII and Group V precursors to grow the film from atomiclayers, which in turn prevents prereaction between theprecursors and maintains excellent film uniformity overthe growth surface. This could be particularly importantfor compounds of nitrides and oxides where reducedpressure is normally required to avoid significant pre-reaction. The advantage of the ALD approach is thatthe reaction chamber can be operated at atmosphericpressure, which simplifies the operation of the system.

14.2.5 III–V MOCVD

This section will consider the range of III–V ma-terials grown by MOCVD and the precursors used.Most of the III–V semiconductors can be grown fromorganometallics of the Group III element and hydridesof the Group V element. Exceptions to this will be notedwhere appropriate.

Arsenides and PhosphidesThe most commonly studied alloy system isAl1−xGaxAs, which is used for LEDs and laser diodesfrom the near-infrared to the red part of the visiblespectrum. This is a well-behaved alloy system withonly a small change in lattice parameter over the en-tire composition range and it covers a range of bandgapsfrom 1.435 eV for GaAs to 2.16 eV for AlAs. One prob-lem with this alloy is the sensitivity of aluminium tooxygen, which makes it extremely difficult to growhigh-quality AlAs. Just 1 ppm of oxygen contamina-tion will result in 1020 cm−3 incorporation of oxygeninto Al0.30Ga0.7As [14.42]. In addition to the normalMOCVD precautions of using ultrahigh-purity hydro-gen carrier gas and ensuring that the moisture in thesystem is removed, the hydrides and organometallicsalso need to have extremely low oxygen contents. Pre-cursor manufacturers have tended to keep to the simplealkyl precursors but to find innovative ways of reducingthe alkoxide concentrations.

Alternative Group V precursors have been soughtdue to the high toxicity of arsine and phosphine. Thesehydride sources also suffer from the fact that they arestored in high-pressure cylinders and any leakage couldresult in the escape of large quantities of toxic gas. Al-ternative alkyl Group V sources have been extensivelyresearched but only two precursors have proved to besuitable for high-quality epitaxial growth, tertiarybutyl-

arsine (TBAs) and tertiarybutylphosphine (TBP). Theseprecursors only have one of the hydrogen ligands re-placed with an alkyl substituent but they are liquid atroom temperature rather than high-pressure gases. In thereactor chamber the likely reaction path is to form thehydride by a process called beta-hydrogen elimination.This entails one of the hydrogen atoms from the methylgroups satisfying the bond to As (or P) with a buteneleaving group as shown below [14.43]:

C4H9AsH2 → C4H8 +AsH3 . (14.7)

This process is more likely to dominate at the normalgrowth temperature for transport-limited growth and iteffectively yields the arsine precursor that can then reactin the normal way. In the search for alternative alkyl pre-cursors this proved to be an important factor, as the fullysubstituted alkyl arsenic sources tended to incorporatelarge concentrations of carbon, degrading the electricalproperties of the film. The importance of the Group Vhydride was discussed in Sect. 14.2.2 and it can be un-derstood why TBA and TBP (for the phosphorus alloys)have proved to be good alternatives to the hydrides.However, it is fair to say that these have never beenwidely utilized due to much higher cost than the hydridesand poor availability.

An alternative for improved safety has been investi-gated more recently and relies on the same principle ofreducing the toxic gas pressure in the event of a systemleak. This alternative stores the hydride in a reversibleadsorption system [14.44]. The adsorption system keepsthe hydride at sub-atmospheric pressure and requirespumping to draw off the hydride when needed, makingit inherently safer. One major advantage to this system,in addition to the inherent improvement in safety, is thatthe precursors and hence the precursor chemistry areunchanged in the reactor cell.

Other alloys commonly grown using MOCVDinclude In0.5Ga0.5P, which has a band gap of ap-proximately 2 eV and is lattice-matched to GaAs. Thequaternary alloy GaInAsP enables lattice-matching toInP substrates while controlling the bandgap in the1.3 µm and 1.55 µm bands used for long-range fiber-optic telecommunications.

AntimonidesThe antimonides cover an important range of bandgapsfrom the near-infrared to the mid-infrared bands, up to 5or 6 µm. These compounds and alloys can be used in in-frared detectors, thermophotovoltaic (TPV) devices andhigh-speed transistors. The growth of the antimonides ismore complex than for the arsenides and phosphides be-

PartB

14.2

Page 17: 14. Epitaxial Crystal Growth: Methods and Materials ...extras.springer.com/2007/978-0-387-26059-4/... · 14. Epitaxial Crystal Growth: Methods and MaterialsEpitaxial Cryst The epitaxial

Epitaxial Crystal Growth: Methods and Materials 14.2 Metalorganic Chemical Vapor Deposition (MOCVD) 287

cause the hydride, stibine, is not very stable at roomtemperature so the use of alkyl precursors has beena more natural choice. Another factor that has influencedthe growth of the antimonides is the lower thermody-namic stability and decomposition of substrates such asInSb above about 400 ◦C. It is also desirable to growthe films at a much lower temperature than for the ar-senides and phosphides in order to keep the native defectconcentration low for controlled n-type and p-type dop-ing. However, the antimonides have an advantage inthat they do not incorporate carbon as readily as in thearsenides and phosphides and there is greater flexibil-ity over the choice of antimony precursors [14.45]. Theeasiest choice is to use trimethylantimony (TMSb) orto use larger alkyl groups such as triethyl and triiso-propyl to reduce the reaction temperature as required.It is also possible to reduce the reaction temperature byreplacing TMGa with triethylgallium (TEGa) [14.46].This approach has been particularly advantageous whengrowing aluminium-containing alloys where carbon in-corporation can be a problem, but is reduced usingTEGa. This serves to illustrate the flexibility of MOCVDand has given more scope for the design of precursors,not envisaged in the early days of MOCVD.

NitridesThe nitrides, mainly GaN and the alloy GaInN havebrought MOCVD into prominence as a manufactur-ing technology with the success of high-brightness blueLEDs making large-screen full-color LED displays a re-ality. The precursors used for the nitrides are standardwith the methyl alkyls (TMGa and TMIn) for the GroupIII elements and ammonia for the nitrogen. The key tech-nological barriers to obtaining device-quality GaN wereto overcome the problems associated with heteroepi-taxy onto a non-lattice-matched substrate, sapphire orSiC and to control p-type doping [14.47]. Some re-search has been carried out with homoepitaxy onto GaNsubstrates, but the very high pressures needed for bulkcrystal growth of GaN will restrict the sizes of substratesavailable.

The heteroepitaxial problems have been overcomewith a two-stage growth. High-quality GaN requiresgrowth temperatures in excess of 1000 ◦C, much higherthan is needed for the arsenides and phosphides. At thesetemperatures, nucleation onto sapphire is poor and largefaceted islands grow before complete coalescence of thefilm occurs. This not only leads to very poor surfacemorphology but a high dislocation density where theislands coalesce. Two-stage growth overcomes this bygrowing a uniform nucleation (or buffer) layer onto the

sapphire at 600 ◦C. This is then heated to normal growthtemperature, where a thicker GaN film is then grown.The whole nucleation process can be monitored in situusing laser reflectometry and an example is shown inFig. 14.15 [14.48]. It can be seen that approximately 50to 100 nm of GaN is grown as a smooth layer but dur-ing heating this changes to a rough layer. A remarkablepart of the process is that during the high-temperaturegrowth, shown in Fig. 14.15 by interference oscillations,there is a recovery in the surface morphology, resultingin smooth, device-quality layers. In some cases the GaNbuffer layer is substituted with an AlN layer, but in allcases a two-stage growth process is required. The growthof device layers is achieved by controlling the band gapthrough growth of the alloys GaInN or GaAlN. The bandgap of GaN is 3.4 eV, which is in the UV, so the color ofthe LED is determined by the alloy composition whereincreasing the In content will reduce the band gap andpush the emission wavelength from blue to green. How-ever, this is not an easy alloy to form due to the differentstabilities of the GaN and InN bonds [14.49]. In fact,it cannot be grown to any useful In content at tempera-tures above 1000 ◦C, so the temperature must be reducedto around 800 ◦C. Even with this compromise, the dif-ferent lattice parameters of GaN and InN result in poorsolubility and In contents of more than 40% are not prac-tical. In principle it is possible to prepare LEDs of anycolor by just changing the In content in the alloy, butin practice the high-brightness diodes can only be pre-pared from nitrides covering the blue to green portionof the spectrum. The growth of Al-containing alloys is

2000

1600

1200

800

400

02000 3000 4000 5000

Reflectance (arb. units)

Time (s)

Average reflectanceincreases during growth ofthicker bufferlayer showingsmoothing of layer

Heat to >1000°Ccauses loss ofreflectance throughroughening

Lowtempera-ture buffergrowth

Fig. 14.15 Laser reflectometry of GaN nucleation andgrowth

PartB

14.2

Page 18: 14. Epitaxial Crystal Growth: Methods and Materials ...extras.springer.com/2007/978-0-387-26059-4/... · 14. Epitaxial Crystal Growth: Methods and MaterialsEpitaxial Cryst The epitaxial

288 Part B Growth and Characterization

desirable in order to achieve wider band gaps for ap-plications such as UV LEDs and solar-blind detectors.The problems are similar to those of the In and Ga al-loys, but in the case of Al and Ga the stability of AlNis much greater than that of GaN, which will tend toform AlN clusters. The growth of different alloy com-positions, particularly the growth of higher In contentGaInN, has stimulated some research on alternative pre-cursors for nitrogen, as ammonia pyrolysis is not veryefficient at temperatures below 800 ◦C. One of the fa-vorite candidates is dimethylhydrazine, which will reactreadily with TMGa at temperatures down to 400 ◦C.

The reaction of the ammonia with the Group IIIalkyls to form adducts that can then polymerize is a prob-lem associated with the high growth temperature. Thisrequires special care over the introduction of the pre-cursors, the control of gas flows and wall temperatures.A failure to adequately control these parasitic reactionswill lead to poor growth efficiency, higher defect con-centration in the GaN layer and poor dopant control.The dopants used for n-type and p-type GaN are Si fromsilane and Mg from dicyclopentadienylmagnesium. Then-type doping has proved to be fairly straightforward, butMg doping results in the formation of Mg–H bonds thatpassivate the acceptor state. This problem was solvedby annealing the epitaxial films after growth to removethe hydrogen. This is possible due to the thermal sta-bility of GaN and the high mobility of hydrogen in thelattice. A further problem with p-type doping is thatthe Mg acceptor has an ionization energy of between160 and 250 meV and only about 10% of the chemicallyintroduced Mg is ionized at room temperature.

Despite the materials challenges of GaN and its al-loys, MOCVD has enabled the production of a widerange of devices based on these alloys over the pastdecade, from high-power transistors to laser diodes.Both of these examples have required improvements inmaterial quality and a reduction in the relatively highdislocation densities. In fact, the potential for nitridesis enormous as the quaternary GaInNAs can be tunedto around 1 eV with just 4% nitrogen and is a chal-lenger to the use of InP-based materials for 1.3 µmtelecommunications lasers.

14.2.6 II–VI MOCVD

The MOCVD of II–VI semiconductors is carriedout at much lower temperatures than for their III–V counterparts and this has stimulated a wide rangeof research on alternative precursors, growth kineticsand energy-assisted growth techniques such as pho-

toassisted growth. The basic principles are the sameas for III–V MOCVD and, in general, the same re-action chambers can be used but the lower growthtemperatures have led to the development of newprecursors, particularly for the Group VI elements. Hy-drides are, in general, not used now but early workon ZnSe and ZnS used hydrogen selenide and hy-drogen sulfide [14.50]. A strong prereaction occurredbetween the hydrides and dimethylzinc that could re-sult in deposition at room temperature, but as withIII–V MOCVD, prereactions can make it difficult tocontrol the defect chemistry and the doping. These II–VI compounds and their alloys have been investigated asblue emitter materials with similar bandgaps to GaInN.Alternatively, ZnTe is a potential green emitter and thenarrower bandgap tellurides are used for infrared detec-tors. In fact, the only commercial application of II–VIMOCVD has been for the fabrication of HgCdTe alloysfor infrared detectors. However, the processes used arequite different to standard MOCVD and require differ-ent designs of reactor cells, as will be shown in the nextsection.

MOCVD of HgCdTeHgCdTe is one of the few direct bandgap semiconductorssuitable for infrared detection in the important 10 µmband. The alloy has only a 0.3% mismatch over theentire composition range and will cover the entire in-frared spectrum from the near-infrared with CdTe tothe far-infrared (HgTe is a semimetal so there is nolower limit to the band gap). The main difficulty withgrowing HgCdTe by MOCVD has been the very highequilibrium vapor pressure of Hg over the alloy evenat relatively low temperatures. For example, MBE hasto be carried out at temperatures below 200 ◦C. A fur-ther difficulty created by the instability of HgTe is thatthe tellurium-rich phase boundary, which represents theminimum Hg pressure required to achieve growth, hasa high concentration of doubly ionized metal vacan-cies that make the material p-type. At typical MOCVDgrowth temperatures for HgCdTe, 350 to 400 ◦C, theequilibrium vapor pressure for Hg would have to beclose to the saturated vapor pressure for liquid Hg inorder to keep the metal vacancy concentration belowthe impurity background. This is clearly not realisticin MOCVD as the walls of the reaction chamber wouldhave to be heated to the same temperature as the substrateto avoid mercury condensation, and this, in turn, wouldcause pyrolysis of the precursors before they arrived atthe substrate. Fortunately, it is possible to grow HgCdTefilm on the tellurium-rich phase boundary where the Hg

PartB

14.2

Page 19: 14. Epitaxial Crystal Growth: Methods and Materials ...extras.springer.com/2007/978-0-387-26059-4/... · 14. Epitaxial Crystal Growth: Methods and MaterialsEpitaxial Cryst The epitaxial

Epitaxial Crystal Growth: Methods and Materials 14.2 Metalorganic Chemical Vapor Deposition (MOCVD) 289

a)

b)

Group IIinjector

Group VIinjector

Hg zone heater Substrate heater

HgTe layer thickness = dHgTeCdTe layer thickness = dCdTex = dCdTe/ (dCdTe + dHdTe)

CdTe layers

substrate substrate

CdxHg1–xTe

Inter-diffusion

HgTelayers

Fig. 14.16 (a) Schematic of MOCVD cell for HgCdTegrowth, (b) schematic of IMP

source and reactor walls can be held at around 250 ◦C.At this temperature the pyrolysis of the Cd source,dimethylcadmium (DMCd), and the tellurium source,diisopropyltellurium (DIPTe), are sufficiently slow tominimize the premature reaction.

A schematic of an MOCVD reactor suitable for thegrowth of HgCdTe is shown in Fig. 14.16. The main fea-tures are the heating of the reactor wall and the internalsource of liquid Hg. This is the only MOCVD processthat uses an elemental source and is only possible be-cause of the high vapor pressure of liquid Hg. In fact,a higher partial pressure can be achieved using the el-emental source than an organometallic source, and thisis the only metal where this is true. Another feature ofthis reactor cell is the injection of DMCd through theHg source to avoid a radical exchange reaction betweenDMCd and Hg [14.51].

The alloy composition needs to be precisely con-trolled in order to control the detection wavelength ofthe infrared detector. For a 10 µm detector the pro-portion of Cd on the metal sub-lattice is 21% and itneeds to be controlled to better than 0.5%. The reac-tion rates for CdTe and HgTe require different optimumflow rates, which makes simultaneous alloy control verydifficult. This problem was overcome using the interdif-fused multilayer process (IMP), where alternate layers

of HgTe and CdTe were grown and the flow rate opti-mized for each [14.52]. This process relied on very rapidCd/Hg interdiffusion in the HgCdTe alloy, which en-ables complete homogenization of the alloy at the growthtemperature. The composition is now simply controlledby the relative thicknesses of HgTe and CdTe, as shownschematically in Fig. 14.16b.

Sulfides and SelenidesLow-temperature growth of ZnSe (below 400 ◦C) hasbeen achieved using ditertiarybutylselenide (DTBSe)with dimethylzinc (DMZn) or the adduct DMZn.TEN.The amine, triethylamine (TEN), was first introducedto suppress gas-phase reactions with the hydrides H2Seand H2S. However, this adduct has the additional advan-tage of reducing the saturated vapor pressure of DMZnand making it easier to manage. The significance ofkeeping the growth temperature low is to avoid deep-level native defects that act as trapping sites for donorsand acceptors. The p-type doping of ZnSe with nitrogenwas problematic due to hydrogen passivating the dopant.Incorporation of nitrogen from a variety of precursorsources such as amines and azides up to concentra-tions of 1018 cm−3 could be readily achieved but theactive dopant concentration, in general, remained be-low 1015 cm−3. This was in contrast with the success ofMBE growth of ZnSe that was doped from a nitrogen-plasma source. Fujita and Fujita [14.53] overcame thisproblem by ex situ annealing in a similar manner to GaNbut the weaker lattice gives less scope for this treatmentcompared with GaN.

An alternative approach for low-temperature growthof ZnSe was to use short-wavelength light and UV wave-lengths to illuminate the growing surface and promotesurface photocatalytic reactions [14.54]. It was shownby Irvine et al. [14.55] that the reaction kinetics did notdepend on the Se precursor, giving similar growth ratesfor DMSe, DESe and DIPSe, but depended on a hy-drogen radical reaction that was initiated by a surfacedecomposition of the Group II precursor. Although thiswas effective for growing epitaxial films of ZnSe at tem-peratures well below 400 ◦C, it was clear that hydrogenincorporation was a natural consequence of the reactionmechanism.

MOCVD of Group II OxidesA recent resurgence of interest in ZnO and related mater-ials such as ZnMgO and ZnCdO has arisen because of thesuccess of GaInN as a blue emitter and the potential forfurther developments with UV laser diodes and a solidstate replacement for domestic lighting. GaInN white

PartB

14.2

Page 20: 14. Epitaxial Crystal Growth: Methods and Materials ...extras.springer.com/2007/978-0-387-26059-4/... · 14. Epitaxial Crystal Growth: Methods and MaterialsEpitaxial Cryst The epitaxial

290 Part B Growth and Characterization

light LEDs already exist but the efficiency of the phos-phors would improve if they were excited with UV ratherthan blue photons. A further potential advantage of ZnOis that large ZnO single-crystal substrates can be grownby the hydrothermal method and would eventually avoidthe defect problems associated with heteroepitaxy thathave slowed progress with GaN.

All the early work on ZnO MOCVD used oxy-gen or water vapor as the oxygen source. These reactstrongly at room temperature with DMZn and DEZn.Although reasonably good quality ZnO films havebeen deposited with this approach, it is unlikely thatit will lead to high-quality epitaxial growth or gooddoping control. Essentially, prereaction in all of theIII–V and II–VI semiconductors has been a barrier toobtaining device-quality material. The favored alterna-tive oxygen precursors are the alcohols: isopropanoland tertiarybutanol. For higher temperatures, N2O isa suitable precursor. In general, for epitaxial growthon sapphire or ZnO substrates it is necessary to growat temperatures above 600 ◦C, but for polycrystallinetransparent conducting oxides (TCOs) these precursorscan react at temperatures as low as 300 ◦C. It is pos-sible to readily dope ZnO n-type using TMAl, but aswith ZnSe it has been difficult to achieve p-type dop-ing. Some encouraging results have been obtained usingammonia [14.56], but this work is still at an earlystage of development and must be solved before elec-troluminescent devices can be made. This is proving

to be another class of materials where the versatil-ity of MOCVD has a lot of potential for innovativesolutions.

14.2.7 Conclusions

This section of the chapter has covered the basic prin-ciples of MOCVD and reviewed the range of III–V andII–VI semiconductors that can be grown in this way.This can be contrasted with LPE and MBE, where eachmethod will have its own strengths and weaknesses fora particular material or application. The strength and theweakness of MOCVD is in its complexity. With the rightprecursors it is possible to deposit almost any inorganicmaterial, but in many cases the reaction mechanisms arenot well understood and the development is empirical,with the researcher spoilt by a very wide choice. This isnot to deny the very considerable successes that have ledto major industries in compound semiconductors that hasbeen epitomized in the past 10 years by the production-ization of GaN and the plethora of large LED displaysthat would not have been possible without MOCVD.Without the pioneering work of Manasevit and Simp-son, who demonstrated the potential to grow so many ofthese materials in the early years, and the fortuitous easewith which GaAs/AlGaAs could be grown, we mightnot have tried so hard with the more difficult materialsand hopefully we will see many more innovations in thefuture with MOCVD.

14.3 Molecular Beam Epitaxy (MBE)

14.3.1 Introduction and Background

MBE is conceptually a very simple route to epitaxialgrowth, in spite of the technology required, and it is thissimplicity that makes MBE such a powerful technique.It can be thought of as a refined form of vacuum evap-oration, in which neutral atomic and molecular beamsfrom elemental effusion sources impinge with thermalvelocities on a heated substrate under ultrahigh vac-uum (UHV). Because there are no interactions withinor between the beams, only the beam fluxes and thesurface reactions influence growth, giving unparalleledcontrol and reproducibility. Using MBE, complex struc-tures can be grown atomic layer by atomic layer, withprecise control over thickness, alloy composition andintentional impurity (doping) level. UHV confers twofurther advantages: cleanliness, because the partial pres-

sures of impurities are so low, and compatibility within situ analytical techniques – essential to understand-ing the surface reaction kinetics. The basic elements ofan MBE system are shown schematically in Fig. 14.17.A number of reviews [14.57–59] and books [14.60, 61]have discussed the physics, chemistry, technology andapplications of MBE.

The technique that became known as MBE evolvedfrom surface kinetic studies of the interaction of silane(SiH4) beams with Si [14.62] and of Ga and As2 beamswith GaAs [14.63]. Cho and coworkers, who first usedthe term molecular beam epitaxy, demonstrated thatMBE was a viable technique for the growth of III–Vmaterial for devices, leading the way for a worldwideexpansion of effort.

Much early MBE equipment had a single vacuumchamber for loading, deposition and analysis, which

PartB

14.3

Page 21: 14. Epitaxial Crystal Growth: Methods and Materials ...extras.springer.com/2007/978-0-387-26059-4/... · 14. Epitaxial Crystal Growth: Methods and MaterialsEpitaxial Cryst The epitaxial

Epitaxial Crystal Growth: Methods and Materials 14.3 Molecular Beam Epitaxy (MBE) 291

led to prolonged system pumpdown between growths.The technique required significant improvements in vac-uum conditions before very high quality thin filmswere grown. Cho surrounded the effusion cells witha liquid nitrogen cryopanel to give thermal isolationand reported the MBE growth of thin films of n-and p-type GaAs for device purposes [14.64] and ofGaAs/AlGaAs heterostructures [14.65]. The introduc-tion of a substrate-exchange load lock [14.66] drasticallyreduced pumpdown times and reduced contaminationof the deposition chamber. The installation of extensiveinternal liquid nitrogen-cooled cryopanels [14.67] sub-stantially increased the pumping of oxygen containingspecies and permitted the growth of AlGaAs with su-perior quality. Tsang [14.68] demonstrated lasers withthreshold current densities superior to those grown byLPE. Uniform growth over a 2′′-diameter wafer wasachieved by the introduction of a rotating substrateholder capable of 5 rpm [14.69]. Advanced forms ofthese features are now standard on commercial MBEsystems, many of which feature a modular design. Thetechnology of MBE is now mature, with increasingnumbers of ever larger high-throughput, multiwafer pro-duction MBE machines in widespread use since the early1990s.

MBE has been used to grow a wide range ofmaterials, including semiconductors, superconductors,metals, oxides, nitrides and organic films. In al-most all cases there is a drive to produce structureswith ever smaller dimensions, whether for higher-performance devices, quantum confinement or, morerecently, nanotechnology. This is longest establishedin III–V semiconductors, from the GaAs/AlGaAs su-perlattice [14.70] through quantum wells (QWs) andmodulation doping to quantum wires and quantumdots [14.71]. Such low-dimensional structures form thebasis of the QW lasers and p-HEMTs produced in hugevolumes by MBE for optoelectronic and microwaveapplications. The combination of precise growth con-trol and in situ analysis makes MBE the preeminenttechnology used to meet such demands.

14.3.2 Reaction Mechanisms

One of the first and most important of the in situ an-alytical techniques to be used in MBE is reflectionhigh-energy electron diffraction (RHEED). From anearly stage [14.72] it was used to determine the sur-face structure of the clean substrate and growing layer.This revealed that, in general, all surfaces are recon-structed (they have a lower symmetry than the bulk),

UHV chamber

Cryopanelling

Substrateheating androtation stage RHEED

screenSubstrate

RHEEDgun

Effusion cells

Molecular beams

Open shutter Closed shutter

Fig. 14.17 Schematic diagram of an MBE growth chamber, show-ing the effusion cells and shutters, the substrate stage and thearrangement of the RHEED system

and Cho was the first to propose that the two-fold pe-riodicity observed in the [1̄10] direction on the (001)surface was the result of dimerization of As atoms on thearsenic-terminated surface, which was confirmed manyyears later by scanning tunneling microscopy [14.73].RHEED is a forward-scattering technique and thereforemore compatible with the MBE arrangement of nor-mally incident fluxes than the back-scattering geometryof LEED.

A further application of RHEED is the in situ meas-urement of growth rate. It was found [14.74,75] that theintensity of any diffraction feature oscillated with a pe-riod corresponding to the growth of a single monolayer(ML) – a layer of Ga + As – in the [001] direction ona (001) substrate. These oscillations arise from surfacemorphological changes during two-dimensional (2-D)layer-by-layer growth, the Frank–van der Merwe mode,and a typical result for GaAs is shown in Fig. 14.18.The exact origin of the oscillations is still the sub-ject of debate [14.59], but the technique was foundto be applicable to many other material systems, in-cluding elemental semiconductors, metals, insulators,superconductors and even organic compounds.

Thus RHEED provided information on surface re-construction and quantitative measurements of growthdynamics, which could be combined with those fromother in situ techniques and related to theoretical treat-ments. In the early 1970s, the surface chemistry involved

PartB

14.3

Page 22: 14. Epitaxial Crystal Growth: Methods and Materials ...extras.springer.com/2007/978-0-387-26059-4/... · 14. Epitaxial Crystal Growth: Methods and MaterialsEpitaxial Cryst The epitaxial

292 Part B Growth and Characterization

0 5 10 15 20

Intensity

Time (s)

Ga on

Ga off

θ = 0 θ = 0.25 θ = 0.5 θ = 0.75 θ = 1 θ = 1.25 θ = 1.5 θ = 1.75 θ = 2

Fig. 14.18 Diagram illustrating the development of RHEED intensity oscillations during 2-D layer-by-layer growth,together with an actual experimental plot (courtesy of B. A. Joyce)

in the MBE growth of III–V compounds was studiedextensively using a combination of RHEED and mod-ulated molecular beam mass spectrometry [14.76] ortemperature-programmed desorption [14.77].

Detailed information was obtained on surface reac-tions involving gallium and arsenic. It was found that

Precursorstate

As2 incidentflux

Surfacemigration

Associationand desorptionof As4, τ > 1 s

1st order

As2 sticking coefficient � 1Dissociativechemisorption

Ga stabilized Ga As surface

Desorptionτ < 10–5 s

Fig. 14.19 Surface chemistry of the growth of GaAs from As2

and Ga (courtesy of B. A. Joyce)

the dissociation of GaAs results in the desorption ofAs2, and not of As or As4 [14.78], and that a signifi-cant amount of desorption takes place at MBE growthtemperatures. The sublimation of elemental arsenic, asfrom an effusion cell, results in the formation of As4alone. It was also shown that growth from Ga + As2 isa first-order reaction (Fig. 14.19), whereas growth fromGa + As4 is second-order [14.79,80]. These results sug-gest that in MBE growth Ga sticks to available As sitesand chemisorption of As2 occurs on available Ga atoms.Chemisorption of As4 occurs with two As4 moleculesinteracting on adjacent Ga atoms. The sticking coeffi-cient of As4 is observed to be less than or equal to 0.5,whereas the sticking coefficient of As2 can be equal toone, in agreement with this model. This implies thatmaximum coverage will be less than 100%, since singleGa sites cannot be occupied, and that As vacancies willbe introduced into material grown using As4. This wasthought to be responsible for the higher deep-level con-centrations observed, which were found to be reducedwhen As2 was used or at higher growth temperatures. Itis now known that above 580 ◦C As4 dissociates to As2on the surface [14.81].

Detailed models were established for the growth ofGaAs [14.79, 80] and AlAs [14.82]. With minor modi-fications these models are also valid for ternary alloys

PartB

14.3

Page 23: 14. Epitaxial Crystal Growth: Methods and Materials ...extras.springer.com/2007/978-0-387-26059-4/... · 14. Epitaxial Crystal Growth: Methods and MaterialsEpitaxial Cryst The epitaxial

Epitaxial Crystal Growth: Methods and Materials 14.3 Molecular Beam Epitaxy (MBE) 293

such as AlGaAs [14.83]. It was established that growthrate depends solely on the net Group III flux (incidentflux minus desorbing flux), and that ternary alloy com-position can be controlled by adjusting the ratio of theGroup III fluxes provided the thermal stabilities of bothof the binary compounds that make up the alloy are con-sidered. The Group V element need only be suppliedin excess. The situation is more complicated for alloyscontaining both arsenic and phosphorus, since the pres-ence of one Group V element influences the stickingcoefficient of the other. Foxon et al. [14.84] found thatphosphorus has a much lower incorporation probabilitythan arsenic.

More recent studies have made use of in situ STMand more powerful theoretical treatments to considernucleation and growth at the atomistic level, but thebasic models are still sound.

14.3.3 MBE Growth Systems

The UHV system required for MBE is of conven-tional stainless steel construction, with an ultimate orbackground vacuum of less than 5 × 10−11 torr achiev-able with a clean system after baking, and with theliquid nitrogen cryopanels filled. At such a pressurethe molecules have a typical mean free path of 106 mand so only suffer collisions with the internal surfacesof the system. It would take several hours to build upa monolayer of impurity on the wafer surface.

Oil-free pumping is used to eliminate the possibilityof contamination by hydrocarbon backstreaming; typi-cally rough pumping is with sorption pumps and UHVpumping is with ion pumps and titanium sublimationpumps. Diffusion pumps or turbomolecular pumps canbe used, however, provided suitable cold traps are fitted,and such pumps are required for the higher gas loadsinvolved in GSMBE and CBE. A two- or three-stagesubstrate entry load-lock and preparation chamber iso-lated by gate valves is used to minimize the exposureof the system to air. In modular systems, further deposi-tion and analysis chambers may be added to the systemand samples are transferred via the preparation chamberunder UHV conditions.

The growth chamber substrate stage is surroundedby a large liquid nitrogen-cooled cryopanel, which hasa high pumping speed for H2O, CO, O2 and other con-densable species. This is arranged so that the heated(500–700 ◦C) substrate is not directly exposed to ther-mal sources other than the molecular beams themselves,and impurities emanating from any other source canonly reach the substrate after suffering at least one colli-

sion with, and probable adsorption by, a surface at liquidnitrogen temperatures. The cryopanel also reduces con-tamination arising from outgassing from the walls of thechamber that are exposed to radiation from the effusioncells.

Control over the composition and doping levels ofthe epitaxial layers is achieved by precise temperaturecontrol of the effusion cells and the use of fast-actingshutters in front of these cells. In most systems, thegrowth rate is about 1 monolayer per second, andthe shutter operation time of 0.1 s thus correspondsto less than a monolayer of growth. A growth rate ofone monolayer per second closely approximates onemicrometer per hour for GaAs/AlGaAs.

Although often referred to as K-cells, the solid-source effusion cells used in MBE growth have a largeorifice so as to obtain a high flux at reasonable tem-peratures. A true Knudsen cell has a very small outletorifice compared to the evaporating surface, so that anequilibrium vapor pressure, typically 10−3 torr, is main-tained within the cell. The diameter of this orifice isless than one tenth of the molecular mean free path,which is typically several centimeters. Under these con-ditions, a near approximation to ideal Knudsen effusionis obtained from the cell, giving molecular flow with anapproximately cosine distribution. The flux from sucha cell can be calculated quite accurately, but a high tem-perature is required to produce a reasonable growth rate;for example a gallium Knudsen cell would need to be at1500 ◦C to produce the same flux as an open-ended ef-fusion cell at 1000 ◦C. The lower operating temperaturehelps to reduce impurities in the flux and puts a lowerthermal load on the system. The beam from an open-ended cell may not be calculable with any degree ofaccuracy but it is highly reproducible. Once calibratedvia growth rate, normally by in situ measurement, theflux can be monitored using an ion gauge located on thesubstrate stage.

A number of effusion cells can be fitted to the growthchamber, generally in a ring facing towards the substratewith the axis of each cell at an angle of 20−25◦ tothe substrate normal. Simple geometrical considerationstherefore dictate the best possible uniformity that can beachieved with a stationary substrate [14.85]. Associatedwith each cell is a fast-action refractory metal shutterwith either pneumatic or solenoid operation.

Uniformity of growth rate for a binary compound canbe achieved by rotating the substrate at speeds as lowas a few rpm, but compositional uniformity of ternaryor quaternary alloys requires rotation of the substrateat speeds of up to 120 rpm (normally rotation is timed

PartB

14.3

Page 24: 14. Epitaxial Crystal Growth: Methods and Materials ...extras.springer.com/2007/978-0-387-26059-4/... · 14. Epitaxial Crystal Growth: Methods and MaterialsEpitaxial Cryst The epitaxial

294 Part B Growth and Characterization

so that one rotation corresponds to the growth of onemonolayer). The mechanical requirements for a rotatingsubstrate stage in a UHV system are quite demand-ing, as no conventional lubricants can be used on thebearings or feedthroughs and yet lifetime must exceedseveral million rotations. Magnetic rotary feedthroughshave largely replaced the earlier bellows type.

The need to rotate the substrate to give uniformityalso leads to complications in substrate temperaturemeasurement. The substrate is heated by radiation froma set of resistively heated tantalum foils behind the sub-strate holder, and both the heater and the thermocoupleare stationary. Without direct contact between the ther-mocouple and the wafer the “indicated” thermocoupletemperature will be very different from the “actual”substrate temperature. Some form of calibration canbe obtained by using a pyrometer, although problemswith window coating, emissivity changes and substratetransparency below the bandgap impose limits on the ac-curacy of such measurements. Alternatively, a number of“absolute” temperature measurements can be obtainedby observing transitions in the RHEED pattern, whichoccur at reasonably fixed temperatures. However, suchtransitions occur in the lower temperature range andextrapolation to higher growth temperatures is not com-pletely reliable. If the substrate is indium bonded toa molybdenum block (using the surface tension of theindium to hold the substrate), then inconsistencies inwetting can lead to variations in temperature across thesubstrate. Most modern systems and all production ma-chines use “indium-free” mounting, which avoids theseproblems. However, the substrate is transparent to muchof the IR radiation from the heater, putting a higherthermal load on the system.

The substrate preparation techniques used prior toMBE growth are very important, as impurities on thesurface provide nucleation sites for defects. Historically,various chemical clean and etch processes were used,but wafers are now usually supplied “epi-ready”, witha volatile oxide film on the surface that protects the sur-face from contamination and can be thermally removedwithin the UHV chamber. RHEED is used to confirmthe cleanliness of the surface prior to growth.

Historically, one of the major problems in MBEwas the presence of macroscopic defects, with a typi-cal density of 103–105 cm−2, although densities below300 cm−2 were reported for ultraclean systems [14.86].Defects are generally divided into two types; smallhillocks or pits and oval defects. Such defects are a se-rious obstacle to the growth of material for integratedcircuits, and considerable effort was devoted to the

problem. Oval defects are microtwin defects originatingat a local imperfection, oriented in the (110) direc-tion and typically 1 to 10 µm in length [14.87]. Thereare several possible sources of these defects, includingforeign impurities on the substrate surface due to inad-equate substrate preparation or to oxides from withinthe system, and possibly from the arsenic charge or thecondensate on the cryopanels. The fact that oval defectswere not seen when graphite crucibles were used butwere common with PBN crucibles suggests that gal-lium oxide from the gallium melt is a major sourceof such defects since oxides would be reduced by thegraphite. Chai and Chow [14.88] demonstrated a sig-nificant reduction in defects by careful charging of thegallium source and prolonged baking of the system. Theirregular hillocks and pits seen in MBE-grown mater-ial were probably produced by microdroplets of galliumspitting from the effusion cells on to the substrate sur-face [14.89]. Gallium spitting can be caused by dropletsof Ga that condense at the mouth of the effusion cell,fall back into the melt and explode, ejecting droplets ofliquid Ga, or by turbulence in the Ga melt due to un-even heating that causes a sudden release of vapor anddroplets.

Continuous developments in the design of Group IIIeffusion cells for solid-source MBE have largely elim-inated the problem of macroscopic defects. Large-areaTa foil K-cell heaters have reduced the uneven heat-ing of the PBN crucible; the use of a “hot-lipped” ortwo-temperature Group III cell, designed with a high-temperature front end to eliminate the condensation ofgallium metal at the mouth of the cell, significantlyreduced the spitting of microdroplets. Combined withcareful procedures and the use of an arsenic crackercell, defect densities as low as 10 cm−2 have beenreported [14.90].

There have also been developments in the design ofGroup V cells. A conventional arsenic effusion cell pro-duces a flux of As4, but the use of a thermal crackerto produce an As2 flux resulted in the growth of GaAswith better optical properties and lower deep-level con-centrations [14.91]. In the case of phosphorus, growthfrom P2 was strongly preferred to that from P4 for sev-eral reasons [14.92], and this was one reason behindthe development of GSMBE described below. How-ever, the use of phosphine requires suitable pumpingand safety systems. The Group V cracker cell has twodistinct zones. The first comprises the As or P reser-voir and produces a controlled flux of the tetramer; thispasses through the second – high-temperature – zone,where dissociation to the dimer occurs. Commercial

PartB

14.3

Page 25: 14. Epitaxial Crystal Growth: Methods and Materials ...extras.springer.com/2007/978-0-387-26059-4/... · 14. Epitaxial Crystal Growth: Methods and MaterialsEpitaxial Cryst The epitaxial

Epitaxial Crystal Growth: Methods and Materials 14.3 Molecular Beam Epitaxy (MBE) 295

high-capacity cracker cells, some including a valve be-tween the two zones to allow fast switching of Group Vflux, have been developed for arsenic and phosphorus.

Commercial MBE systems have increased through-put with multiwafer substrate holders, cassette loadingand UHV storage and preparation chambers linked tothe growth chamber with automated transfer, whileincreased capacity effusion cells have reduced the down-time required for charging. In some cases additionalanalytical and processing chambers have been added topermit all-UHV processing of the device structure.

14.3.4 Gas Sources in MBE

A number of MBE hybrids were developed that com-bined the advantages of UHV deposition and externalgas or metalorganic sources to produce a versatile tech-nique that has some advantages over MBE and MOVPE.These techniques utilize the growth chambers developedfor MBE and pumping systems with a high continuousthroughput, typically liquid nitrogen-trapped diffusionpumps or turbomolecular pumps. Layers are depositedfrom molecular beams of the precursor materials in-troduced via gas source cells that are essentially veryfine leak controllers. As in MBE, there are no interac-tions within or between beams and the precise controlof beams using fast-acting gas-line valves is thereforetranslated into precise control of the species arrivingat the substrate. Shutters are not generally required;atomically sharp interfaces and monolayer structurescan be defined as a consequence of submonolayer valveswitching times.

Panish [14.93] investigated the use of cracked arsineand phosphine in the epitaxial growth of GaAs and InP,later extending this work to the growth of GaInAsP,and suggested the name gas source MBE (GSMBE).The major advantage of gaseous Group V sources wasthat the cracker cells produced controllable fluxes ofthe dimers As2 and P2, giving improved control of theAs:P ratio. The MBE growth of high-quality GaAs fromcracked arsine and elemental gallium was demonstratedat the same time by Calawa [14.94].

The extension to gaseous Group III sources wasmade by Veuhoff et al. [14.95], who investigated theMOCVD of GaAs in a simple MBE system usingtrimethylgallium (TMGa) and uncracked arsine. Furtherstudy showed that cracking of arsine at the substratesurface was negligible, leading to the conclusion thatunintentional cracking of the arsine had taken placein the inlet system. The acronym metalorganic MBE(MOMBE) was used to describe GaAs growth from

TMGa and cracked arsine in a modified commercialMBE system [14.96].

The growth of device-quality GaAs, InGaAs andInP from alkyl sources of both Group III and GroupV elements was demonstrated by Tsang [14.97], whoused the alternative acronym chemical beam epitaxy(CBE). The use of Group V alkyls, which had muchpoorer purity than the hydrides, was undertaken forsafety reasons [14.98]. Material quality was improvedwhen cracked arsine and phosphine were used [14.99].RHEED observations indicated that reconstructed semi-conductor surfaces could be produced prior to growth,as for MBE [14.100], and GaAs/AlGaAs quantum wellstructures were demonstrated that were comparable withthose grown by MBE or MOVPE [14.101].

Almost all of this work was with III–Vs, whereMOMBE/CBE was seen to have several significantadvantages over MBE while retaining many of itsstrengths, including in situ diagnostics. The use of vaporGroup III sources would avoid the morphological defectsassociated with effusion cells, and higher growth rateand greater throughput could be achieved. Both GroupIII and Group V sources were external, allowing for easyreplacement without the need to break vacuum. Fluxcontrol with mass flow controllers (MFCs) and valveswould improve control over changes in composition ordoping level, since flow could be changed faster than ef-fusion cell temperature. Abrupt changes could thus beachieved that would require switching between two pre-set effusion cells in MBE (a problem when the number ofcells was limited by geometry). It also offered improvedlong-term flux stability and greater precursor flexibility.As this was still a molecular beam technique, precisecontrol over layer growth and abrupt interfaces would beretained, without any of the gas phase reactions, bound-ary layer problems or depletion of reagents associatedwith MOVPE. Other advantages included improved InPquality using a P2 flux, lower growth temperatures andselective-area epitaxy.

There was, however, a price to pay in system com-plexity, with the need for gas handling and high-volumepumping arrangements added to the expensive UHVgrowth chamber. These would have been acceptableif CBE had demonstrated clear advantages, but therewere a number of other issues. The standard Al andGa precursors used in MOVPE (trimethylaluminiumand trimethylgallium) produced strongly p-type mater-ial when used in CBE, due to the incorporation of C asan acceptor. Triethylgallium proved to be a viable Gasource, but alloy growth was more complicated; no uni-versally acceptable Al source was found, while InGaAs

PartB

14.3

Page 26: 14. Epitaxial Crystal Growth: Methods and Materials ...extras.springer.com/2007/978-0-387-26059-4/... · 14. Epitaxial Crystal Growth: Methods and MaterialsEpitaxial Cryst The epitaxial

296 Part B Growth and Characterization

growth was found to be strongly temperature-dependent.The surface chemistry associated with metalorganicsources proved complex and the temperature depen-dence of surface reactions not only restricted growthconditions, but also had a serious impact on uniformityand reproducibility, particularly for quaternary alloyssuch as GaInAsP [14.102]. The lack of suitable gaseousdopant sources, particularly for Si, was a further hand-icap [14.103], but the deliberate use of C for p-typedoping proved a success and this was transferred to MBEand MOVPE. Carbon diffuses significantly less than the‘standard’ MBE and MOVPE dopants, Be and Zn, re-spectively [14.104], and proved an ideal dopant for thinhighly doped layers such as the base region in hetero-junction bipolar transistors (HBTs) and for p-type Braggreflector stacks in vertical cavity surface-emitting laserstructures (VCSELs).

GSMBE remains important, not in the III–V fieldwhere, with some exceptions [14.105], the developmentof high-capacity Group V cracker cells provided an eas-ier route to an As2 and P2 flux, but in the III–nitridefield. There are two major routes to nitride MBE: ac-tive nitrogen can be supplied by cracking N2 in an RFor ECR plasma cell, or ammonia can be injected and al-lowed to dissociate on the substrate surface. In contrast,CBE has not demonstrated a sufficient advantage overits parent technologies to be commercially successful,particularly as both MOVPE and MBE have continuedto develop as production techniques.

14.3.5 Growth of III–V Materials by MBE

Although they were amongst the earliest materials tobe grown by MBE, GaAs-based alloys retain great im-portance, with MBE supplying materials for the massproduction of optoelectronic and microwave devices andleading research into new structures and devices.

GaAs/AlGaAsAlGaAs is an ideal material for heterostructures, sinceAlAs has a greater bandgap than GaAs and the two havenegligible mismatch (≈ 0.001%). The growth rate inMBE depends on the net Group III flux, with one mi-crometer per hour corresponding to a flux of 6.25 × 1014

Ga (or Al) atoms cm−2s−1. At low growth tempera-tures, all incident Group III atoms are incorporated intothe growing film, together with sufficient arsenic atomsto maintain stoichiometry, and excess arsenic atomsare desorbed. However, III–V compounds are thermallyunstable at high temperatures. Above ≈ 600 K [14.79]arsenic is preferentially desorbed, so an excess arsenic

flux is required to maintain stoichiometry. At highertemperatures, loss of the Group III element becomessignificant, so that the growth rate is less than wouldbe expected for the incident flux. This is particularlyimportant for the growth of AlGaAs, where growth tem-peratures above 650 ◦C are generally used to give thebest optical properties. The Ga flux must be significantlyincreased above that used at lower temperatures in orderto maintain the required composition of the alloy.

Typical growth temperatures for MBE of GaAs are inthe range 580–650 ◦C and material with high purity andlow deep-level concentrations has been obtained in thistemperature range [14.106, 107]. The commonly useddopants, Be (p-type) and Si (n-type), show excellentincorporation behavior and electrical activity at thesetemperatures and at moderate doping levels. As wasnoted above, for highly doped layers Be has largely beenreplaced by C, which diffuses somewhat more slowly.At doping levels above ≈ 5 × 1017, Si occupies both Ga(donor) and As (acceptor) sites, producing electricallycompensated material with a consequent reduction inmobility. It is still predominantly a donor, however, andis the best available n-type dopant. The electrical prop-erties of GaAs also depend on the As/Ga flux ratio,since this influences the site occupancy of dopants. Theoptimum As/Ga ratio is that which just maintains As-stabilized growth conditions, which can be determinedusing RHEED observations of surface reconstruction.

MBE-grown GaAs is normally p-type, the dominantimpurity being carbon [14.108]. The carbon concentra-tion was found to correlate with CO partial pressureduring growth [14.109] – CO is a common backgroundspecies in UHV, being synthesized at hot filaments.The lowest acceptor levels commonly achieved are ofthe order of 5 × 1013 cm−3, and such layers can belightly doped to give n-type material with high mo-bilities. However, very high purity GaAs has beenproduced by adjusting the operating conditions for anarsenic cracker cell [14.110], which suggests that car-bon contamination originates from hydrocarbons in theAs charge. Unintentionally doped GaAs was n-type witha total impurity concentration of < 5 × 1013 cm−3 anda peak mobility of 4 × 105 cm2 V−1 s−1 at 40 K, thehighest reported for n-type GaAs. The 77 K mobilityof > 200 000 cm2 V−1 s−1 is comparable with that forthe highest purity GaAs grown by LPE [14.111].

MBE is capable of the growth of very high-qualitymaterial for structures whose physical dimensions arecomparable to the wavelength of an electron (or hole)so that quantum size effects are important. Such struc-tures have typical layer thicknesses from 100 Å down to

PartB

14.3

Page 27: 14. Epitaxial Crystal Growth: Methods and Materials ...extras.springer.com/2007/978-0-387-26059-4/... · 14. Epitaxial Crystal Growth: Methods and MaterialsEpitaxial Cryst The epitaxial

Epitaxial Crystal Growth: Methods and Materials 14.3 Molecular Beam Epitaxy (MBE) 297

2.8 Å, the thickness of a monolayer of GaAs, and havedoping and composition profiles defined on an atomicscale. These low-dimensional structures have becomevery important in III–V device technology, one examplebeing the modulation-doped heterojunction.

The precise control of growth that is possible isdemonstrated by the very high mobilities obtainedfor modulation-doped structures grown by MBE. Themodulation-doped GaAs/AlGaAs heterojunction hasa band structure that causes carriers from the highlydoped AlGaAs to be injected into a thin undoped re-gion in the GaAs (Fig. 14.20a). Since the carriers arethen separated from the donor atoms that normallyscatter them, and confined in a quantum well as a two-dimensional electron gas (2DEG), very high mobilitiescan be achieved. Through suitable design of the structureand the use of lightly doped AlGaAs, a GaAs/AlGaAs2DEG structure was produced with a peak mobility of1.1 × 107 cm2 V−1 s−1 at 1.3 K and a 4 K mobility of4.5 × 106 cm2 V−1 s−1 and with a sheet carrier concen-tration of 1012 cm−2 [14.112]. GaAs layers grown in thesame machine typically exhibited 77 K mobilities of theorder of 100 000 cm2 V−1 s−1. Similar results can be ob-tained by delta doping the AlGaAs, that is by confiningthe Si donor atoms to a single monolayer in the AlGaAsseparated by a few nm from the well, a technique witha wide range of applications [14.113].

The major application of this structure for de-vice purposes is the high electron mobility transistor(HEMT), also known as the modulation-doped FET(MODFET), an FET in which the carriers are confinedto the two-dimensional layer (Fig. 14.20b). The mainadvantage of the HEMT is not the increase in mobil-ity, which is modest at room temperature for a practicaldevice, but the very low noise when it is operated asa microwave amplifier, due to the reduction in impu-rity scattering. The HEMT is an essential component ofmany microwave systems, including mobile phones andsatellite TV receivers.

MBE became used as a production technology forGaAs-based devices because of the excellent uniformityand reproducibility possible, and because the extensiveUHV load-lock system of a production machine permitsa large number of runs to be undertaken on a continu-ous basis. This was demonstrated as early as 1991 bya number of manufacturers producing both HEMTs andGaAs/AlGaAs lasers [14.114]. By 1994 some 5 mil-lion MQW lasers for compact disc applications wereproduced per month by MBE. Other devices includedHEMTs and InAs Hall sensors. A comparison of pro-duction costs made at this time [14.115] showed that

a)

b) Source Drain

GateDoped GaAs

Doped AlGaAs

Undoped AlGaAs

Undoped GaAs

S. I. GaAs substrate

2-D electron gas

Gate metal

Undoped AlGaAs Undoped GaAs

Undoped AlGaAs

Ionised donors 2-D electron gas

Fermi level

Fig. 14.20a,b Schematic diagrams of the band structure(a) and physical arrangement (b) of the high electron mo-bility transistor (HEMT)/modulation-doped FET

capital costs for MBE were very similar to those forMOVPE, which required costly safety systems for thehydride gases, while MBE costs per wafer were some-what less than for MOVPE. The growth in demand forHEMTs for mobile phones drove a further expansion inproduction MBE, although MOVPE remained dominantin InP-based optoelectronic devices.

InGaAsInGaAs is generally grown at lower temperatures thanGaAs/AlGaAs because of the higher rate of In des-orption. Both InGaAs and the quaternary InGaAsP canbe lattice-matched to InP substrates; heterostructuresin these materials form the basis of the 1.3 µm and1.55 µm optoelectronic devices used in telecommuni-cations. InAs has a lattice mismatch of ≈ 7% withGaAs; thin films of InGaAs can be deposited pseudo-

PartB

14.3

Page 28: 14. Epitaxial Crystal Growth: Methods and Materials ...extras.springer.com/2007/978-0-387-26059-4/... · 14. Epitaxial Crystal Growth: Methods and MaterialsEpitaxial Cryst The epitaxial

298 Part B Growth and Characterization

morphically but as the In content is increased the criticalthickness falls, so that little more than a ML of InAs canbe grown in this way. Thicker films will be relaxed bythe formation of dislocations, limiting their usefulnessfor devices. The pseudomorphic HEMT (or pHEMT) re-places the GaAs channel of the conventional HEMT witha strained InGaAs channel in order to take advantage ofthe greater carrier confinement and superior electrontransport properties. The maximum In content of thechannel is limited by the need to prevent relaxation.

Under certain growth conditions InAs or InGaAs is-lands are formed spontaneously. It is generally acceptedthat the growth of InAs on GaAs (001) follows a ver-sion of the Stranski–Krastanov mode, which implies thatfollowing the deposition of ≈ 1.7 ML of InAs in a 2-Dpseudomorphic form (sometimes referred to as the wet-ting layer), coherent 3-D growth is initiated by a verysmall increment (≤ 0.1 ML) of deposited material to re-lax the elastic strain introduced by the lattice mismatch.The QDs rapidly reach a saturation number density,which is both temperature- and In flux-dependent, witha comparatively narrow size (volume) distribution. Theactual process is rather more complicated, not least asa result of alloying with the GaAs substrate, and is thesubject of much current research [14.59].

These islands can be embedded in a layer of GaAs toform self-assembled quantum dots (QDs), which havebecome a topic of immense interest due to the potentialapplication of QDs in a wide range of devices, especiallylasers. The volume fraction of QDs in an active layer canbe increased by building up a 3-D array; the strain fieldinduced around each dot influences not only the inter-dotspacing but also the capping layer growth, so that subse-quent layers of dots are aligned ( [14.71] and referencestherein). QD lasers offer a route to long-wavelengthemission from GaAs-based devices [14.116].

Group III NitridesThe growth of Group III nitrides has been dominated byMOVPE since the demonstration of a high-brightnessblue-emitting InGaN-AlGaN double heterostructureLED by Nichia [14.117] and the subsequent develop-ment of other optoelectronic devices, including laserdiodes also emitting in the blue [14.118]. MBE has madea significant contribution to more fundamental studiesand to the growth of nitrides for high-power and mi-crowave devices. Once again the wide range of in situdiagnostic techniques available has been important.

For heteroepitaxial growth on the most commonlyused substrates, sapphire and silicon carbide, severalparameters strongly influence the quality of material

produced. These include substrate cleaning, initial ni-tridation, the nucleation and coalescence of islandsinvolved in the low-temperature growth of a bufferlayer, and subsequent annealing at a higher temperature.The polarity (nature of the outermost layer of atoms)of {0001}-oriented hexagonal structure films also hasa crucial influence on material quality, but both N- orGa-polarity can occur with MBE growth on sapphiresubstrates [14.119]. Under typical growth conditionswith MOVPE, however, Ga-polarity material is exclu-sively produced.

Several of these problems can be resolved usingGaN templates obtained by growing thick layers ontosuitable substrates using MOVPE and then exploit-ing the advantages of MBE to produce the functionallayer on the GaN template. These advantages includewell-controlled layer-by-layer growth and lower growthtemperatures than those used for MOVPE, so that InGaNphase separation and In desorption are less problematicand precise quantum wells can be grown. No post-growth thermal annealing is required to activate thep-type dopant. In this way films have been produced withsmooth surface morphology and high performance, al-though MBE was still limited to low-power LEDs untilthe recent demonstration of laser diodes [14.120].

In the use of active nitrogen from plasma sources,the III/V flux ratio at the substrate during growth is alsoa critical parameter. GaN layers grown with a low III/Vflux ratio (N-stable growth) display a faceted surfacemorphology and a tilted columnar structure with a highdensity of stacking faults. Smooth surfaces are only ob-tained under Ga-rich conditions, where not only is therea dramatic reduction in surface roughness, but signifi-cant improvements in structural and electrical propertiesare also observed. This is, of course, the exact oppo-site of the growth of most III–V compounds, such asGaAs. In the case of nitrides, it is thought that Ga-rich conditions (close to the point where Ga dropletsare formed) promote step flow growth, whereas N-stable growth promotes the nucleation of new islands.In contrast, growth from NH3 is smoother under N-richconditions [14.121].

Group III–V NitridesThe “dilute nitrides” are III–V–N materials such asGaAsN and GaInNAs, where the N concentration is≤ 2%. Replacing a small fraction of As atoms withsmaller N atoms reduces both the lattice constant andthe bandgap. Adjusting the composition of GaInNAs al-lows the bandgap, band alignment, lattice constant andstrain to be tailored in a material that can be lattice-

PartB

14.3

Page 29: 14. Epitaxial Crystal Growth: Methods and Materials ...extras.springer.com/2007/978-0-387-26059-4/... · 14. Epitaxial Crystal Growth: Methods and MaterialsEpitaxial Cryst The epitaxial

Epitaxial Crystal Growth: Methods and Materials References 299

matched with GaAs [14.122]. This offers strong carrierconfinement and thermal stability compared to InP-based devices operating at 1.3 µm and 1.55 µm, andallows GaAs VCSEL technology to be exploited at thesewavelengths [14.123].

These materials are grown in a metastable regimeat a low growth temperature because of the miscibil-ity gap in the alloys, so a less stable precursor thanNH3 is needed. A nitrogen plasma source provides ac-tive N without the incorporation of hydrogen duringgrowth associated with hydride sources, thus avoidingthe deleterious formation of N–H bonds. Material withexcellent crystallinity and strong PL at 1.3 µm can beobtained by optimizing growth conditions and usingpost-growth rapid thermal annealing [14.124]. A num-ber of challenges remain, particularly in the higher Nmaterial required for longer wavelengths, including thelimited solubility of N in GaAs and nonradiative defectscaused by ion damage from the N plasma source. Thesechallenges may be met by using GaInNAsSb; the ad-dition of Sb significantly improves the epitaxial growth

and the material properties, and enhanced luminescenceis obtained at wavelengths longer than 1.3 µm [14.125].

14.3.6 Conclusions

MBE, historically seen as centered on GaAs-based elec-tronic devices, has broadened its scope dramaticallyin both materials and devices. In addition to the ma-terials described above, MBE has been used to growepitaxial films of a wide range of semiconductors, in-cluding other III–V materials such as InGaAsP/InP andGaAsSb/InAsSb; silicon and silicon/germanium; II–VImaterials such as ZnSe; dilute magnetic semiconductorssuch as GaAs:Mn [14.126] and other magnetic materials.It has also been used for the growth of metals, includingepitaxial contacts for devices, oxides [14.127] and or-ganic films [14.128]. Two clear advantages possessed byMBE are the wide range of analytical techniques com-patible with a UHV system and the precise control ofgrowth to less than a monolayer, which give it unrivaledability to grow quantum dots and other nanostructures.

References

14.1 D. Elwell, H. J. Scheel: Crystal Growth from High-Temperature Solutions (Academic, New York 1975)

14.2 H. Nelson: RCA Rev. 24, 603 (1963)14.3 R. L. Moon: J. Cryst. Growth 170, 1 (1997)14.4 H. J. Scheel: The Technology of Crystal Growth and

Epitaxy, ed. by H. J. Scheel, T. Fukuda (Wiley,Chichester 2003)

14.5 P. Capper, T. Tung, L. Colombo: Narrow-Gap II–VICompounds for Optoelectronic and ElectromagneticApplications, ed. by P. Capper (Chapman & Hall,London 1997)

14.6 M. B. Panish, I. Hayashi, S. Sumski: Appl. Phys. Lett.16, 326 (1970)

14.7 M. G. Astles: Liquid Phase Epitaxial Growth of III-V Compound Semiconductor Materials and theirDevice Applications (IOP, Bristol 1990)

14.8 V. A. Dmitriev: Physica B 185, 440 (1993)14.9 T. Ciszek: The Technology of Crystal Growth and

Epitaxy, ed. by H. J. Scheel, T. Fukuda (Wiley,Chichester 2003)

14.10 M. I. Alonso, K. Winer: Phys. Rev. B 39, 10056 (1989)14.11 V. A. Dmitriev: Properties of Silicon Carbide, EMIS

Datareview Series, ed. by G. L. Harris (IEE, London1995) p. 214

14.12 Y. Mao, A. Krier: Mater. Res. Soc. Symp. Proc 450,49 (1997)

14.13 A. Krier, Z. Labadi, A. Manniche: J. Phys. D: Appl.Phys. 32, 2587 (1999)

14.14 M. Mauk: private communication (2004)

14.15 H. Yamane, M. Shimada, T. Sekiguchi, F. J. DiSalvo:J. Cryst. Growth 186, 8 (1998)

14.16 C. Klemenz, H. J. Scheel: J. Cryst Growth 211, 62(2000)

14.17 A. Krier, H. H. Gao, V. V. Sherstinov: IEE Proc. Opto-electron 147, 217 (2000)

14.18 E. R. Rubstov, V. V. Kuznetsov, O. A. Lebedev: Inorg.Mater. 34, 422 (1998)

14.19 M. G. Mauk, Z. A. Shellenbarger, P. E. Sims,W. Bloothoofd, J. B. McNeely, S. R. Collins, P. I. Ra-binowitz, R. B. Hall, L. C. DiNetta, A. M. Barnett: J.Cryst Growth 211, 411 (2000)

14.20 J.-i. Nishizawa, K. Suto: Widegap II–VI Compoundsfor Optoelectronic Applications, ed. by H. E. Ruda(Chapman & Hall, London 1992)

14.21 F. Sakurai, M. Motozawa, K. Suto, J.-i. Nishizawa:J. Cryst Growth 172, 75 (1997)

14.22 M. G. Astles: Properties of Narrow Gap Cadmium-Based Compounds, EMIS Datareview series, ed. byP. Capper (IEE, London 1994) pp. 13, 380

14.23 B. Pelliciari, J. P. Chamonal, G. L. Destefanis,L. D. Cioccio: Proc. SPIE 865, 22 (1987)

14.24 P. Belca, P. A. Wolff, R. L. Aggarwal, S. Y. Yuen: J.Vac. Sci. Technol. A 3, 116 (1985)

14.25 S. H. Shin, J. Pasko, D. Lo: Mater. Res. Soc. Symp.Proc. 89, 267 (1987)

14.26 A. Wasenczuk, A. F. M. Willoughby, P. Mackett,E. S. O’Keefe, P. Capper, C. D. Maxey: J. Cryst.Growth 159, 1090 (1996)

PartB

14

Page 30: 14. Epitaxial Crystal Growth: Methods and Materials ...extras.springer.com/2007/978-0-387-26059-4/... · 14. Epitaxial Crystal Growth: Methods and MaterialsEpitaxial Cryst The epitaxial

300 Part B Growth and Characterization

14.27 T. Tung, L. V. DeArmond, R. F. Herald: Proc. SPIE1735, 109–134 (1992)

14.28 P. W. Norton, P. LoVecchio, G. N. Pultz: Proc. SPIE2228, 73 (1994)

14.29 P. Capper, J. Gower, C. Maxey, E. O’Keefe, J. Har-ris, L. Bartlett, S. Dean: Growth and Processingof Electronic Materials, ed. by N. McN. Alford (IOMCommunications, London 1998)

14.30 C. C. Wang: J. Vac. Sci. Technol. B 9, 1740 (1991)14.31 T.N. Casselman, G.R. Chapman, K. Kosai, et al.: U.S.

Workshop on Physics and Chemistry of MCT andother II-VI compounds, Dallas, TX (Oct. 1991)

14.32 R. S. Patrick, A.-B. Chen, A. Sher, M. A. Berding: J.Vac. Sci. Technol. A 6, 2643 (1988)

14.33 A. Rogalski: New Ternary Alloy Systems for InfraredDetectors (SPIE, Bellingham 1994)

14.34 A. A. Chernov, H. J. Scheel: J. Cryst. Growth 149, 187(1996)

14.35 H. M. Manasevit, W. I. Simpson: J. Electrochem. Soc.116, 1725 (1969)

14.36 A. A. Chernov: Kinetic processes in vapor phasegrowth. In: Handbook of Crystal Growth, ed. byD. T. J. Hurle (Elsevier, Amsterdam 1994)

14.37 G. B. Stringfellow: J. Cryst. Growth 115, 1 (1991)14.38 D. M. Frigo, W. W. van Berkel, W. A. H. Maassen,

G. P. M. van Mier, J.H. Wilkie, A. W. Gal: J. Cryst.Growth 124, 99 (1992)

14.39 S. Tompa, M. A. McKee, C. Beckham, P. A. Zwadzki,J. M. Colabella, P. D. Reinert, K. Capuder, R. A. Stall,P. E. Norris: J. Cryst. Growth 93, 220 (1988)

14.40 X. Zhang, I. Moerman, C. Sys, P. Demeester,J. A. Crawley, E. J. Thrush: J. Cryst. Growth 170, 83(1997)

14.41 P. M. Frijlink, J. L. Nicolas, P. Suchet: J. Cryst.Growth 107, 166 (1991)

14.42 D. W. Kisker, J. N. Miller, G. B. Stringfellow: Appl.Phys. Lett. 40, 614 (1982)

14.43 C. A. Larson, N. I. Buchan, S. H. Li, G. B. Stringfellow:J. Cryst. Growth 93, 15 (1988)

14.44 M. W. Raynor, V. H. Houlding, H. H. Funke, R. Frye,J. A. Dietz: J. Cryst. Growth 248, 77–81 (2003)

14.45 R. M. Biefeld, R. W. Gedgridge Jr.: J. Cryst. Growth124, 150 (1992)

14.46 C. A. Wang, S. Salim, K. F. Jensen, A. C. Jones: J.Cryst. Growth 170, 55 (1997)

14.47 S. Nakamura: Jpn. J. Appl. Phys. 30, 1620 (1991)14.48 A. Stafford, S. J. C. Irvine, K. Jacobs. Bougrioua,

I. Moerman, E. J. Thrush, L. Considine: J. Cryst.Growth 221, 142 (2000)

14.49 S. Keller, S. P. DenBaars: J. Cryst. Growth 248, 479(2003)

14.50 B. Cockayne, P. J. Wright: J. Cryst. Growth 68, 223(1984)

14.51 W. Bell, J. Stevenson, D. J. Cole-Hamilton,J. E. Hails: Polyhedron 13, 1253 (1994)

14.52 J. Tunnicliffe, S. J. C. Irvine, O. D. Dosser, J. B. Mullin:J. Cryst. Growth 68, 245 (1984)

14.53 S. Fujita, S. Fujita: J. Cryst. Growth 145, 552 (1994)

14.54 S. Fujita, A. Tababe, T. Sakamoto, M. Isemura,S. Fujita: J. Cryst. Growth 93, 259 (1988)

14.55 S. J. C. Irvine, M. U. Ahmed, P. Prete: J. Electron.Mater. 27, 763 (1988)

14.56 J. Wang, G. Du, B. Zhao, X. Yang, Y. Zhang, Y. Ma,D. Liu, Y. Chang, H. Wang, H. Yang, S. Yang: J. Cryst.Growth 255, 293 (2003)

14.57 A. Y. Cho: J. Cryst. Growth 150, 1 (1995)14.58 C. T. Foxon: J. Cryst. Growth 251, 1–8 (2003)14.59 B. A. Joyce, T. B. Joyce: J. Cryst. Growth 264, 605

(2004)14.60 A. Y. Cho: Molecular Beam Epitaxy (AIP, New York

1994)14.61 E. H. C. Parker: The Technology and Physics of Mo-

lecular Beam Epitaxy (Plenum, New York 1985)14.62 B. A. Joyce, R. R. Bradley: Philos. Mag. 14, 289–299

(1966)14.63 J. R. Arthur: J. Appl. Phys. 39, 4032 (1968)14.64 A. Y. Cho: J. Vac. Sci. Technol. 8, 31 (1971)14.65 A. Y. Cho: Appl. Phys. Lett. 19, 467 (1971)14.66 J. W. Robinson, M. Ilegems: Rev. Sci. Instrum. 49,

205 (1978)14.67 P. A. Barnes, A. Y. Cho: Appl. Phys. Lett. 33, 651

(1978)14.68 W. T. Tsang: Appl. Phys. Lett. 34, 473 (1979)14.69 A. Y. Cho, K. Y. Cheng: Appl. Phys. Lett. 38, 360

(1981)14.70 L. L. Chang, L. Esaki, W. E. Howard, R. Ludeke: J.

Vac. Sci. Technol. 10, 11 (1973)14.71 H. Sakaki: J. Cryst. Growth 251, 9 (2003)14.72 A. Y. Cho: J. Appl. Phys. 41, 2780 (1970)14.73 M. D. Pashley, K. W. Haberern, J. M. Woodall: J. Vac.

Sci. Technol. 6, 1468 (1988)14.74 J. J. Harris, B. A. Joyce, P. J. Dobson: Surf. Sci. 103,

L90 (1981)14.75 J. H. Neave, B. A. Joyce, P. J. Dobson, N. Norton:

Appl. Phys. 31, 1 (1983)14.76 C. T. Foxon, M. R. Boudry, B. A. Joyce: Surf. Sci. 44,

69 (1974)14.77 J. R. Arthur: Surf. Sci. 43, 449 (1974)14.78 C. T. Foxon, J. A. Harvey, B. A. Joyce: J. Phys. Chem.

Solids 34, 1693 (1973)14.79 C. T. Foxon, B. A. Joyce: Surf. Sci. 50, 434 (1975)14.80 C. T. Foxon, B. A. Joyce: Surf. Sci. 64, 293 (1977)14.81 E. S. Tok, J. H. Neave, J. Zhang, B. A. Joyce,

T. S. Jones: Surf. Sci. 374, 397 (1997)14.82 A. Y. Cho, J. R. Arthur: Prog. Solid State Chem. 10(3),

157–191 (1975)14.83 C. T. Foxon, B. A. Joyce: J. Cryst. Growth 44, 75 (1978)14.84 C. T. Foxon, B. A. Joyce, M. T. Norris: J. Cryst. Growth

49, 132 (1980)14.85 M. A. Herman, H. Sitter: Molecular Beam Epitaxy,

Springer Ser. Mater. Sci., Vol. 7 (Springer, Berlin,Heidelberg 1988) p. 7

14.86 J. Saito, K. Nambu, T. Ishikawa, K. Kondo: J. Cryst.Growth 95, 322 (1989)

14.87 M. Bafleur, A. Munoz-Yague, A. Rocher: J. Cryst.Growth 59, 531 (1982)

PartB

14

Page 31: 14. Epitaxial Crystal Growth: Methods and Materials ...extras.springer.com/2007/978-0-387-26059-4/... · 14. Epitaxial Crystal Growth: Methods and MaterialsEpitaxial Cryst The epitaxial

Epitaxial Crystal Growth: Methods and Materials References 301

14.88 Y. G. Chai, R. Chow: Appl. Phys. Lett. 38, 796(1981)

14.89 C. E. C. Wood, L. Rathburn, H. Ohmo, D. DeSimone:J. Cryst. Growth 51, 299 (1981)

14.90 S. Izumi, N. Hayafuji, T. Sonoda, S. Takamiya,S. Mitsui: J. Cryst. Growth 150, 7 (1995)

14.91 J. H. Neave, P. Blood, B. A. Joyce: Appl. Phys. Lett.36(4), 311 (1980)

14.92 C. R. Stanley, R. F. C. Farrow, P. W. Sullivan: TheTechnology and Physics of Molecular Beam Epi-taxy, ed. by E. H. C. Parker (Plenum, New York1985)

14.93 M. B. Panish: J. Electrochem. Soc. 127, 2729 (1980)14.94 A. R. Calawa: Appl. Phys. Lett. 38(9), 701 (1981)14.95 E. Veuhoff, W. Pletschen, P. Balk, H. Luth: J. Cryst.

Growth 55, 30 (1981)14.96 N. Putz, E. Veuhoff, H. Heinicke, H. Luth, P. J. Balk:

J. Vac. Sci. Technol. 3(2), 671 (1985)14.97 W. T. Tsang: Appl. Phys. Lett. 45(11), 1234 (1984)14.98 W. T. Tsang: J. Vac. Sci. Technol. B 3(2), 666 (1985)14.99 W. T. Tsang: Appl. Phys. Lett. 49(3), 170 (1986)14.100 T. H. Chiu, W. T. Tsang, J. E. Cunningham, A. Robert-

son: J. Appl. Phys. 62(6), 2302 (1987)14.101 W. T. Tsang, R. C. Miller: Appl. Phys. Lett. 48(19),

1288 (1986)14.102 J. S. Foord, C. L. Levoguer, G. J. Davies, P. J. Skev-

ington: J. Cryst. Growth 136, 109 (1994)14.103 M. Weyers, J. Musolf, D. Marx, A. Kohl, P. Balk: J.

Cryst. Growth 105, 383–392 (1990)14.104 R. J. Malik, R. N. Nottenberg, E. F. Schubert,

J. F. Walker, R. W. Ryan: Appl. Phys. Lett. 53, 2661(1988)

14.105 F. Lelarge, J. J. Sanchez, F. Gaborit, J. L. Gentner: J.Cryst. Growth 251, 130 (2003)

14.106 A. Y. Cho: J. Appl. Phys. 50, 6143 (1979)14.107 R. A. Stall, C. E. C. Wood, P. D. Kirchner, L. F. East-

man: Electron. Lett. 16, 171 (1980)14.108 R. Dingle, C. Weisbuch, H. L. Stormer, H. Morkoc,

A. Y. Cho: Appl. Phys. Lett. 40, 507 (1982)14.109 G. B. Stringfellow, R. Stall, W. Koschel: Appl. Phys.

Lett. 38, 156 (1981)

14.110 C. R. Stanley, M. C. Holland, A. H. Kean, J. M. Cham-berlain, R. T. Grimes, M. B. Stanaway: J. Cryst.Growth 111, 14 (1991)

14.111 H. G. B. Hicks, D. F. Manley: Solid State Commun. 7,1463 (1969)

14.112 C. T. Foxon, J. J. Harris, D. Hilton, J. Hewett,C. Roberts: Semicond. Sci. Technol. 4, 582 (1989)

14.113 K. Ploog: J. Cryst. Growth 81, 304 (1987)14.114 H. Tanaka, M. Mushiage: J. Cryst. Growth 111, 1043

(1991)14.115 J. Miller: III–Vs Rev. 4(3), 44 (1991)14.116 D. Bimberg, M. Grundmann, F. Heinrichsdorff,

N. N. Ledentsov, V. M. Ustinov, A. R. Korsh,M. V. Maximov, Y. M. Shenyakov, B. V. Volovik,A. F. Tsatsalnokov, P. S. Kopiev, Zh. I. Alferov: ThinSolid Films 367, 235 (2000)

14.117 S. Nakamura, T. Mukai, M. Senoh: Appl. Phys. Lett.64(13), 1689 (1994)

14.118 S. Nakamura, M. Senoh, S. Nagahama, N. Iwasa,T. Yamada, T. Matsushita, H. Kiyoku, Y. Sugimoto:Jpn. J. Appl. Phys. 35, 74 (1996)

14.119 H. Morkoç: J. Mater. Sci. Mater. El. 12, 677 (2001)14.120 S. E. Hooper, M. Kauer, V. Bousquet, K. Johnson,

J. M. Barnes, J. Heffernan: Electron. Lett. 40(1), 33(2004)

14.121 N. Grandjean, M. Leroux, J. Massies, M. Laügt: Jpn.J. Appl. Phys. 38, 618 (1999)

14.122 M. Kondow, K. Uomi, A. Niwa, T. Kitatani,S. Watahiki, Y. Yazawa: Jpn. J. Appl. Phys. 35, 1273(1996)

14.123 H. Riechert, A. Ramakrishnan, G. Steinle: Semi-cond. Sci. Technol. 17, 892 (2002)

14.124 M. Kondow, T. Kitatani: Semicond. Sci. Technol. 17,746 (2002)

14.125 J. S. Harris, S. R. Bank, M. A. Wistey, H. B. Yuen: IEEProc. Optoelectron. 151(5), 407 (2004)

14.126 H. Ohno: J. Cryst. Growth 251, 285 (2003)14.127 H. J. Osten, E. Bugiel, O. Kirfel, M. Czernohorsky,

A. Fissel: J. Cryst. Growth 278, 18 (2005)14.128 F.-J. Meyer zu Heringdolf, M. C. Reuter, R. M. Tromp:

Nature 412, 517 (2001)

PartB

14