· XLS file · Web view · 2017-09-14SDI ID Web Link Manufacturer Model Description Quantity Version Condition Vintage Sales conditions Lead Time Comments 56026 10MW
This document is posted to help you gain knowledge. Please leave a comment to let me know what you think about it! Share it to your friends and learn new things together.
Transcript
Sheet1
Page 1
SDI ID Web Link Manufacturer
56026 https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=56026 10MW Solar Cell Line
WHRV-5067-2-134A Recirculating Heater/Chiller for Corrosive Liquid 1
WJ16CVD - 250 AP CVD system for flat panel display applicatio 1 400 mm x 500 m excellent
1500 Atmospheric Pressure CVD Tools 1 200 mm
1500 Atmospheric Pressure CVD Tools, TEOS 1 200 mm
TS130 Thermal shock testing chamber 1 Reliability good
HP 1616 UDVG coater 1 200mm
HP 1616 UDVG coater 1 200mm
MP-2300 Prober 1 200mm
MP-2300 Prober 1 200mm
HOP "Hands Off Probe" Micropositioner, 2ea Available 1
MP-2000 Analytical Wafer Prober, for up to 200mm Wafe 1
M420 Makrozoom Microscope 1 excellent
Orbita 160Xi X-Ray Inspection System 1
YV100XG SMT Machine 1 excellent
YES-5 wafer prime oven 1 150 mm
YES-5 wafer prime oven 1 150 mm
YES-5 wafer prime oven 1 150 mm
Aixtron II Microscope 2 200mm
Axiospect 300 Wafer Inspection Microscope 1 300 MM good
AXIOTRON 2 Wafer Inspection Microscopes 7 200 mm
CDC200 Reticle Cd control 1 Reticle
Stemi SV 6 Microscope 1 200mm
Axiotron Wafer inspection microscope 1 300 mm excellent
Sheet1
Page 77
Vintage Sales conditionsLead Time
01.01.2010 as is where is immediately
as is where is immediately
as is where is immediately
as is where is
inquire immediately
01.09.2007 as is where is immediately
inquire immediately
as is where is immediately
inquire immediately
inquire immediately
inquire immediately
01.01.2007 as is where is immediately
01.06.1999 as is where is immediately
01.06.1999 as is where is immediately
as is where is immediately
inquire immediately
inquire immediately
inquire immediately
inquire
as is where is immediately
inquire
inquire
as is where is
as is where is
as is where is
inquire immediately
as is where is
as is where is
as is where is
as is where is immediately
inquire immediately
01.12.1997 as is where is immediately
inquire immediately
01.06.2006 inquire
inquire immediately
01.06.2007 inquire
inquire immediately
inquire
as is where is immediately
inquire immediately
inquire
Sheet1
Page 78
01.06.1998 inquire
inquire immediately
01.06.2002 inquire
inquire immediately
inquire
inquire immediately
inquire
inquire
inquire immediately
inquire immediately
inquire immediately
as is where is immediately
01.10.2007 as is where is immediately
01.10.2008 as is where is immediately
01.06.2001 as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
inquire
01.01.1997 as is where is immediately
01.01.1996 as is where is immediately
as is where is
as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
Sheet1
Page 79
as is where is
as is where is
inquire
as is where is immediately
as is where is
inquire immediately
as is where is immediately
as is where is
as is where is
as is where is
inquire immediately
01.05.1993 as is where is immediately
as is where is
as is where is
as is where is
as is where is immediately
01.01.2008 as is where is immediately
as is where is immediately
01.01.2007 as is where is
01.01.2007 as is where is immediately
as is where is
01.08.1995 as is where is immediately
01.06.1995 inquire immediately
01.11.2005 as is where is immediately
as is where is
inquire immediately
as is where is
as is where is immediately
as is where is immediately
as is where is
as is where is
as is where is
as is where is
01.06.1999 as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
Sheet1
Page 80
as is where is
as is where is
01.06.2012 as is where is
01.06.2012 as is where is
as is where is
as is where is
01.06.2008 as is where is immediately
01.06.2006 as is where is immediately
as is where is
01.06.2000 as is where is
as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
01.06.2001 as is where is
as is where is
as is where is
inquire immediately
as is where is
as is where is
as is where is
as is where is
as is where is
01.06.2005 as is where is
01.06.2007 as is where is
01.06.2007 as is where is
01.06.2006 as is where is
as is where is immediately
01.04.2003 as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
Sheet1
Page 81
as is where is
as is where is
as is where is
as is where is
01.06.2000 as is where is
01.06.2002 as is where is
as is where is
01.06.1997 as is where is immediately
01.06.2007 as is where is
as is where is
as is where is
01.06.2010 as is where is
as is where is
01.01.1994 inquire immediately
01.01.1994 inquire immediately
as is where is
01.06.1996 as is where is
as is where is
01.06.2000 as is where is
01.06.1997 as is where is
as is where is
as is where is
01.06.1995 as is where is
01.06.2013 as is where is immediately
01.02.2008 as is where is immediately
as is where is
as is where is
as is where is
as is where is
01.03.2010 as is where is immediately
as is where is
as is where is
01.06.2007 as is where is
01.06.2006 as is where is
01.06.2006 as is where is
as is where is
as is where is
01.06.2006 as is where is
as is where is
as is where is immediately
01.06.2004 as is where is
as is where is
Sheet1
Page 82
as is where is
as is where is
as is where is
01.05.2011 as is where is immediately
01.05.2011 as is where is immediately
as is where is
01.06.2005 as is where is
as is where is
as is where is
01.06.2001 as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
01.06.2009 as is where is
01.06.2002 as is where is
as is where is
01.06.1997 as is where is immediately
01.06.1996 inquire immediately
as is where is
01.03.2008 as is where is immediately
as is where is immediately
as is where is immediately
01.06.2011 as is where is
as is where is
01.06.2011 as is where is
01.06.2011 as is where is
01.06.2011 as is where is immediately
as is where is
as is where is
as is where is
as is where is
Sheet1
Page 83
as is where is
as is where is
01.06.2005 as is where is
inquire
01.06.2000 as is where is immediately
as is where is
as is where is immediately
as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
01.07.2000 as is where is
as is where is
as is where is immediately
as is where is
as is where is
as is where is immediately
01.05.2011 as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
01.01.1993 as is where is immediately
as is where is
as is where is
as is where is
01.06.1999 as is where is immediately
01.10.1995 as is where is immediately
as is where is immediately
as is where is
01.04.2012 as is where is immediately
01.12.2005 as is where is immediately
Sheet1
Page 84
01.06.2006 as is where is immediately
01.06.2007 as is where is immediately
01.11.2001 as is where is immediately
01.11.2001 as is where is immediately
01.06.2001 as is where is immediately
01.11.2001 as is where is immediately
01.06.2011 as is where is immediately
as is where is immediately
01.10.2006 as is where is immediately
as is where is
as is where is
as is where is
inquire
inquire
inquire
01.11.1998 inquire 2 months
inquire
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
01.01.2002 as is where is
01.01.2002 as is where is
as is where is
as is where is
01.10.2010 as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
01.06.1996 as is where is immediately
as is where is
as is where is
01.06.2000 as is where is immediately
as is where is
as is where is
as is where is
Sheet1
Page 85
as is where is
as is where is
as is where is
01.06.2003 as is where is immediately
01.06.2001 as is where is immediately
01.06.2005 as is where is
01.06.2000 as is where is immediately
as is where is immediately
as is where is
as is where is immediately
01.06.1999 as is where is
as is where is
as is where is
as is where is
as is where is immediately
inquire
inquire
as is where is
inquire immediately
as is where is immediately
as is where is immediately
01.08.2005 as is where is immediately
as is where is immediately
inquire
inquire
01.06.1994 inquire immediately
as is all rebuilt 2 weeks
as is where is
as is where is immediately
as is where is
as is where is
01.06.2005 as is where is immediately
as is where is
as is where is
as is where is
01.06.2003 as is where is immediately
01.06.2002 as is where is
as is where is immediately
as is where is immediately
as is where is
as is where is
01.06.1994 as is where is immediately
Sheet1
Page 86
01.06.1992 as is where is immediately
01.06.2000 as is where is immediately
01.06.2007 as is where is immediately
01.04.2009 as is where is immediately
01.07.2009 inquire immediately
01.04.2004 inquire immediately
01.06.2010 as is where is immediately
01.06.2005 inquire immediately
as is where is immediately
01.06.2007 as is where is immediately
01.07.2011 as is where is immediately
01.05.2011 as is where is immediately
01.05.2011 as is where is immediately
01.05.2011 as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
01.10.1992 as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
01.06.2008 as is where is immediately
01.06.2005 as is where is
01.01.2011 as is where is immediately
as is where is
Sheet1
Page 87
as is where is
as is where is immediately
01.06.2008 as is where is
inquire
inquire
inquire
as is where is immediately
as is where is immediately
as is where is immediately
as is where is immediately
as is where is immediately
as is where is
as is where is
01.06.2004 as is where is immediately
as is where is
as is where is
as is where is
as is where is immediately
as is where is
as is where is
inquire immediately
inquire immediately
inquire immediately
inquire immediately
inquire immediately
inquire immediately
inquire immediately
inquire immediately
inquire immediately
inquire immediately
inquire immediately
inquire immediately
inquire immediately
inquire immediately
inquire immediately
as is where is immediately
01.06.1989 as is where is immediately
as is where is
as is where is immediately
01.06.2000 as is where is immediately
01.06.2000 as is where is immediately
01.04.2007 as is where is immediately
Sheet1
Page 88
01.04.2007 as is where is immediately
01.04.2007 immediately
01.06.2000 as is where is immediately
01.06.2000 as is where is immediately
01.06.2000 as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is immediately
as is where is immediately
as is where is immediately
as is where is immediately
as is where is immediately
as is where is immediately
01.02.1998 as is where is immediately
as is where is
as is where is immediately
01.06.1995 as is where is immediately
01.06.2000 as is where is immediately
01.06.2014 as is where is
inquire
01.02.2015 as is where is immediately
as is all rebuilt immediately
as is where is
as is where is
Sheet1
Page 89
as is where is
01.08.2010 as is where is immediately
01.11.2004 as is where is immediately
01.06.2007 as is where is immediately
as is where is
01.06.2004 as is where is immediately
01.10.2004 as is where is
01.04.2005 as is where is
01.06.2005 as is where is
01.04.2005 as is where is
01.04.2005 as is where is
01.06.2005 as is where is
01.07.2006 as is where is
as is where is immediately
as is where is immediately
01.01.2008 as is where is immediately
01.06.2004 as is where is immediately
as is where is
as is where is immediately
as is where is
as is where is
as is where is
inquire immediately
inquire immediately
as is where is
01.06.2005 as is where is
as is where is
01.06.2006 inquire immediately
as is where is
as is where is
as is where is
as is where is
01.06.1998 inquire 2 weeks
01.12.2007 as is where is immediately
as is where is immediately
as is where is
01.06.2001 as is where is
01.05.1996 as is where is immediately
as is where is
Sheet1
Page 90
01.06.2000 as is where is immediately
01.02.2003 as is where is
01.06.2010 as is where is immediately
as is where is
as is where is
inquire
as is where is immediately
as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is immediately
as is where is
as is where is
01.06.2008 as is where is
as is where is
01.06.2000 as is where is immediately
01.07.2004 as is where is immediately
01.07.2004 as is where is immediately
01.07.2004 as is where is immediately
01.07.2004 as is where is immediately
01.09.2004 as is where is immediately
as is where is immediately
as is where is
01.06.2005 as is where is
01.06.2006 as is where is
01.06.2005 as is where is
Sheet1
Page 91
01.06.2006 as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is immediately
as is where is immediately
01.02.2007 as is where is immediately
01.01.1995 as is where is immediately
as is where is
01.06.2012 as is where is
inquire immediately
as is where is
01.11.2004 as is where is
01.06.2007 as is where is immediately
01.06.2008 as is where is immediately
01.06.2001 as is where is
as is where is
01.06.2007 as is where is immediately
as is where is
as is where is
as is where is
as is where is
01.01.2007 as is where is immediately
as is where is
01.06.2005 as is where is
01.06.2005 as is where is
01.06.2006 as is where is
as is where is
01.06.1995 as is where is
as is where is
01.06.1998 as is where is
01.06.2000 as is where is
01.06.1999 as is where is
01.06.1996 as is where is
01.06.1994 as is where is
as is where is
Sheet1
Page 92
as is where is
01.06.2008 as is where is
01.06.2001 as is where is
01.06.2001 as is where is
01.06.2003 as is where is
as is where is immediately
inquire immediately
as is where is
inquire immediately
01.06.1995 as is where is
inquire immediately
01.06.1989 inquire immediately
01.06.1995 as is where is immediately
01.07.1996 as is where is immediately
01.06.2000 as is where is immediately
as is where is
01.12.2009 as is where is immediately
01.05.2006 as is where is immediately
as is where is
01.06.2007 as is where is
as is where is
as is where is
01.06.2000 as is where is immediately
as is where is immediately
as is where is immediately
as is where is immediately
01.06.2005 as is where is immediately
as is where is immediately
as is where is
01.06.1995 as is where is immediately
as is where is immediately
as is where is
inquire
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
01.06.1997 as is where is immediately
Sheet1
Page 93
01.06.1995 as is where is
01.01.2000 as is where is
01.01.2000 as is where is
01.06.2003 as is where is immediately
01.02.2010 as is where is immediately
01.06.2010 as is where is immediately
as is where is
as is where is
as is where is
as is where is 1 month
as is where is immediately
inquire
01.06.1998 as is where is immediately
as is where is immediately
as is where is immediately
as is where is
as is where is immediately
as is where is
as is where is
inquire immediately
inquire
inquire
inquire immediately
inquire immediately
inquire immediately
inquire immediately
inquire immediately
inquire
01.06.1991 inquire
inquire
inquire
as is all rebuilt immediately
as is where is
as is where is
as is where is immediately
as is where is
01.05.2011 as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is immediately
Sheet1
Page 94
01.08.1995 as is where is immediately
01.06.1996 as is where is
01.06.1995 as is where is
01.06.2002 as is where is immediately
01.04.1999 as is where is immediately
01.12.2007 as is where is
01.02.1996 as is where is
01.12.1999 as is where is
as is where is
01.06.1999 as is where is
01.10.2003 as is where is immediately
as is where is
01.06.2006 as is where is
01.06.2002 as is where is
as is where is
as is where is
01.04.1992 inquire immediately
01.06.2003 as is where is
01.06.1997 as is where is
01.06.2004 as is where is immediately
01.06.2007 as is where is
01.09.2014 as is where is
01.09.2014 as is where is
01.06.2005 as is where is
01.06.2012 as is where is
01.06.2000 as is where is
01.06.1994 as is where is
01.06.1994 as is where is
as is where is
as is where is
as is where is
inquire
inquire
inquire
as is where is
01.06.1995 as is where is
as is where is
01.06.2006 as is where is immediately
as is where is
as is where is
as is where is
Sheet1
Page 95
as is where is
as is where is
as is where is
as is where is
as is where is
01.06.2008 inquire immediately
as is where is
as is where is
as is where is
01.06.2013 as is where is immediately
01.03.1998 as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
Sheet1
Page 96
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
01.06.2001 as is where is
as is where is
as is where is
01.06.1997 as is where is
01.06.1996 as is where is
01.06.1997 as is where is
01.06.1997 as is where is
01.06.1996 as is where is
01.06.1998 as is where is
01.06.2003 as is where is immediately
01.03.2006 as is where is immediately
as is where is
Sheet1
Page 97
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
01.01.1995 as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
01.06.2005 as is where is
01.06.1996 as is where is
01.06.1998 as is where is
01.06.1996 as is where is
01.06.1996 as is where is
01.06.1996 as is where is
01.06.1996 as is where is
01.06.1996 as is where is
01.06.1996 as is where is
as is where is immediately
Sheet1
Page 98
01.07.2002 inquire immediately
as is where is
01.06.2011 as is where is
as is where is
as is where is
as is where is immediately
01.11.2009 as is where is immediately
as is where is immediately
inquire
as is where is
01.06.2007 as is where is
01.06.2003 as is where is
01.06.2004 as is where is
01.06.2003 as is where is
01.06.2003 as is where is
01.06.2006 as is where is
as is where is
as is where is
inquire
as is where is
01.01.2002 as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
inquire
as is where is
as is where is
inquire
inquire
as is where is immediately
as is where is immediately
as is where is
as is where is immediately
as is where is
inquire
as is where is
as is where is
as is where is
as is where is
Sheet1
Page 99
as is where is
as is where is
01.08.2008 as is where is immediately
01.06.2006 as is where is immediately
01.06.2002 as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
01.06.1996 as is where is
01.05.2012 as is where is
01.06.1997 as is where is
as is where is
as is where is
01.06.1993 as is where is immediately
01.06.1993 as is where is immediately
01.06.2001 as is where is immediately
as is where is
01.07.2001 as is where is immediately
as is where is
01.06.1995 inquire immediately
as is where is
01.06.2000 as is where is
as is where is
as is where is
as is where is
as is where is immediately
as is where is
01.06.1992 as is where is
as is where is
as is where is immediately
as is where is
as is where is
as is where is
01.06.2005 as is where is immediately
01.06.2007 as is where is immediately
as is where is immediately
as is where is
inquire
Sheet1
Page 100
inquire
01.06.2011 as is where is immediately
01.06.2014 as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is immediately
as is where is
as is where is
01.06.2009 as is where is
01.06.2006 as is where is
as is where is
inquire immediately
as is where is immediately
as is where is
01.03.2011 as is where is immediately
01.05.2005 as is where is immediately
inquire
inquire
inquire
inquire
as is where is immediately
as is where is
as is where is
01.12.2000 as is where is
as is where is
01.06.2011 as is where is
01.06.2005 as is where is
01.06.1995
as is where is
as is where is
as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
01.06.2000 as is where is immediately
as is where is
as is where is
Sheet1
Page 101
as is where is
as is where is immediately
as is where is immediately
01.06.2002 as is where is immediately
as is where is
inquire
as is where is immediately
as is where is
as is where is
inquire
as is where is
as is where is
as is where is
01.05.2005 as is where is immediately
as is where is
as is where is
as is where is
01.06.1992 as is where is
as is where is
as is where is
01.06.2000 inquire immediately
as is where is
as is where is
01.06.2007 inquire immediately
inquire immediately
01.06.2000 inquire immediately
01.06.2000 inquire immediately
as is where is
as is where is
01.01.1993 as is where is immediately
01.06.2005 as is where is
as is where is
01.06.2012 as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
Sheet1
Page 102
01.06.2009 as is where is
01.06.2008 as is where is
as is where is
as is where is
as is where is immediately
01.06.1995 as is where is
01.06.1995 as is where is
as is where is
as is where is immediately
01.06.2005 as is where is immediately
as is where is
as is where is
inquire
inquire
as is where is
01.06.2004 as is where is
as is where is immediately
as is where is
as is where is
01.12.1989 as is where is immediately
01.06.2006 inquire immediately
01.06.2013 as is where is
as is where is
as is where is
as is where is
as is where is immediately
as is where is
01.06.2000 inquire immediately
as is where is
01.06.2006 as is where is immediately
as is where is
as is where is
01.06.2013 as is where is immediately
as is where is immediately
01.11.1999 as is where is immediately
01.06.2005 as is where is immediately
as is where is
as is where is immediately
as is where is
as is where is
as is where is
01.06.2002 as is where is immediately
Sheet1
Page 103
inquire
01.05.1990 inquire
as is where is
01.06.2006 as is where is
as is where is
01.06.2005 as is where is
01.06.2007 as is where is
as is where is
as is where is
as is where is
as is where is immediately
01.01.2003 as is where is immediately
as is where is
as is where is
as is where is
01.06.2007 as is where is
as is where is
01.06.2005 as is where is immediately
as is where is
as is where is
01.06.2006 as is where is
01.06.2008 as is where is
as is where is
inquire
01.04.1994 as is where is immediately
as is where is
01.06.1994 as is where is immediately
01.06.1994 as is where is immediately
01.06.2011 as is where is
01.06.2011 as is where is
01.06.2005 as is where is immediately
01.06.2005 as is where is immediately
as is where is
01.06.2003 as is where is
01.06.2002 as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
Sheet1
Page 104
01.06.2001 as is where is
01.06.1999 as is where is
as is where is
01.06.2007 as is where is
01.06.2005 as is where is
01.06.2005 as is where is
as is where is
01.06.2004 as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is immediately
as is where is
01.06.2000 as is where is
01.06.2005 as is where is
as is where is
as is where is
as is where is
as is where is
as is where is immediately
as is where is immediately
inquire
01.06.2006 as is where is immediately
01.06.2006 as is where is immediately
as is where is immediately
as is where is
01.11.1999 as is where is immediately
01.11.1999 as is where is immediately
01.02.2001 as is where is immediately
as is where is
01.07.2006 inquire immediately
01.06.2007 as is where is immediately
01.06.2005 as is where is
01.11.2007 as is where is immediately
01.06.1999 as is where is
as is where is immediately
as is where is immediately
01.06.2004 as is where is
as is where is immediately
as is where is immediately
as is where is immediately
Sheet1
Page 105
01.06.2012 as is where is
01.06.2001 as is where is
as is where is immediately
01.07.2007 as is where is
inquire
as is where is
as is where is
01.06.2011 as is where is
as is where is
as is where is
01.10.2004 as is where is
01.06.2007 as is where is immediately
01.06.2007 as is where is immediately
01.06.1998 as is where is immediately
as is where is immediately
as is where is
01.06.2005 as is where is
as is where is
01.06.1999 as is where is
01.06.2001 as is where is
as is where is
01.06.2000 as is where is
as is where is immediately
01.06.2007 as is where is
01.06.2005 as is where is
01.01.2011 as is where is immediately
01.10.1998 as is where is immediately
as is where is
as is where is immediately
as is where is
01.12.1994 as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
01.06.2000 as is where is
01.06.2000 as is where is
as is where is immediately
01.10.2007 as is where is immediately
01.10.2007 as is where is immediately
01.10.2007 as is where is immediately
Sheet1
Page 106
01.10.2007 as is where is immediately
01.10.2007 as is where is immediately
01.10.2007 as is where is immediately
01.10.2007 as is where is immediately
01.10.2007 as is where is immediately
01.06.2007 as is where is immediately
as is where is immediately
01.04.2007 as is where is immediately
as is where is
inquire immediately
01.06.1995 as is where is immediately
as is where is immediately
01.10.2011 as is where is immediately
01.06.2006 as is where is
01.06.2006 as is where is
01.06.2006 as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is immediately
01.06.2000 as is where is
01.06.2000 as is where is
01.06.2000 as is where is
01.06.2006 as is where is immediately
01.06.2006 as is where is immediately
01.06.2003 as is where is immediately
01.12.2005 as is where is immediately
01.12.2005 as is where is immediately
inquire immediately
as is where is
as is where is immediately
01.06.2005 as is where is
as is where is
as is where is
as is where is
inquire
as is where is immediately
as is where is
01.05.1979 as is where is
Sheet1
Page 107
01.02.2001 as is where is immediately
as is where is immediately
01.06.2007 as is where is immediately
01.06.2006 as is where is immediately
as is where is
01.08.2007 inquire immediately
as is where is
as is where is immediately
as is where is
01.06.1997 as is where is
01.06.1997 as is where is
01.06.1997 as is where is
01.06.1997 as is where is
01.06.1997 as is where is
01.06.1997 as is where is
01.06.1996 as is where is
01.06.1999 as is where is
01.05.2003 as is where is immediately
01.05.2003 as is where is immediately
01.01.2004 as is where is immediately
01.11.2003 as is where is immediately
01.06.2007 as is where is
01.06.2000 as is where is
01.06.1999 as is where is immediately
01.01.2000 as is where is
01.06.1998 as is where is
01.11.1997 as is where is
01.06.1999 as is where is
01.06.1998 as is where is
01.06.1998 as is where is
as is where is
01.06.1997 as is where is
as is where is
as is where is
01.11.1997 as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is immediately
as is where is immediately
Sheet1
Page 108
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
01.06.2006 as is where is
01.06.2006 as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
01.01.2008 as is where is immediately
01.06.2007 as is where is
as is where is
as is where is
01.06.2007 as is where is
01.06.1997 as is where is
01.06.1998 as is where is
01.06.1998 as is where is
Sheet1
Page 109
01.09.1996 as is where is immediately
01.09.1996 as is where is immediately
as is where is
01.03.2004 as is where is
01.01.2005 as is where is
01.06.2005 as is where is
01.01.2005 as is where is
01.06.2005 as is where is
01.01.2005 as is where is
01.01.2005 as is where is
as is where is
01.09.1996 as is where is immediately
01.09.2000 as is where is immediately
inquire immediately
as is where is
as is where is
as is where is
01.06.2000 as is where is immediately
as is where is
01.06.2005 as is where is
as is where is
01.12.1992 inquire immediately
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
Sheet1
Page 110
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
01.06.2005 as is where is
as is where is
01.06.2007 as is where is
as is where is
Sheet1
Page 111
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
01.06.2010 as is where is
01.06.2008 as is where is
01.06.2006 as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
01.02.1997 as is where is
01.06.2003 as is where is
01.03.1997 as is where is immediately
as is where is
as is where is
as is where is immediately
as is where is immediately
Sheet1
Page 112
as is where is immediately
01.06.2002 as is where is immediately
as is where is immediately
01.06.2008 as is where is
inquire
inquire
as is where is
inquire
as is where is immediately
as is where is immediately
01.02.1997 as is where is immediately
01.06.2000 as is where is immediately
as is where is immediately
as is where is immediately
as is where is
01.02.2010 as is where is immediately
01.06.1997 as is where is
as is where is
as is where is immediately
as is where is immediately
as is where is
01.10.2010 as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
01.01.2000 as is where is immediately
as is where is immediately
as is where is immediately
as is where is immediately
as is where is immediately
01.06.2015 as is where is immediately
as is where is immediately
29.12.1899 as is where is immediately
as is where is
as is where is
as is where is
Sheet1
Page 113
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
01.06.2004 as is where is immediately
as is where is
as is where is
as is where is
inquire
as is where is
01.06.2002 as is where is
as is where is immediately
as is where is
as is where is
01.06.1991 inquire immediately
as is where is
inquire
as is where is
inquire immediately
inquire
01.06.1999 as is where is immediately
01.06.2000 as is where is
as is where is
as is where is
as is where is
inquire
as is where is
inquire
01.06.2004 as is where is
01.06.2004 as is where is
01.06.2006 as is where is
01.06.2002 as is where is
as is where is
as is where is
as is where is immediately
inquire immediately
01.05.1986 as is where is immediately
as is where is
01.06.1995 as is where is immediately
Sheet1
Page 114
inquire immediately
as is where is
as is where is immediately
as is where is
01.06.2008 as is where is
01.06.1995 as is where is immediately
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is
as is where is immediately
as is where is
01.01.2006 as is where is immediately
as is where is
as is where is
as is where is
as is where is
01.06.2007 as is where is immediately
as is where is
as is where is
as is where is
01.05.2008 as is where is immediately
Sheet1
Page 115
Comments
-Currently de-installed -Can be sold "as is", or with power up buy-off
-Currently de-installed -Can be sold "as is", or with power up buy-off
-Currently de-installed -Can be sold "as is", or with power up buy-off
-Currently de-installed -Can be sold "as is", or with power up buy-off
Will be available for purchase on 8/17/2017
Will be available for purchase on 8/17/2017
-Currently de-installed -Can be sold "as is", or with power up buy-off
-Currently de-installed -Can be sold "as is", or with power up buy-off
-FOR SPARES USE OLNLY
-FOR SPARES USE OLNLY
Fully operational Part Automated and Part Batch Process Monocrystalline Solar Cells Producing 17% efficiency CellThe equipment that we currently have for sale is:SDI-Fabsurplus is pleased to announce the sale via private treaty of the following partial line of 5 inch wafer fabrication equipment.
-Currently de-installed and warehoused-Can be sold in "as is" conditions, or with power up testing and -de-installed-warehoused-Currently de-installed and warehoused-Can be sold in "as is" conditions, or with power up testing and -Currently de-installed-Can be sold "as is", or with power up buy-off
-Can be sold in "as is" conditions, or with power up testing and installation.-Still running in the line-Can be seen operational-Currently de-installed and warehoused-Can be sold in "as is" conditions, or with power up testing and TSK-UF3000EX prober with cold option Vintage: 2007WAREHOUSED AT SDI-FABSURPLUS WAREHOUSE IN AVEZZANO, ITALY.-8 inch (dia.) Temperature Controlled Nickel Plated Chuck-WAREHOUSED AT SDI-FABSURPLUS WAREHOUSE IN AVEZZANO, ITALY.-qty 7 availableEpi Metrology Spectromter, ONLINE 2110 Spectrometer Head, IRVINE OPTICAL NanoLoader II sold as fully functional-Currently de-installed and warehoused-Can be sold in "as is" conditions, or with power up testing and
-Currently de-installed and warehoused-Can be sold in "as is" conditions, or with power up testing and
-Currently de-installed and warehoused-Can be sold in "as is" conditions, or with power up testing and
-Currently de-installed and warehoused-Can be sold in "as is" conditions, or with power up testing and
Inspect to verify configuration. This handler was used with T5375-0088Software Version: 3.13P17 Electrical Power Configuration: Input -Currently de-installed and warehoused-Can be sold in "as is" conditions, or with power up testing and -Boards from the test system have been removed and are available for sale.
-Currently de-installed and warehoused-Can be sold in "as is" conditions, or with power up testing and
-Currently de-installed and warehoused-Can be sold in "as is" conditions, or with power up testing and
-Currently de-installed and warehoused-Can be sold in "as is" conditions, or with power up testing and
-Currently de-installed and warehoused-Can be sold in "as is" conditions, or with power up testing and
Sheet1
Page 116
-Currently de-installed -Can be sold "as is", or with power up buy-off
-Currently de-installed -Can be sold "as is", or with power up buy-off
-Currently de-installed -Can be sold "as is", or with power up buy-off
-FOR SPARES USE OLNLY
-FOR SPARES USE OLNLY
Gas Cabinet
-Currently de-installed and warehoused-Can be sold in "as is" conditions, or with power up testing and
-Currently de-installed and warehoused-Can be sold in "as is" conditions, or with power up testing and
-Currently de-installed and warehoused-Can be sold in "as is" conditions, or with power up testing and
-Currently de-installed and warehoused-Can be sold in "as is" conditions, or with power up testing and -Currently de-installed and warehoused-Can be sold in "as is" conditions, or with power up testing and -Currently de-installed and warehoused-Can be sold in "as is" conditions, or with power up testing and De-installed ,warehoused.Location: Avezzano (AQ) 67051 Italy .-In Avezzano 67051 (AQ) Italy-CE marked-In Avezzano (AQ) 67051 Italy-CE marked
Config: HPSMU: 1Unit
SmarTest: Rev. 5.3.4+1Agilent 8510 100 GHz Sparm test system Agilent 8510 100 GHz Sparm test system HP 8510XF (E7350A) 100 GHz test system 100 GHz VNA Includes two Agilent V3300, Vera Tester Versa Tester for FZTAT (Memory portion) Tool ID: T-B01
Dual Gas Cabinet for Helium, 2ea Available with Auto-Switch & Auto Purge Dialog Operator Panel PCS 090 Gas Panel: N2 Purge, N2 Venturi, Vent Dual Gas Cabinet for Nitrogen Purge Unit Switchover System: Low Pressure, High Pressure Gas: Nitrogen/Helium N2/HE 220V 2.0A 50 Hz 1 Phase
* 3/4 HP UL listed motor, 115 VAC, 12 amp * Explosion proof switch installed and wired * 8" intake and exhaust flange * 1570 cfm free air
Akrion, UP V2 MP-2000, 200mm, Cu Clean Sink Akrion, UP V2 MP-2000, 200mm, Cu Clean Sink
Sheet1
Page 117
In warehouse, bagged and skidded.
De-installed, In an inspection facility. Can be inspected by appointment.
Will be available for purchase on 9/15/2017
Will be available for purchase on 8/11/2017
Will be available for purchase on 6/9/2017
Will be available for purchase on 11/3/2017
Process tank interior dimensions are 3 ea - 16 x 9 x 10 (d). 3 ea - QDR tank interior dimensions are 16 x 9 x 9 (d).
For sale: full TCT40 G6 PVD line ( G4.5 cleaner + G4.5 robot + G6 PVD).Now it is retained in the clean room. Heated & Water Cooled Platen for up to 200mm Wafers Dual Cassette Load/Unload Platforms MECS UTW-3100P Three Axis Wafer Robot MECS CS-1000
Set Size 150 mm Vacuum Chuck Gold Probe Card Adapter YES Description Wafer Prober Other Information * Serial Number R45H-6426-10 * ALESSI ECC01 * Volumetric Silver-Glass Dispense System With "Star Fish" Dispense Tool
AMI Presco 885 screen printer control boxWith a complete set of connecting cables, about 6' in length.De-installed, uncrated, in Boerne, TX warehouse See specifications sheets attached * Mark III Torsion Bar squeegee head with micrometer adjustment * Includes the following parts:
De-installed, crated and in our Boerne, TX warehouse Available for Inspection MSP-9155 screen printer, previously from our 10MW solar line in Flipper for Solar cells. AMI PRESCO wrapped, crated and located in Boerne, TX Loading/Reloading Modules Modules: MTF-SxF, x-magazine loader MTF-SxR,
CE MARK 380V , 11kW, 3 phase.SERIAL NUMBER 5573CE MARK 380V , 18A, 11kW, 3 phase. SERIAL NUMBER 5574 internal dimensions 100 cm x 69 cm x 85 cm hight temperature range +40 to +160 celcius external Supply Voltage: 3 phase N. PE. Nominal Voltage: 3 x 400 VAC 50 Hz 9A 3 KVA Ce marked
Part No 0020-0323 Rev H S/N 108401Located in Avezzano (AQ) 67051 Italy
Applied Materials, Etch, Centura Carina Chamber 300mm Chamber Only. Carina Etch Chamber. Chamber Materials: ADVANCED CERAMIC Lid Materials: AG 1000
Sheet1
Page 118
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
Applied Materials MxP+, Centura, Oxide Etch, 200mm Applied Materials MxP+, Centura, Oxide Etch, 200mm 2x MxP+, 1x Super E S/N: 305049 WITH SMIF FITTEDTxZ Chamber
Applied Materials, DPS II Metal W Etch, 300mm Manufactured in 2005; Status: Bagged and Skidded Bagged & Skidded:Applied Materials, Etch, DPS II POLY , 300mm Manufactured in 2007; Status: Bagged and Skidded, 300mm !!!MULTIPLE UNITS AVAILABLE. PLEASE INQUIRE!Applied Materials, Etch, DPS II POLY, 300mm Manufactured in 2007; Status: Bagged and Skidded 3x DPS II 1 x AXIOM MULTIPLE UNITS AVAILABLEApplied Materials, Etch, DT HART , 300mm Manufactured in 2006; Status: Bagged and SkiddedApplied Materials, Etch, e-MAX CT + 300mm Applied Materials, Etch, e-MAX CT + 300mm Oxide Etch.Will be available for purchase on 6/15/2017
Sheet1
Page 119
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
PECVD Passivation deposition
AMAT P5000-Mark II, 200mm, TEOS Dep- Etch Back
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
Will be available for purchase on 6/18/2017
Will be available for purchase on 7/3/2017
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
Will be available for purchase on 9/1/2017
De-installed, In an inspection facility. Can be inspected by appointment.
Will be available for purchase on 12/15/2017
Applied Materials, Mirra Track, 200mm, CMP Applied Materials, Mirra Track, 200mm, CMP Mirra 3400 (for CMP Polisher) & Ontrak (for CMP POST Cleaner). Applied Materials NanoSEM 3D, 300mm wafers, CD SEM MULTIPLE UNITS AVAILBLE. PLEASE INQUIRE. SEM - Critical Dimension (CD) Measurement Currently Applied Materials NanoSEM 3D, 300mm wafers, CD SEM Applied Materials NanoSEM 3D, 300mm wafers, CD SEM MULTIPLE UNITS AVAILABLE. PLEASE INQUIRE. -De-installed.-Warehoused.
REPORT ON THE REFURBISHMENT MADE ON THE P5000
REPORT ON THE REFURBISHMENT MADE ON THE P5000
AMAT P5000-Mark II, 200mm, TEOS Dep- Etch Back AMAT P5000-Mark II, 200mm, TEOS Dep- Etch Back
Applied Materials P5000 Etch-Depostion, 200mm, Applied Materials P5000 Etch-Depostion, 200mm Still in the Fab.
Deinstalled, warehoused. Can be inspected by appointment.
Retired from Production June 2015 Was converted to 300mm from 200mm. Bottom Entry Utilities Low Backside Particle End Effector Quantum X Plus Ultralife Applied Materials, 300mm, Ion Implanter Quantum X Plus + Applied Materials 300mm Ion Implanter Quantum X Plus + Last date of operation August 5, 2015
Sheet1
Page 120
Applied Materials, Uvision 200, Bright Field Inspection, 300mm
De-installed, In an inspection facility. Can be inspected by appointment.
Will be available for purchase on 8/7/2017
Will be available for purchase on 6/28/2017
Will be available for purchase on 6/23/2017
Will be available for purchase on 12/6/2017
Will be available for purchase on 11/25/2017
Will be available for purchase on 8/7/2017
Will be available for purchase on 8/1/2017
Will be available for purchase on 6/30/2017
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
ASM A412 Vertical Furnace, 300mm, LPCVD SiN & SiN CE
-De-installed-On skids in Plastic Wrap-De-installed-On skids in Plastic Wrap
-Fully Refurbished-Currently installed an operational-DE-IInstalled-Can be inspected by appointment
Deinstalled, warehoused. Can be inspected by appointment.
1. Model is APT3245 tool has worked well to etch Al on 4 quartz wafers since installing in 2012.
ASM A412 Vertical Furnace, 300mm, LPCVD As Dpoed Poly / TiN ASM A412 Vertical Furnace, 300mm, LPCVD As Dpoed Poly / TiN Twin LPCVD As Doped ASM A412 Vertical Furnace, 300mm, LPCVD As Dpoed Poly / TiN ASM A412 Vertical Furnace, 300mm, LPCVD As Doped Poly / TiN Twin LPCVD As Doped -All the bonders available have the same configuration, hence the attached photos show only one of the bonders.ASML, 100D Lithography, 200mm. ASML, 100D Lithography, 200mm.OEM Deinstalled Nov 2016. Tool is in warehouse.
Sheet1
Page 121
Asyst, WMS2200, 200mm Asyst, WMS2200, 200mm
De-installed, In an inspection facility. Can be inspected by appointment.
1. No configurationsParts necessary for upgrading a GSD200E implanter from 80 KeV to 160 KeV.Please refer to the attached excel spreadsheet for a list of which parts -Deinstalled-Warehoused
Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.
De-installed and warehoused in March 2012.Location: Port Klang, Malaysia.
Sheet1
Page 122
Water Cooled Power Requirements 208/230 V 50/60 Hz
Overlay Metrology Tool
Overlay Metrology Tool
Overlay Metrology Tool
Blue M Electric Oven, DCC-1406CY Blue M Electric Oven, DCC-1406CY
Liquid Dispenser
Parts tool. Note Missing parts in configuration.
Parts tool. Note Missing parts in configuration.
Parts tool. Note Missing parts in configuration.
THIS MODULE: Electrical tester.Operational prior to de-installationChip and Crack Camera for incoming wafer inspection at the front end of the line before the printing step .De-installed and warehoused in March 2012.BACCINI DRYER 1De-installed and warehoused in March 2012.BACCINI DRYER 2Location: The warehouse, Port Klang, Malaysia.Integrated printers and dryers for the production of 156 mm square solar Integrated printers and dryers for the production of 156 mm square solar cells.-This system was completely re-manufactured in 2011 by a 3rd party engineering company ("APSy").
2 Tube horizontal PECVD100 pcs/run per tube
* Fully Operational * Date of Manufacture: November 1, 1998 ? 2ea Send/Receive Cassette Elevators for 75mm ? 200mm Wafers ? KENSINGTON XYZ
Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.
Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.BTU, Ovens / Furnaces, 300mm, Controlled Atmosphere Furnace BTU, Ovens / Furnaces, 300mm, Controlled Atmosphere Furnace Status: Removed Controlled
Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.
High NA covers 0.18 um to 0.15 um design rules using 248 KrF illumination. The wafer size can easily be changed between 200 mm and 300 mm with a
Sheet1
Page 123
Microwave Ashing System
Cressington, Sputtering System
Helium Compressor
Microtester with LC200 Die Shear Load Cell
De-installed, In an inspection facility. Can be inspected by appointment.
Delta Design Turbo Flex, IC handler , LN2 option
Delta Design Turbo Flex, IC handler , LN2 option
Not working
Canon, Lithography, FPA-5500iZa 300mm Manufactured in 2007; Status: Bagged and Skidded
This item is included with the 35 MW Baccini line, ID 54859 This item is included with the 35 MW Baccini line, ID 54859Location: Port Klang, Malaysia
AUTOCLAVE MOD. UG 50 EPOWER SUPPLY 3X380V+N+T KW 16The OLED 3.5G line is available as attached.The tools will be moved out of the fab in the end of this year.
-A set of boards only which came from a Credence Duo SX test system
CTI Cryogenics Model ON-BOARD 10FRebuilt with 1 year Performance Warranty
Cymer excimer laser electrode paddle of the chamber, it can be used on 5x00, 6x00 and 7x00 laser .-Shut down in the fab.Removed July 2017. Sold "as is"
-Was used with an ASML-In working conditionCYMER ELS-5400 Laser System.AS-IS Where is Dage Precision Model 2400PC PC Control System StereoZoom Microscope Year of Manufacture 1995 AP011A Die Shear Workholder Vacuum Plate
0-200gm LC200 Load Cartridge BT24-APF6 Workholder BAUSCH & LOMB StereoZoom 7 Microscope w/0.5X Adapter Oblique Illumination Stand Alone Control 50gm or 200gm Wire Pull Load Cells Available (1ea is Included with the Equipment, customers choice) (2nd can be Purchased if Needed) BAUSCH & LOMB
Was operational when deinstalled.When installed, it was producing solar cells with an efficiency of 17%. De-installed, uncrated, in Boerne, 78006 TX warehouseModel PH10 Adjustment system
Inspection available
Sheet1
Page 124
Will be available for purchase on 9/1/2017
Will be available for purchase on 8/1/2017
De-installed, In an inspection facility. Can be inspected by appointment.
-de-installed-Can be sold "as is'< or with power up buy-off.-Inspection available.-This tool is currently de-installed and stored in a demo. facility.Inspection available.Power up available.
-in great condition-see attached photos for detailsInspection available.Inspection available.Power up available.Inspection available.Power up available.
-De-installed-On skids in Plastic Wrap-De-installed-On skids in Plastic Wrap-De-installed-On skids in Plastic Wrap-De-installed-On skids in Plastic Wrap
DNS, SK-200, Coater Developer, 200mm DNS, SK-200, Coater Developer, 200mm S/N: 56700 Missing Many Parts. Known Missing Parts. Location on Tool
* For Scribing & Breaking of up to 4 Wafers * Chip Free" Breaking Mechanism Uses Impact Breaking System * Minimum Die Size: 0.005 Square E-SUN System, SEMI AUTO POD CLEANER , 200mm SEMI AUTO POD CLEANER Manufactured in 2008; Status: Cold ShutdownDry Vacuum Pump, 42 CFM, 5 Pump Stages, Ultimate Pressure of 30 m Torr, 2ea Available
DRY PUMP CC 1 MODEL A10SSETTING PRESSURE 0.04-0.07DRY PUMP CC 1 MODEL A10SSETTING PRESSURE 0.04-0.07DRY PUMP CC 1 MODEL A10SSETTING PRESSURE 0.04-0.07Manufacturer: EbaraModel: A30WManufacturer: EbaraModel: A30WEbara, 300mm, Plating Plater, PbSn, Lead Tin, Electroplate UFP-200/300A Ebara, 300mm, Plating Plater, PbSn, Lead Tin, Electroplate UFP-200/300A Ebara, 300mm, Plating Plater, Ni Cu PbSn, Lead Tin, Electroplate UFP-300A Ebara, 300mm, Plating Plater, Ni Cu PbSn, Lead Tin, Electroplate UFP-300A Ebara CMP - WMissing HDD
POWER SUPPLY MODULE FOR RELIABILITY OVEN MANUFACTURED BY EDA INDUSTRIES SpA Italy.
DRIVER MODULE FOR RELIABILITY OVEN MANUFACTURED BY EDA INDUSTRIES SpA Italy.DRIVER MODULE FOR RELIABILITY OVEN MANUFACTURED BY EDA INDUSTRIES SpA Italy.DRIVER MODULE FOR RELIABILITY OVEN MANUFACTURED BY EDA INDUSTRIES SpA Italy.DRIVER MODULE FOR RELIABILITY OVEN MANUFACTURED BY EDA INDUSTRIES SpA Italy.DRIVER MODULE FOR RELIABILITY OVEN MANUFACTURED BY EDA INDUSTRIES SpA Italy.DRIVER MODULE FOR RELIABILITY OVEN MANUFACTURED BY EDA INDUSTRIES SpA Italy.DRIVER MODULE FOR RELIABILITY OVEN MANUFACTURED BY EDA INDUSTRIES SpA Italy.DRIVER MODULE FOR RELIABILITY OVEN MANUFACTURED BY EDA INDUSTRIES SpA Italy.DRIVER MODULE FOR RELIABILITY OVEN MANUFACTURED BY EDA INDUSTRIES SpA Italy.DRIVER MODULE FOR RELIABILITY OVEN MANUFACTURED BY EDA INDUSTRIES SpA Italy.DRIVER MODULE FOR RELIABILITY OVEN MANUFACTURED BY EDA INDUSTRIES SpA Italy.UBTS DIAGNOSTIC BOARD FOR RELIABILITY OVEN MANUFACTURED BY EDA INDUSTRIES SpA Italy.EXTENDER CARD FOR RELIABILITY OVEN MANUFACTURED BY EDA INDUSTRIES SpA Italy.NEW UNUSED.FOR RELIABILITY OVEN MANUFACTURED BY EDA INDUSTRIES SpA Italy.
Motor Horse Power 3.0 HP Other Information * 36.7 cfm * 3.0 HP * 2 Stage Motor * 208 V 50/60 Hz 3PhaseWith outlet oil mist filter.See attached photos.
p/n 810-08442R s/n RS 0000815 Was used for Nitride process. Has been in contact with N2, NH3, DCS.QMB1200 S/N Q810 IQDP80 S/N 97212782 Was used for Nitride process. Has been in contact with N2, NH3, DCSp/n 810-08442R Was used for doped Poly processLocation: Avezzano (AQ) 67051 Italy
Sheet1
Page 126
Location: Avezzano (AQ) 67051 Italy
Location: Avezzano (AQ) 67051 Italy
Location: Avezzano (AQ) 67051 Italy
Electroglas, EG4090u, Wafer Prober, 200mm Electroglas, Bad Power Supply
Electroglas, EG4090u, Wafer Prober, 200mm No Monitor & No Power Supply
Vintage 1993
p/n 810-08442R Was used for doped Poly process Stock photos for illustrative puposes only.p/n 810-08442R Was used for doped Poly process.Location: Avezzano (AQ) 67051 Italy
Electroglas, SVTR, Wafer Prober, 200mm, Electroglas, SVTR, Wafer Prober, 200mmIn good working conditionLocation: Avezzano, ItalyIn good working conditionLocation: Avezzano, ItalyQty 8 available-Switching power supply with auto-rangingVOCUS EMI Mobile Chem/Slurry Delivery Cart for supporting CMP Tools !!MULTIPLE UNITS AVAILABLE - PLEASE INQUIRE!!! Tools are in warehouse
REV 1IN ORIGINAL PACKAGING.Unit is a standard Spectrum 3013
Sheet1
Page 127
Die Attacher, 3ea Available
Will be available for purchase on 6/30/2017
De-installed, In an inspection facility. Can be inspected by appointment.
FEI Tecnai TF20 FE-TEM, FEI Tecnai TF20 FE-TEM
Will be available for purchase on 6/30/2017
EKC, DIW, DILUTE HF BACK END PROCESS
- Has CE marking certification.- De-installed, warehoused.DEINSTALLEDWAREHOUSED 1. The system full detail configuration as follows:
-de-installedDeinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.
De-installed, can be inspected by appointment in the warehouse.
-A total molecular base real-time monitor.-In excellent , operational condition
* Configured for 6 Wafers * 25 Wafer Load/Unload * Boat to Boat Transfer * Cassette to Cassette Transfer * Approximately 34 Second Transfer
-Refurbished condition-Can be sold with power up, support etc.
-Refurbished condition-Can be sold with power up, support etc.
FEI, Micrion, Vectra 986, FIB FEI, Micrion, Vectra 986, FIB FEI Company Vectra 986+ FlipChip (Backside) Circuit Edit Focused Ion Beam (FIB) Fully -Refurbished condition-Can be sold with power up, support etc.-Refurbished condition-Can be sold with power up, support etc.-Refurbished condition-Can be sold with power up, support etc.
"The S from 400S designates the STEM option which includes:o Flip stage for S/TEM prep
FEI XL835 Dualbeam Workstation (Photo's attached) (XL835 means it has a Magnum ion column which is better than the ion column in a XL830) Sample Deinstalled, warehoused. Can be inspected by appointment.
We also have a FEI 820 dual-column focus-ion beamtool. This tool has been running until recently, but
Metron, Fluoroware, HTC-8020-FE, Box Pod Cleaner, 200mm Metron, Fluoroware, HTC-8020-FE, Box Pod Cleaner, 200mm-inspection is welcomed by appointment-This equipment is still installed
Sheet1
Page 128
belt type robot
belt type robot
belt type robot
belt type robot
not working
- FSI polaris 3500 spares for immediate sale as follows :-
FSI ZETS, TEL, 200mm, Surface Preparation, CoSi FSI ZETS, TEL, 200mm, Surface Preparation, CoSi-De-installed-On skids in Plastic WrapFSM, 128, Film Stress Measurement Tool, 200mm FSM, Frontier Semiconductor Measurement, 128, Film Stress Measurement Tool, 200mm
FUSION SEMICONDUCTOR SYSTEMS M200PCU UV Curing System
Gatan, 691 - PIPS, Precision Ion Polishing System, Gatan, 691 - PIPS, Precision Ion Polishing System,
Fringe Sensitivity Range: 0.5 to 10.0 micrometers per fringe System Accuracy: 0.25 micrometers Maximum Test Area: 5.0 x 4.5 inches Maximum GeMeTec, Munich Metrology, Elymat II, 200mm, Electrolytical Metal Analysis Tool The ELYMAT-technique (ElectrolyticalMetal Analysis Tool) determines GeMeTec, Elymat III, 300mm, Electrolytical Metal Analysis Tool GeMeTec, Elymat III, 300mm, Electrolytical Metal Analysis Tool The ELYMAT-technique
-De-installed-WarehousedDe-installed.Warehoused.De-installed.Warehoused.De-installed.Warehoused.De-installed.Warehoused.De-installed.Warehoused.DEINSTALLEDWAREHOUSEDDeinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.
Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.
Sheet1
Page 129
Will be available for purchase on 12/8/2017
Will be available for purchase on 12/8/2017
Will be available for purchase on 11/10/2017
Will be available for purchase on 11/10/2017
Will be available for purchase on 11/10/2017
Will be available for purchase on 10/13/2017
Will be available for purchase on 10/13/2017
Will be available for purchase on 10/13/2017
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
10 nm resolution, 2 load ports. Bagged and Skidded
10 nm resolution, 2 load ports. Bagged and Skidded
10 nm resolution, 2 load ports. Bagged and Skidded
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.
GSI, Lumonics, WaferMark, Sigma Clean, 200mm, Wafer Scriber GSI, Lumonics, WaferMark, Sigma Clean, 200mm, Wafer ScriberThe C7103 is a computerised IC backside polishing system for use when preparing a-Still installed-In excellent, operational conditionDeinstalled, warehoused. Can be inspected by appointment.
Harmotec, WPO-67-B, Wafer Packing, 200mm MaWPO-67-B. nufactured in 2008; Status: UnhookedHMI, eScan 320, 300mm, ebeam Inspection HMI, eScan 320, 300mm, ebeam Inspection eScan 320 eBeam defect inspection and review system Integrated -Refurbished condition-Can be sold with power up, support etc.
Hitachi High-Tech DE, BIST - BT1064 , Memory BIST Tester Hitachi High-Tech DE, BIST - BT1064 , Memory BIST Tester Sort Tester-deinstalled-warehoused-de-installed-Can be sold "as is' or with power up buy-off.
De-installed, warehoused. Can be inspected by appointment. Price: Please make best offer.Hitachi, LA-3100, Registration Analyzer, 200mm. Hitachi, LA-3100, Registration Analyzer, 200mm. Many Missing Parts. KNOWN Missing Parts.
In-line Defect Review SEM for Next-generation Device Production:RS-4000
Hitachi, S-4500, SEM, Failure Analysis SEM Hitachi, S-4500, SEM, Failure Analysis SEM Quartz PCI USB V9.5 (for Image Capture) System is powered up
Sheet1
Page 130
Hitachi, S-7800 CD-SEM, 200mm Manufactured in 2008
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
DEINSTALLED, BAGGED AND SKIDDED
bagged and skidded
DEINSTALLED, BAGGED AND SKIDDED, BUT TOOL IS REPORTED IN WORKING CONDITION
ELECTRON GUN NEEDS REPLACING. 200 KV,
De-installed, In an inspection facility. Can be inspected by appointment.
-Please refer to the attached photos for details.-Price is open to best offers.-Refurbished condition-Can be sold with power up, support etc.
-Refurbished condition-Can be sold with power up, support etc.
Hitachi, S-5000, SEM, 200mm Hitachi, S-5000, SEM, 200mm Running. Power ON, Under Vacuum. DOM 1995-Refurbished condition-Can be sold with power up, support etc.
" Cassette-to-Cassette Handling for 4, 5 & 6 Wafers " 100X ~ 100,000X Magnification " 0.1 ~ 200 µm Measurement Range " 15 nm Guaranteed (at 1 kV) Wafer size is 150mm open cassette.
Wafer size 200mm open cassette
-this part will turn a S8820 into an S8840.-new unused.new optical system and the improved graphite furnace power supply circuit ensure high sensitivities. Using an ordinary hollow cathode lamp, the Deinstalled, warehoused. Can be inspected by appointment.
Deinstalled, warehoused. Can be inspected by appointment.
Hugle, UPC-12100 FOUP Cleaning, 300mm UPC-12100 Manufactured in 2007; Status: Bagged and Skidded
PTF-1 = Portable Test Floor 1.
Acculine/Accubath Quartz Tank, Laminated Heating for Dual 200mm Cassettes " For Dual 200mm Cassettes " P/N 99-000-1282 " Laminated Heating " 208 VAC " For Dual 125mm Cassettes " P/N 10-000-0126 " Laminated Heating " 234 VAC Max Volts " 2372W (NOM) @ 208 VAC " 50/60 Hz, 1 PhaseInnolas LaserCurrently in storage in Port Klang, Malaysia.Still installed, working in fab
200 Wafer Size Single Wafer Polishing; Polish Arm/Wafer Carrier; Load/Unload Station; Primary/Final Polish Table (22.5"/20.625"); Carrier Wafer Inspection Station with Nikon Optiphot, DIC Optics, Isolation Table # Automatic Handling of 3 6 Wafers # NIKON Brightfield/Darkfield DIC Irvine Optical, UL208, 200mm, Wafer Mapper Irvine Optical, UL208, 200mm, Wafer Mapper Some components are missing.
CD-SEM Scanning Electron Microscope Wafer Size Range Minimum 100 mm Maximum 200 mm Resolution 80.00 Å Cassette to Cassette YES Other Information *
Sheet1
Page 131
FITTED WITH SMIF INTERFACE
in cold shutdown. ASYST SMIF LOADER
FITTED WITH SMIF INTERFACE
Automatic Hybrid Wedge Bonder, 100mm x 100mm Travel
Wire Bonder, 2ea Available
De-installed, unknown condition.
2001
1999
2001
2001
1999
1995
1995
1995
1991
1989
1997
MODEL: Q2 WHD A serial number 40-020-11/1-De-installed-On skids in Plastic Wrap-In Avezzano (AQ) 67051 Italy-CE marked
* K&S 943 Pattern Recognition System * Operating System Software Revision 9.46-A46 * 2ea 5 ¼ Floppy Disc Drives * Bausch & Lomb StereoZoom 4-ST Gold Wire Bonder, 4ea Available: * K&S 870 Pattern Recognition System, Revision 1.65-0-08Semi-Automatic Epoxy Die Bonder with PRS Can be operated in both Manual and Semiautomatic Modes Capable of Stamper or Dispenser Systems for Adhesive
* Manufactured in June, 1998 * Currently in Operation * Pattern Recognition System, Resolution of 0.8 microns * Cut Placement Accuracy of 4 microns and Karl Suss RA-120M - PART TOOL ONLY Auto Wafer Scriber, Parts Tool, Missing ControllerCurrent condition: De-installed, warehoused, crated.Location: Avezzano, (AQ) 67051 Italy
-Still installed and operational-Located in Avezzano Italy
THE PRE-ALIGNER IS INCLUDED, BUILT INTO THE ROBOT. The cable is included. Lead time: 3 days following payment.
Vertical Measurement Ranges:
Good condition, sold as-isCan be tested prior to shipping-De-installed-On skids in Plastic Wrap
Deinstalled, barrier bagged, warehoused.Located at our Boerne TX Warehouse.
Sheet1
Page 132
bagged and skidded
Tool is powered on in the fab.Fitted with dual 200 mm SMIF loaders
Qty 3 x 200 mm smif loaders
KLA-TENCOR, AIT 1, Surfscan, Darkfield Defect Inspection, 200mm SMIF
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
KLA-Tencor, E-beam Inspection, 300mm
Benchtop version.DOS software. Network connection
Benchtop version.DOS software. Network connection
Patterned Wafer Surface Inspection Tool
Patterned Wafer Surface Inspection Tool
Patterned Wafer Surface Inspection Tool with Autofocus Review
Serial number W21XX639 can be sold "as is" or operational to oem specs. Deinstalled by KLA, Warehoused, barrier bagged. The system was deinstalled KLA-Tencor, 2132 Bright Field Inspection, 200mm Manufactured in 1996; Status: Bagged and Skidded
De-installed, In an inspection facility. Can be inspected by appointment.-Dual ASYST 300FL 300 mm SMIF LOADERSLocated in Avezzano, Italy. CE Marked warehoused can be demonstrated in excellent condition This is a 5105 that has been upgraded to XP.
KLA-Tencor, CRS1010 Defect Review, 200mm Manufactured in 1997; Status: Bagged and Skidded
bagged and skidded.config. Available on request. Inspection available by appointment in the warehouse.KLA-TENCOR, eS810, e-beam inspection, 300mm Voltage Contrast and Large Physical Defect Inspection, Electron Beam Inspection (EBI). Most updated KLA-TENCOR, eS810, e-beam inspection, 300mm Voltage Contrast and Large Physical Defect Inspection, Electron Beam Inspection (EBI). Most updated Accommodates Wafer Sizes from 100mm 200mm Measures Single & Multilayer Films 410nm 800nm Reflectivity Range Contour, Die and 3-D Mapping COGNEX bagged and skidded.config. Available on request. Inspection available by appointment in the warehouse. SMIF fittedKLA-Tencor, LMS IPRO5, Photomask Registration and CD Metrology System 6 by 0.25 inch photomask and EUV masks Static Positional Repeatability 3s (short bagged and skidded.config. Inspection available by appointment in the warehouse.
KLA Tencor UV 1050, 200mm, Thin Film Thickness Measurement SMIF loader. Bagged and skidded. * Wafer Chuck for up to 200mm Wafers * Dual Platforms for up to 200mm Open Cassette * Maker : KLA-Tencor
Sheet1
Page 133
Will be available for purchase on 6/30/2017
Will be available for purchase on 6/30/2017
ALD-TiN process
New in box, never installed, ALD-TiN process
Will be available for purchase on 8/1/2017
Will be available for purchase on 7/1/2017
-Sold In Working condition , with a power up demo available.-Please refer to the attached photo.ALD TIN process. Basic Tool Configuration - Mainbody and Axes Included - Wafer Transfer Robot Included - OHT(I/O) Stage Included - Clean Unit Module
Kokusai Quixace Ultimate, 300mm, MT-TiN, DJ-1236VN-DF Kokusai Quixace Ultimate, 300mm, MT-TiN, DJ-1236VN-DF TiCl4, NH3 - Process for TiN - Prior Kokusai, 200mm, DJ-853V, LPCVD SiN S. MISSING PARTS. DEINSTALLED, BAGGED, SKIDDED
Sheet1
Page 134
Will be available for purchase on 8/3/2017
Will be available for purchase on 11/23/2017
Will be available for purchase on 8/18/2017
Will be available for purchase on 7/28/2017
Will be available for purchase on 8/18/2017
Will be available for purchase on 2/15/2018
Will be available for purchase on 12/14/2017
low temperature steam anneal process for SOD
Will be available for purchase on 7/1/2018
Will be available for purchase on 7/1/2017
Will be available for purchase on 6/1/2018
Will be available for purchase on 5/1/2018
Will be available for purchase on 2/1/2018
Will be available for purchase on 9/22/2017
LesKer, Aluminum Evaporator, 200mm, KJLC Custom Deposition System Kurt J. Lesker, Aluminum Evaporator, 200mm, manual loading
LAM RAINBOW 4428, 200mm, POLY/NITRIDE ETCHER, smif dual loaders fitted. ENVISION S/WLAM, TCP 9608 METAL ETCHER, 200mm LAM, TCP 9608 METAL ETCHER, 200mm In the Warehouse, Bagged & Skidded DUAL 200 MM SMIF LOADERSLAM, Etch, TCP9408SE 200mm Manufactured in 1997; Status: Bagged and Skidded in Warehouse .dual 200 mm smif loadersLAM, Etch, TCP9408SE 200mm Manufactured in 1997; Status: Bagged and Skidded in Warehouse .dual 200 mm smif loadersLAM, Etch, TCP9408SE 200mm Manufactured in 1996 Bagged & Skidded in Warehouse. DUAL 200 MM SMIF LOADERSLAM, Etch, TCP9408SE 200mm Manufactured in 1998 Bagged & Skidded in Warehouse. DUAL 200 MM SMIF LOADERS
Deinstalled, warehoused. Can be inspected by appointment.
Sheet1
Page 135
Will be available for purchase on 9/22/2017
Will be available for purchase on 8/18/2017
Will be available for purchase on 7/14/2017
Will be available for purchase on 10/27/2017
Will be available for purchase on 10/13/2017
Will be available for purchase on 9/15/2017
Will be available for purchase on 7/5/2017
Will be available for purchase on 7/28/2017
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
WcoP electrodeless deposition
WcoP electrodeless deposition
WcoP electrodeless deposition
Qty 2 available serial numbers:- 17750-1-b-1581183 17750-1-b-1651246 *®," Lam Research
Number of Scrub Stations
LAM, 2300, 300mm, Poly etch & Wet Processing LAM, 2300, 300mm, Poly etch & Wet Processing 2300 Stretch ATM + VTM In the Fab. Cold Shutdown. EXELAN LAM, RAINBOW, 4428XL, Nitride Etch, 200mm LAM, RAINBOW, 4428XL, Nitride Etch, 200mmLAM, RAINBOW 4428XL, 200mm , Poly Nitride Etcher LAM, RAINBOW 4428XL, 200mm , Poly Nitride EtcherLAM, RAINBOW, 4428XL, Nitride Etch, 200mm LAM, RAINBOW, 4428XL, Nitride Etch, 200mmLAM, RAINBOW, 4428XL, Nitride Etch, 200mm LAM, RAINBOW, 4428XL, Nitride Etch, 200mmLAM, TCP 9608 METAL ETCHER, 200mm LAM, TCP 9608 METAL ETCHER, 200mm In Warehouse, Bagged & Skidded PARTS TOOL.LAM, TCP 9608 METAL ETCHER, 200mm LAM, TCP 9608 METAL ETCHER, 200mm In Warehouse, Bagged & Skidded Aluminum EtcherLAM, TCP 9608 SE, 200mm, Al Etch System TCP 9608 SE ALUMINIUM ETCHING SYSTEMLAM, TCP 9608SE METAL ETCHER, 200mm LAM, TCP 9608SE METAL ETCHER, 200mm Bagged & Skidded in WarehouseDe-installed, In an inspection facility. Can be inspected by appointment.
Sheet1
Page 136
De-installed, In an inspection facility. Can be inspected by appointment.
Defect Review System
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
StereoZoom Microscope on Boom Stand with 10X WF EPs
De-installed, In an inspection facility. Can be inspected by appointment.
Our Leybold/Heraeus NT 1000/1500 is included with our TMP 1000
Sold in good working condition
Wafer Transfer Tool for 200mm Wafers, 2ea Available
-sw version 2.793s -Average power 40W
We have a quantity of four INM 200 Leica inspection microscopeswith bright field, dark field and differential interference contrast, andDeinstalled, warehoused. Can be inspected by appointment.Leica INS 1000 cassette to cassette inspectionmicroscope with the following features:-
Transfers Wafers Between one 25-slot Carrier and One 50 Slot Quartz Boat Carriers/Boats can be Plastic, Teflon, Quartz, Silicon Carbide, Polysilicon
Sheet1
Page 137
bagged and skidded, 2 chamber aspen strip
ASYST 2200 INDEXER QTY 2, ROBOT ATM 200
smif loaders
Metron, Amine Extraction
Wafer Transfer Station, 2ea Available
De-installed, Warehoused. Can be inspected by appointment.See attached photos for details.
Mattson, Steag, TiW Wet Etch Tool, 300mm, TiW Wet Etch Tool, Steag, Mattson, 300mm This tool had two heated TiW Etch tanks, with a quick dump
Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.
-Deinstalled, warehoused.-In working condition-Deinstalled, warehoused.-In working conditionELES Semiconductor Equipment SpaMazzali SystemAnalytical Probing System w/B/L MicroZoom Microscope, 2.25X, 8X, 25X ObjectivesMDA Scientific System 16 toxic gas monitor, In very good condition.Uncrated and located in our Boerne, TX warehouse.
Deinstalled, barrier bagged, warehousedLocation: Avezzano (AQ) 67051 Italy.Mega Kinetics, Megapure 6001 HC, Chemical Delivery System, Electoetch Mega Kinetics, Megapure 6001 HC, Chemical Delivery System, Electoetch This mix
Micro Control Co., Abes III (10X), Burn-in Oven Burn-in Oven to test both VLSI and memory devices
With Cooling exhaust.-Deinstalled, warehoused.
-In our warehouse at Avezzano (AQ) 67051 Italy.-CE marked-In our warehouse at Avezzano (AQ) 67051 Italy-CE markedDE-INSTALLED WAREHOUSED.CAN BE INSPECTED BY APPOINTMENT.
Sheet1
Page 138
MKS, ASTeX AX8550, Ozone, O3, Generator
DEINSTALLED, BAGGED, SKIDDED. HAS CE MARK
DEINSTALLED, BAGGED, SKIDDED. HAS CE MARK
Screen Printer
CD Measurement Tool
Film Thickness Measurement System
Refurbished
BAGGED AND SKIDDED
Recirculating Water Chiller w/Analog Temp Ctlr, Water Cooled
Recirculating Water Chiller w/Analog Temp Ctrl, Water Cooled
Recirculating Water Chiller w/Digital Temp Ctlr, Water Cooled
Mosaid, MS4205 , Manual Memory Tester, 300mm 200mm Model MS4205 General memory testers 200/400MHz, 16x16y addressing, 36 data Wafer probe
w/Cantilever Loaders, 150mm Wafers.------ Max Temperature 1200ºC 3ea MRL Black Max 400-95705 Furnace Tubes with Spike Thermocouples Furnace Tube
5 Probe Heads, 3060 MPIII AFP Core 05/06/09 - 1ea 3020 MPII Head Group04/27/09 - 5ea 2715 MPIII Digital Control and Power Box04/27/09 - 1ea MULTIPROBE MP1, Atomic Force Prober (AFP), 300mm tool for micro probing of transistors at contact level 3 Probe heads, MP1-system with semiauto
This is a dual temp MT9308 with quad site and SO209 change kit.
-Currently de-installed -Can be sold "as is", or with power up buy-off
Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.
Film Thickness Measurement System with Irvine Optical Optistation 200 Automatic Wafer LoaderFilm Thickness Measurement System with 125mm/150mm Dual Wafer Sliding Stage * Model 7200-1400, Rev. S Computer
Q240AT 200mm Overlay Metrology Control. Fitted with dual 200 mm smif loaders The Q2x0AT series provides leading edge performance for overlay
WMM-40-101 MID TEMPERATURE HEATER, INTEGRATED 200 MM SMIF, ROBOTIZED HANDLING
Temperature Control Control Stability ± 1.000 ºC Heat/Cool Controls Control Type Digital Setpoint
Capacity --10K Watts @ 20d C Capacity --34k BTU/hr @20d C Temp range-- +5C to +35C Stability --+/-0.1d C Reservoir Volume-- 15 Gallons
Inspection available.Power up available.
Sheet1
Page 139
Wafer Inspection Microscope
Cassette to Cassette YES Automatic. Parts Tool only
Cassette to Cassette YES
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
Will be available for purchase on 10/1/2017
De-installed, In an inspection facility. Can be inspected by appointment.
stage has a full 6 inch x 6 inch movement wafer chuck is 5 inch size Manufacturer Nikon Model Metaphot Microscope Type Upright Eyepieces Model Nikon, Lithography, NSR 2205i14E, 200mm Nikon, Lithography, NSR 2205i14E, 200mm.De-installed, warehoused.This tool can be inspected by appointment.
NIKON Optiphot-150 Wafer Inspection
Automatic Wafer Inspection Systems for 75mm- 150mm Wafers, Auto Focus, 4ea Available
Deinstalled, warehoused. Can be inspected by appointment.
Scoring Chuck for 4 6 Wafers For Flatted Wafers Thickness of Wafer 400 ~ 700 µm STATIC CONTROL SERVICES AB 250 AutoBalance PulseFlow Controller
Inspection available
For 4 inch 6 inch Wafers For Flatted Wafers SHISHIDO ELECTROSTATIC LTD. Eliminostat Checker Dual Cassette to Cassette Operation 25 Wafers per power possible by appointmentInspection available
power possible by appointmentInspection availableInspection available
Inspection available
See configuration file attachedImmediately availableNovellus C3 SPEED Configuration
-De-installed-On skids in Plastic Wrap
Sheet1
Page 140
Cu Barrier Seed SMIF FITTED TOOL
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
Cu Barrier seed process. This tool is in poor condition we recommend only for spare parts useNovellus, SabrexT, Cu Plating system, 200mm. QNX software 4.71 B19 proteous software 2.085 B15 System FECP*03 sabre Tool Generation Sabre xT Input
Cassette to Cassette YES Other Information Macro Inspection with Adjustable Speed of Rotation Macro Back Tilt Angle Orientation of Flat can be set in DEINSTALLEDWAREHOUSED
Olympus SZ3060 Microscopes described as follows: Eyepieces Model: GSWH20X/12.5 Magnification Range: 9X 40X Zoom Range: 0.9X to 4X
-The system has a defective CPU board and is not currently in working condition
The equipment used for thin film deposition was a commercial Plasma Technology DP-80 parallel chamber system. S1H4 and NH3 was used as reactant Partial Line of Reliability and device testing equipment for immediate sale.PMS, LASAIR-110, Airborne Particle Counter PMS, LASAIR-110, Airborne Particle Counter
SPECIFICATIONS Instrument TGA design A vertical design with a high sensitivity balance and quick response furnace. The balance is located
* Serial Number DY591 * Manufactured in 2000 * PHILIPS PW2592/15 Xray Tube * Rhenium Target * Maximum Voltage: 60kV * Maximum Load: 3kW * 5ea PHILIPS
Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.
Picosun, Sunale R-200, Advanced ALD Reactor, 200mm Picosun, Sunale R-200, Advanced ALD Reactor, 300mm Configured with three canisters and chemicals
Detects non-volatile residue in ultrapure water. Allows continuous monitoring in real time. Main features:- -measures impurity levels in PPD Heated storage chamber for quartz boats from vertical furnaces. Overall dimensions 375 cm (l) x 75 cm (w) x 187 cm (h) Chamber size (4 chambers)
RORZE RV201 F05 300mm Wafer Load Port Rorze RV201-F05-202CE-1 FOUP Opening Load Port Great condition. Ready for use.RORZE RV201 F05 300mm Wafer Load Port Rorze RV201-F05-202CE-1 FOUP Opening Load Port Great condition. Ready for use.De-installed, on pallet, located in our Texas warehouse.Was used with a R&R Sina toolOne (1) SINA, a modular in-line system manufactured by Roth and Rau for deposition of silicon nitride, or similar silicon based layer, on wafers
Sheet1
Page 142
DEINSTALLED, WAREHOUSED. WAS WORKING BEFORE IT WAS DE-INSTALLED
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
in the fab, running, SMIF type
DEINSTALLED, BAGGED AND SKIDDED
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
Rudolph Macro Defect S300 inspection system, 300mm Rudolph Macro Defect S300 inspection systemRudolph, WV320 Macro Defect, 300mm Manufactured in 2005; Status: Bagged and Skidded Multiple Units Available. Please inquire!Rudolph, WV320 Macro Defect, 300mm Manufactured in 2005; Status: Bagged and Skidded
-Deinstalled, warehoused.-In working condition
LOCATED AT AVEZZANO ITALYDEINSTALLED WAREHOUSED
* Contact and Non-Contact Surface Photo Voltage Test Capability * For Analyzing 200mm & 300mm Wafers * Light Acvtivation Module * Designed for * COCOS, SILC & EPI-t Test Capabilities * For Analyzing 200mm & 300mm Wafers * 300mm (dia.) Anodized Aluminum Hot Chuck * 300mm (dia.) Gold
-de-installed-Can be sold "as is' or with power up buy-off.-de-installed-Can be sold "as is' or with power up buy-off. Working condition, video available
S/N 000001878 LOCATED IN AVEZZANO, ITALY 67051 (NEAR ROME) USEDCONTROLLER ALSO AVAILABLE IF REQUIREDS/N 0000014025 LOCATED IN AVEZZANO, ITALY 67051 (NEAR ROME) USEDREQUIRES REFURBISHMENT AND CLEANING PRIOR TO USE.LOCATED IN AVEZZANO, ITALY 67051 (NEAR ROME) USEDREQUIRES REFURBISHMENT AND CLEANING PRIOR TO USE.
-Designed for storage and transport -The boxes can also be used not only for silicon wafer but also for DEINSTALLED WAREHOUSEDFOR CONDITION PLEASE REFER TO ATTACHED PHOTOS
Regarding details of this product, they can be found on the OEM's website at the following location:-SemiTest Epimet 2, 200mm, Thin Film Epi measurement SemiTest Epimet 2, 200mm, Thin Film Epi measurement SemiTest ( now owned by SemiLab) EpiMet 2 Semitool SRD RotorUsed,good conditionSemitool SRD RotorUsed,good conditionSemitool, 300mm, Electro Etch, Raider, R 310 FMC2, Semitool, 300mm, Electro Etch, Raider, R 310 FMC2No StandSemitool ST-240 SRD, with controller, powers up, seems to be working.Coming soon, qty 2 availableLocated in our Texas warehouse
Sheet1
Page 143
200 mm asyst smif loaders
Chemistries O2 CF4 Cl2 Ar
4 NM SPOT SIZE AT 30 kEv, Sem resolution 3 nm at 5 KeV
LPCVD Nitride-Pad/SPCR (Gen Nit) Bagged & Skidded in warehouse
2 process chambers with 3 media in each chamber and 1 DI . 4 x SMIF Load ports. CPU is defective and needs replacing.
Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.-Removed from operational July 2017-in the warehouse-de-installed from working condition.-In the warehouse-Deinstalled, warehoused.-In working condition-Deinstalled, warehoused.-In working condition
Polymide cure process. Stand alone track with smif loaders
Polymide cure process. Stand alone track with smif loaders
Confocal Microscope
Will be available for purchase on 7/17/2017
EPR 16 3 BAIETeste VERDIEPR 16 3 BAIETeste VERDIEPR 16 3 BAIETeste VERDIEPR 16 3 BAIETeste VERDIEPR 16 3 BAIETeste VERDILTX Test Head Included.-Deinstalled, warehoused.EPR 16 3 BAIETeste VERDIST Memory Test System
SALES CONDITIONS: "AS IS, OPERATIONAL" Up graded in 2001 Pentium II PC Based Control System CES-GSW-CONFIG Software Upgrade Enables Gas Line -Deinstalled, warehoused.-In working conditionI currently have a STS Multiplex for sale. Below is the description and attached are a few photos. The unit was functional before it was taken -De-installed-warehousedSuss Microtec BA300-MIT, 300mm Automated inspection tool inspects glass plates 13" x 14" molds do determine fillingSuss Microtec HVMMFT, Mold Fill Tool, 300mm, C4, Suss Microtec HVMMFT, Mold Fill Tool, 300mm, C4, solder bumps Suss Microtec HVMMFT, Mold Fill Tool, Suss Microtec HVMMFT, Mold Fill Tool, 300mm, C4, solder bumps Suss Microtec HVMMFT, Mold Fill Tool, 300mm, C4, automated injection molded solder mold
SVG, AVP 8000 LP, 200mm Vertical Furnace, LPCVD SiN SVG, AVP 8000 LP, 200mm Vertical Furnace LPCVD Nitride-Pad/SPCR (Gen Nit)Location: Our warehouse, Boerne, TXTool is in good condition and has been repaired to working condition and SX3100 Ambient/Hot Temp. HandlerFull Auto. Input Tray Stacker (300mm)System General T9600 Universal Device Programmer with EPD (Extended Pin Driver) Adapter.
Sytrama
SytramaInspection available
Tec-sem, Practor Wafer Handling, 300mm Manufactured in 2005; Status: Bagged and Skidded Tool dual 300 mm load ports and a 4 axis scara robot
" Model # 62-111 " Dimensions 72 x 35 1/2 x 32 Tall " Breadboard Top " Holes on 2 Centers " 4 Thick
-deinstalled and warehoused.-Located in Avezzano (AQ) 67051 Italy-250 MS/s-GPIBThe PS280 DC power supply is a multifunction bench top or portable instrument. It is a regulated power supply that provides fixed 5 V output -In Italy-CE marked
Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.
left to right wafer flow, 2 coaters and 2 developers, wee, adh, cup wash, 5 cp, 6 lhp, 2 hhp-ASML interface-wafer flow: right to leftEquipment Configuration Eqpt ID NITDE-08 Tool Status Cold shutdown No General Configuration 1 Safety Specification TEL Standard 2 System Layout
2. General Information 2.1 Wafer Size 8" 2.2 Process Wafer QT'y 150 PC 2.3 Flat Or Notch Norch(Modify) 2.4 Signal Tower Color 3(R,Y,G) 2.5 SMIF Or I/O 2. General Information 2.1 Wafer Size 8" 2.2 Process Wafer QT'y 150 PC 2.3 Flat Or Notch Norch(Modify) 2.4 Signal Tower Color 3(R,Y,G) 2.5 SMIF Or I/O
1. Manufacturer TEL 2. Model Alpha 8S 3. Process Name SOGCU-06 4. General Configuration 1 Safety Specification TEL Standard 2 System Layout Type
Safety Specification TEL Standard System Layout Type U/Box Type(L) System Hand LL N2 Load Lock Not installed Heater Type WMM-40-101 Mid Temp Torch 2.1 Wafer Size 8" 2.2 Process Wafer QT'y 150 PC
Will be available for purchase on 12/31/2017Configuration
Sheet1
Page 146
process flow: sd2, sd2, cw, pou, sc1, of, bhf/dhf,hqdr,spm
Will be available for purchase on 8/18/2017
2c 5d left to right flow in cold shutdown
2c 5d left to right flow in cold shutdown
2 c 3d right to left flow, 3 x 200 mm smif loaders, wee x 2, adh x 2
2 c 2 d left to right flow, with 3 x 200 mm smif loaders, wee, adh
-De-installed-On skids in Plastic WrapTEL, Lithius Standard I-Line Photoresist, 300mm Manufactured in 2007; Status: Bagged and Skidded Multiple Units available. Inquire if you need
1 Sub-Fab Component a. Coater Cabinet b. Developer Cabinet c. AC Power Box - 208V 3 Phase d. Cup THC (Shinwa) 2 Loadports 4 Loadports with FOUP
TEL MARK 8 DUV Track, 2C/2D, 200mm Photoresist Coater TEL MARK 8 DUV Track, 2C/2D, 200mm Photoresist Coater Q1. Is 2C2D Right to Left track? A1: Yes.
Sheet1
Page 147
TBD
Will be available for purchase on 9/22/2017
Will be available for purchase on 7/14/2017
Will be available for purchase on 10/27/2017
Will be available for purchase on 10/13/2017
Will be available for purchase on 12/29/2017
Will be available for purchase on 11/3/2017
CAN BE OFFERED "AS IS" OR OPERATIONAL TO OEM SPECIFICATIONS Condition: very clean and completely decontaminated. No acid or corrosion is present. SN MC1068LOCATION: AVEZZANO, ITALY
Single Foup Load Port Clean (FFU) Gold Chuck Electric Heated, No Chiller, Tri-Temp Ready VIP 3 or 3A Tool is currently "Split" for Shipping S/N: TEL P-12XL Auto Wafer Prober 300mm TEL P-12XL Auto Wafer Prober 300mm Dual FOUP Load Port Clean (FFU) Gold Chuck Electric Heated, No Chiller, Tri-Temp TEL P-12XL Auto Wafer Prober 300mm TEL P-12XL Auto Wafer Prober 300mm Dual FOUP Load Port Clean (FFU) Gold Chuck Electric Heated, No Chiller, Tri-Temp TEL P-12XL Auto Wafer Prober 300mm TEL P-12XL Auto Wafer Prober 300mm Dual FOUP Load Port Clean (FFU) Gold Chuck Electric Heated, No Chiller, Tri-Temp TEL P-12XL Auto Wafer Prober 300mm TEL P-12XL Auto Wafer Prober 300mm Dual FOUP Load Port Clean (FFU) Gold Chuck Electric Heated, No Chiller, Tri-Temp TEL P-12XL Auto Wafer Prober 300mm TEL P-12XL Auto Wafer Prober 300mm Dual FOUP Load Port Clean (FFU) Gold Chuck Electric Heated, No Chiller, Tri-Temp TEL P-12XL Auto Wafer Prober 300mm TEL P-12XL Auto Wafer Prober 300mm Dual FOUP Load Port Clean (FFU) Gold Chuck Electric Heated, No Chiller, Tri-Temp
TEL P8
TEL P-8XL
-Currently de-installed and warehoused-Can be sold in "as is" conditions, or with power up testing and
Tokyo ElectronTE-5480
Sheet1
Page 148
Will be available for purchase on 4/19/2018
Will be available for purchase on 2/22/2018
Will be available for purchase on 11/30/2017
Will be available for purchase on 8/3/2017
Will be available for purchase on 7/1/2017
Will be available for purchase on 6/13/2017
Will be available for purchase on 3/15/2018
Will be available for purchase on 12/28/2017
Will be available for purchase on 12/14/2017
Will be available for purchase on 12/1/2017
Will be available for purchase on 12/1/2017
Will be available for purchase on 11/30/2017
Will be available for purchase on 9/1/2017
Deep Trench Si etch chamber position 2
Will be available for purchase on 10/1/2017
Status: Bagged and Skidded . Description Qty Model Remark Load port 4 SELOP 12F25-30A-13 SHINKO Load module 3 304 SCCM Robot(LM) 1 SBX92101286-2 SHINKO
deINSTALLED, BAGGED AND SKIDDED. Details available on request
Will be available for purchase on 7/14/2017
De-installed, In an inspection facility. Can be inspected by appointment.
TEL Unity 2, 85DD, Oxide Etcher, 200mm, 2 chambers, oxide etch, 2 x 200 mm smif pods fittedTEL Unity 2, 855SS, Oxide Etcher. Tool is in storage and missing some minor partsDeinstalled.Crated
Two-tube Horizontal diffusion furnace for POCl3
Sheet1
Page 150
De-installed, In an inspection facility. Can be inspected by appointment.
Unpatterned Wafer Surface Inspection Tool, for 75mm-150mm Wafers
Stainless Steel Sink with 8ea 14" X 14" X 12" (d) Tanks
-currently installed-Can be sold "as is' or with power up buy-off.-de-installed-Can be sold "as is' or with power up buy-off.The items which are available are all the boards from the system,.The mainframe of the system has been scrapped.
Qty 1 available. Configured with 2 x test heads were used with TSK APM 90A probers Pin count 144 I/O, 640 Address/Clock Single Patgen Max freq. 60MHz Spare Boards available for Teradyne test systems as per the following list-The boards are tested-de-installed-Can be sold "as is' or with power up buy-off.Portable Desiccator Box, 6ea Available - 56129 Desiccator Box, 12"x11"x12" - 56145
Deinstalled, warehoused. Can be inspected by appointment. Price: Please make best offer.THERMAWAVE Optiprobe 2600 DUV, 200mm THERMAWAVE Optiprobe 2600 DUV, 200mm -smif loading
Deinstalled, warehoused. Can be inspected by appointment.
Precision Temperature Forcing System (PTFS) are used for localized, hot and cold temperature testing of semiconductor, hybrid and other components or
TowaModel CC-S-currently operational in the line
-currently operational in the line
-currently operational in the line
-currently operational in the lineInspection available.
De-installed, In an inspection facility. Can be inspected by appointment.
De-installed, In an inspection facility. Can be inspected by appointment.
Mechanical Vacuum Pump
Helium Leak Detector:
Mechanical Vacuum Pump, 2ea Available
Will be available for purchase on 8/11/2017
Will be available for purchase on 6/9/2017
De-installed, In an inspection facility. Can be inspected by appointment.
-FOR SPARES USE OLNLY
Vintage 1997 Condition: Good
Unaxis-Nextral NE860 High Density Plasma Etcher, 200mm Unaxis-Nextral NE860 High Density Plasma Etcher, 200mm De-layer for Rel/FA on Semiconductors. Parallel Gap Welder with 2-152-02 Weld Head & 9-001-01 XFMR
VARIAN 3290ST SPUTTERING SYSTEM
Model
-De-Installed-Can be inspected by appointment
New in original packaging.The packaging has been opened in order to take photos.Software V810 07 10 101 HardDisk Size 80G RAM 1GHz Hardware Cooling System Heat Exchanger/Closed loop de-ionized water cooling system Wafer Loader 2
CAN BE OFFERED "AS IS" OR OPERATIONAL TO OEM SPECIFICATIONS WAFER SIZE- 4" OR 100mm POWER- 110V, 60 Hz SEPARATE VOLTAGE TRANSFORMER FROM 220 V TO 110
-In Italy-CE marked
Sheet1
Page 152
90 day parts warranty
Micropositioner, 2ea Available
2006 YV100XG without feeder.
Dual 300 mm smif loaders
DEINSTALLED, IN THE WAREHOUSE
-Currently in storage-Located in the USABagged and Skidded ild bpsg config.Equipment Configuration E Tool Status Tool Type (model) WJ1500 Release 6.0 Process ILD Recipe FI024/025 & STM Bagged and Skidded TEOS DEPOSITION Equipment Configuration Eqpt ID FILD-06 Tool Status Tool Type (model) WJ1500 Release 6.0 Process ILD Recipe -Removed from service in 2014-Warehoused
Deinstalled, warehoused. Can be inspected by appointment.