Top Banner
INUS ABRIATO AN DEOITO IIIA _- - - - _- _ _ - _- r t Edte by P.C.]l Anr.cac__ P. C. Sl,,a rso+- C RedemaS psl o1-
411

_sWni7aGN

Mar 26, 2015

Download

Documents

Piyush Jaiswal
Welcome message from author
This document is posted to help you gain knowledge. Please leave a comment to let me know what you think about it! Share it to your friends and learn new things together.
Transcript
Page 1: _sWni7aGN

INUS ABRIATO AN

DEOITO IIIA

_- - - - _- _ _ - _-

r t

Edte by

P.C.]l Anr.cac__

P. C. Sl,,a rso+-C RedemaS psl o1-

Page 2: _sWni7aGN
Page 3: _sWni7aGN

ELECTROCHEMICAL PROCESSINGIN ULSI FABRICATION ANDSEMICONDUCTOR/METAL DEPOSITION II

Proceedings of the International Symposium

Editors

P. C. Andricacos P. Allongue

[BM T. J. Watson Research Center Laboratoire de PhysiqueYorktown Heights, New York, USA des Liquides et Electrochimie

University P. & M. CurieP. C. Searson Paris, FranceFhe Johns Hopkins UniversityDepartment of Materials Science J. L. Stickney

and Engineering University of GeorgiaBaltimore, Maryland, USA Department of Chemistry

Athens, Georgia, USA

Z. Reidsema-SimpsonM4otorola G. M. Oleszek

6ustin, Texas, USA University of ColoradoDepartment of Electrical and

Computer Engineering

Colorado Springs, Colorado, USA

'F.ELECTRONICS AND DIELECTRIC SCIENCE AND TECHNOLOGY DIVISIONS

Proceedings Volume 99-9

} THE ELECTROCHEMICAL SOCIETY, INC.,65 South Main St., Pennington, NJ 08534-2839, USA

Page 4: _sWni7aGN

Copyright 1999 by The Electrochemical Society, Inc.All rights reserved.

This book has been registered with Copyright Clearance Center, Inc.For further information, please contact the Copyright Clearance Center,

Salem, Massachusetts.

Published by:

The Electrochemical Society, Inc.65 South Main Street

Pennington, New Jersey 08534-2839, USA

Telephone 609.737.1902Fax 609.737.2743

e-mail: [email protected]: http://www.electrochem.org

Library of Congress Catalogue Number: 99-69039

ISBN 1-56677-231-1

Printed in the United States of America

Page 5: _sWni7aGN

PREFACE

The Symposium on Electrochenical Processing In ULSI Fabrication II was held onMay 3 - 6, 1999 in Seattle, Washington in the context of the 195th Meeting of theElectrochemical Society. It was the second of a series of symposia held annually during Springmeetings of the Society.

The goal of this symposium was to capture from the beginning the explosive growth thatelectrochemical processing is experiencing as a result of the immense opportunities thatsemiconductor fabrication offers, as witnessed by the recent emergence of electroplating as theprocess of choice for copper deposition in on-chip interconnections. Another goal of theSymposium was to bring together practitioners from all aspects of electrochemical processes fromthe most fundamental to the most applied. Both goals are being accomplished as evidenced by thepapers being published in this volume as well as the proceedings volume of the 1998 symposium.

We are grateful to the participants for making the Symposium a success.

November 1999

Symposium Organizers:

Panos C. AndricacosPeter C. SearsonCindy Reidsema - SimpsonPhilippe AllongueJohn L. StickneyGerald M. Oleszek

Page 6: _sWni7aGN

TABLE OF CONTENTS

PREFACE ........................................................................................................................... iii

Copper Interconnect Technology in Semiconductor Manufacturing ............................. 1Daniel C. Edelstein, P.C. Andricacos, B. Agarwala, C. Carnell,D. Chung, E. Cooney III, W. Cote, P. Locke, S. Luce, C. Megivern,R. Wachnik, and E. Walton

Extendibility of Electrochemical Deposition for High Aspect RatioCopper Interconnects ........................................................................................................ 9Sergey Lopatin

Experimental and Numerical Study of Leveling of Submicron Featuresby O rganic Additives ....................................................................................................... 16James J. Kelly and Alan C. West

A Novel Electrolyte Composition for Copper Plating In Wafer Metallization ................. 25Uziel Landau, John D'Urso, Andrew Lipin, Yezdi Dordi, Atif Malik,Michelle Chen, and Peter Hey

STM Studies of Halide Adsorption on Cu(100), Cu(110), and Cu(111) ........................ 41T.P. Moffat

A Model of Superfilling in Damascene Electroplating .................................................... 52H. Deligianni, J.O. Dukovic, P.C. Andricacos, and E.G. Walton

A Mass Transfer Model for the Pulse Plating of Copper Into High AspectRatio Sub-0.25Izm Trenches ............................................................................................ 61Desikan Varadarajan, Charles Y. Lee, David J. Duquette, and William N. Gill

Numerical Simulations of Fluid Flow and Mass Transfer within anElectrochemical Copper Deposition Chamber ............................................................. 71P.R. McHugh, G.J. Wilson, and L Chen

Model of Wafer Thickness Uniformity In an Electroplating Tool .................................. 83H. Deligianni, J.O. Dukovic, E.G. Walton, R.J. Contolini, J. Reid, and E. Patton

Bath Component Control and Bath Aging Study for a Cu Plating SystemUsing an Inert Anode ..................................................................................................... 96Mei Zhu, Yi-Fon Lee, Demetrius Papapanayiotou, and Chiu H. Ting

V

Page 7: _sWni7aGN

The Effects of Process Parameters on the Stability of Electrodeposited CopperFilm s ................................................................................................................................. 103Brett C. Baker, David Pena, Matthew Herrick, Rina Chowdhury, Eddie Acosta,Cindy R. Simpson, and Greg Hamilton

Dopants in Electroplated Copper ..................................................................................... 111P.C. Andricacos, C. Parks, C. Cabral, R. Wachnik, R. Tsai, S. Malhotra,P. Locke, J. Fluegel, J. Horkans, K. Kwietniak, C. Uzoh, K.P. Rodbell,L. Gignac, E. Walton, D. Chung, R. Gefjken

ECD Seed Layer for Inlaid Copper Metallization ........................................................... 122L. Chen and T. Ritzdorf

Thermodynamics of Faceting on the Submicron Scale in Copper Electroplating .......... 134Q. Wu and D. Barkey

Deposition of Copper on TIN From Pyrophosphate Solution ......................................... 149John G. Long, Aleksandar Radisic, Peter M. Hoffmann, and Peter C. Searson

Electrochemical Study of Copper Deposition on Silicon Surfaces in HF Solutions ....... 156L Teerlinck, W.P. Gomes, K. Strubbe, P.W. Mertens, and M.M. Heyns

Charge Exchange Processes During Metal Deposition on Silicon FromFluoride Solutions ............................................................................................................. 160P. Gorostiza, R. Diaz, F. Sanz, J.R. Morante, and P. Allongue

Evaluation of Effects of Heat Treatment Electroless Deposited Copper ........................ 168Kai Yu Liu, Wang Ling Goh, and Man Siu Tse

Cu Electroplating on n-Si(111): Properties and Structureof n-Si/Cu Junctions ......................................................................................................... 177T. Zambelli, F. Pillier, and P. Allongue

The Use of Copper Based Backmetal Schemes As a Low Stress and Low ThermalResistance Alternative for Use In Thin Substrate Power Devices ................................... 185T. Grebs, R.S. Ridley, Sr., J. Spindler, J. Cumbo, and J. Lauffer

Possibility of Direct Electrochemical Copper Deposition Without Seedlayer ................ 194H.P. Fung and C.C. Wan

Modulated Reverse Electric Field Copper Metallization for High DensityInterconnect and Very Large Scale Integration Applications ......................................... 201J.J. Sun, E.J. Taylor, K.D. Leedy, G.D. Via, M.J. O'Keefe, M.E. Inman, and C.D. Zhou

Vi

Page 8: _sWni7aGN

Electrochemical Codeposition and Electrical Characterization of aCopper - Zinc Alloy M etallization ................................................................................... 212Ahila Krishnamoorthy, David J. Duquette, and Shyam P. Murarka

Electrodeposition of Cu, Co, and NI on (100) n - SI ....................................................... 221A.A. Pasa, M.L. Munford, M.A. Fiori, E.M. Boldo, F.C. Bizetto, R.G. Delatorre,0. Zanchi, L.F.O. Martins, M.L. Sartorelli, L.S. de Oliveira, L. Seligman,and W. Schwarzacher

X - Ray Photoelectron Spectroscopic Characterization of a Cu / p - GaAsInterface ............................................................................................................................ 231E.M.M. Suttter, J. Vigneron, and A. Etcheberry

Copper CMP Characterization by Atomic Force Profilometry ...................................... 238Larry M. Ge, Dean J. Dawson, and Tim Cunningham

Anodic Properties and Sulfidation of GaAs (100) and InP (100) Semiconductors ........ 242R.F. Elbahnasawy and J.G. Mclnerney

A Study on Electrochemical Metrologies for Evaluating the RemovalSelectivity of Al CM P ....................................................................................................... 256Shao-Yu Chiu, Jyh-Wei Hsu, I-Chung Tung, Han-C Shih, Ming-Shiann Feng,Ming-Shih Tsai, and Bau-Tong Dai

Nucleation and Growth of Epitaxial CdSe Electrodeposited on InP andGaAs Single Crystals ........................................................................................................ 263L. Beaunier, H. Cachet, M. Froment, and G. Maurin

Formation of I1-VI and III-V Compound Semiconductors byElectrochem ical ALE ........................................................................................................ 272Travis L. Wade, Billy H. Flowers, Jr., Uwe Happek, and John L. Stickney

Electrochemical Synthesis of Thermoelectric Materials by ElectrochemicalAtomic Layer Epitaxy: A Preliminary Investigation ...................................................... 282Curtis Shannon, Anthony Gichuhi, Peter A. Barnes, and Michael J. Bozack

CDs and ZnS Deposition on Ag(111) by Electrochemical Atomic Layer Epitaxy .......... 294M. Innocenti, G. Pezzatini, F. Forni, and M.L. Foresti

CuIn,.Ga.Se2 - Based Photovoltaic Cells from Electrodeposited and ElectrolessDeposited Precursors ........................................................................................................ 309R.N. Bhattacharya, W. Batchelor, J. Keane, J. Alleman, A. Mason, and R.N. Noufi

vii

Page 9: _sWni7aGN

Electrochemical Deposition of Gold on N-Type Silicon .................................................. 318Gerko Oskam and Peter C. Searson

Co-Deposition of Au-Sn Eutectic Solder Using Pulsed Current Electroplating ............. 329J. Doesburg and D.G. Ivey

Zincation Treatments for Electroless Nickel Under-Bump Metallurgy inFlip-Chip Packaging ......................................................................................................... 340Tze-Man Ko, Wei-Chin Ng, and William T. Chen

Microfabrication of Microdevices by Electroless Deposition ........................................... 352T.N. Khoperia

Notch- and Foot-Free Dual Polysilicon Gate Etch ........................................................... 361Seung-joon Kim, Hong-seub Kim, Kwan-ju Koh, Kae-hoon Lee, and Jung-wook Shin

Interracial Structure of Si/SiO 2 Studied by Anodic Currents in HF Solution ................ 366Naomi Mizuta, Hirokazu Fukidome, and Michio Matsumura

Effect of Dissolved Oxygen on Surface Morphology of Si(111) Immersed inNH 4F and NH 4OH Solutions ............................................................................................ 373Hirokazu Fukidome and Michio Matsumura

Porosity and Surface Enrichment by Tellurium of Anodized p-Cdo.sZno.osTe ............... 379B.H. Erni, J. Vigneron, C. Mathieu, C. Debiemme-Chouvy, and A. Etcheberry

Passivation Process of Hgo.79Cdo.2,Te by Oxidation in Basic Media ................................. 385Frank Lefivre, Dominique Lorans, C. Debiemme-Chouvy, A. Etcheberry,Dominique Ballutaud, and Robert Triboulet

viii

Page 10: _sWni7aGN

FACTS ABOUT THE ELECTROCHEMICAL SOCIETY, INC.

The Electrochemical Society, Inc., is an international, nonprofit, scientific, educationalorganization founded for the advancement of the theory and practice of electrochemistry,electrothermics, electronics, and allied subjects. The Society was founded in Philadelphia in1902 and incorporated in 1930. There are currently over 7,000 scientists and engineers frommore than 70 countries who hold individual membership; the Society is also supported bymore than 100 corporations through Contributing Memberships.

The Technical activities of the Society are carried on by Divisions and Groups. LocalSections of the Society have been organized in a number of cities and regions. Majorinternational meetings of the Society are held in the Spring and Fall of each year. At thesemeetings, the Divisions and Groups hold general sessions and sponsor symposia onspecialized subjects.

The Society has an active publications program which includes the following:

Journal of The Electrochemical Society - The Journal is a monthly publication containingtechnical papers covering basic research and technology of interest in the areas of concernto the Society. Papers submitted for publication are subjected to careful evaluation andreview by authorities in the field before acceptance, and high standards are maintained forthe technical content of the Journal.

Electrochemical and Solid-State Letters - Letters is the Society's rapid-publication,electronic journal. Papers are published as available at http://www3.electrochem.org/letters.html.This peer-reviewed journal covers the leading edge in research and development in all fieldsof interest to ECS. It is a joint publication of the ECS and the IEEE Electron Devices Society.

Interface - Interface is a quarterly publication containing news, reviews, advertisements, andarticles on technical matters of interest to Society Members in a lively, casual format. Alsofeatured in each issue are special pages dedicated to serving the interests of the Society andallowing better communication among Divisions, Groups, and Local Sections.

Meeting Abstracts (formerly Extended Abstracts) - Meeting Abstracts of the technical paperspresented at the Spring and Fall Meetings of the Society are published in serializedsoftbound volumes.

Proceedings Series - Papers presented in symposia at Society and Topical Meetings arepublished as serialized Proceedings Volumes. These provide up-to-date views of specializedtopics and frequently offer comprehensive treatment of rapidly developing areas.

Monograph Volumes - The Society sponsors the publication of hardbound MonographVolumes, which provide authoritative accounts of specific topics in electrochemistry,solid-state science, and related disciplines.

For more information on these and other Society activities, visit the ECS Web site:

http://www.electrochem.org

ix

Page 11: _sWni7aGN

Copper Interconnect Technology in SemiconductorManufacturing

Daniel C. Edelstein', P.C. AndricacosIBM T. J. Watson Research Center, Yorktown Heights, New York, USA

B. Agarwala, C. Carnell, D. Chung, E. Cooney ILL, W.Cote, P. Locke, S. Luce, C.Megivern, R. Wachnik, and E. Walton

IBM Microelectronics, Hopewell Junction, New York and Essex Junction, Vermont, USA

ABSTRACT

CMOS integrated circuit technology with Cu interconnections first reached the point of"qualified for manufacturing" at the end of 2Q98, and subsequently "qualified for shipping" (froma high-volume line) several months later. By the date of this conference, hundreds of thousands of6-level "copper-chip" microprocessor modules were shipped, and a new generation high-end Serverwas announced with Cu-interconnected microprocessors' (up to 14 in parallel) and support chips.This technology has remained on track for a full range of logic chips, from PC2 to high-end serverCPUsi, from ASICs to Foundry offerings, and the next generation CMOS parts includingembedded DRAM3, and those on SO1 substrates4,. To manufacture chips with Cu interconnects,we are enabled by bringing in several electrochemical and chemical processes, including Cuelectrodeposition and chemical-mechanical polishing, coupled with the dual-Damascene patterningscheme. At the same time, it is notable that only one new type of tool, an automated wafer Cuelectroplater, was required to make the transition from Al- to Cu-based interconnectmanufacturing. Cu interconnect demonstrations have been shown in the literature for years, butbehind the scenes, significant process development has been required to successfully bring such arevolutionary technology to product yield levels, and at the same time maintain performance,reliability, and quality standards. Here we show data that illustrate the successful implementationof this new technology in manufacturing.

INTRODUCTION

In August 1997, IBM announced6 its schedule for what would be the first implementationof Cu interconnect technology on IC chips, in this case for logic products in its 0.22 gimCMOS generation. Early demonstration hardware began shipping by the end of 1997, andthe manufacturing qualification checkpoint was successfully reached on schedule at end of2Q98, in the Advanced Semiconductor Technology Center in New York. By this time, thetechnology had been transferred to the IBM Microelectronics manufacturing line in Vermont,which achieved Its ship qualification as scheduled, at the end of 3Q98. Since then, a numberof parts have been ramped up in volume, qualified, and shipped to external and internalcustomers. At every level of this development and qualification, significant defect learningand process enhancement has occurred, as part of the requisite course for an altogether newtechnology at the state of the art groundrules. Some of this learning is germane to the newCu processes, but a significant part is related instead to the lithography, patterning, and theircontrol at the aggressive dimensions for this CMOS generation. These problems are workedout specifically for dual-Damascene pattern formation. Throughout, the robust nature of theelectrochemical processes employed has aided in this success.

tFurther author information -E-mail: [email protected]; Phone: (914) 945-3051; Fax: (914) 945-4015

Electrochemical Society Proceedings Volume 99-9

Page 12: _sWni7aGN

THE TRANSITION TO COPPER

The transition in manufacturing from AI-RIE/W-Damascene to Cu dual-Damascene BEOLcan be considered evolutionary in tooling, and revolutionary in processes. Only one new typeof tool, an automated wafer Cu electroplating system, was required to meet manufacturingneeds for Cu interconnects. Other tooling changes could instead be described as: no changeor upgrades (e.g. metal and ILD deposition, RIE, and lithography platforms); obsolescence(e.g. reducing or eliminating capacity for metal-RIE, CVD-W, and dep-etch SiO2); or shift incapacity (e.g. redeploying oxide- and W-CMP tools for Cu-CMP, etc.). On the other hand,nearly all process recipes had to be redeveloped to yield Cu-Damascene interconnects in SiO 2dielectric with Si3N4 caps. Some recipes were the same or simply changed, such as certainlithography and RIE levels, and the transition from gapfill to planar interlevel dielectricdeposition. Others were evolutionary, but required significant optimization, such as PVDliner and seed deposition, and dual-Damascene patterning. Still other recipes were new andunique to Cu, such as electroplating, certain cleaning processes, and the migration from W-and Si0 2-CMP to Cu-CMP. These evolutionary and new processes required significant yieldlearning, understanding of new types of defects and failure modes (while eliminating oldones), and their impacts on reliability. In some cases, the impacts of potential defects werecoupled to subsequent or even preceding integration steps, and so fully functional chips andstresses were important in solving the problems that arose. Moreover, the detailedunderstanding and optimization of the reliability of these chips often relied on the knowledgeof fundamental materials, electrochemical, and physics issues; this knowledge had beenaccumulated over many years, and continues growing to this day in the Research andMicroelectronics Divisions. Finally, the appropriate protocols had to be developed andimplemented to insure that Cu contamination cocerns were alleviated, Details of this havenot been discussed, but it can be stated that both Cu and prior-generation Al-basedtechnologies are simultaneously manufactured in the same production lines.

The reliance on years of investment, experience, and innovation in Cu at IBM, the multiplecycles through the full integration, testing, and qualification under stress, and the adjunctcontributions and support of Cu-related research, have all been crucial in leading to theas-scheduled qualification and shipping of the first Cu chips. The potential yield andreliability of Cu-Damascene interconnects has often been assumed and espoused, butreaching this potential is not trivial; it cannot come without significant online experience andintegration cycles. It is the case, though, that this potential can be realized, as wedemonstrate in the following sections. The growing contributions and alignment of theindustry, including cooperation on integration work at Sematech7, various suppliers8, anddirected university research 9, are expected to help speed the progress of the semiconductorindustry at large towards Cu manufacturing.

ELECTROPLATED COPPER

The most prominent new process introduced for Cu interconnects is Cu electroplating inhigh aspect ratio submicron Damascene features, and uniformly on 200 mmn wafers. Copperelectroplating for Damascene on-chip interconnects was already in use by IBM since beforethe first publication of multilevel Cu/polyimide interconnects'0 , though this fill method wasnot divulged until later, as part of a Sematech contract". This work made it clear thatelectroplating offered significant reliability improvements and cost of ownership reductionsrelative to some of the main contenders such as CVD and PVD, which had been investigatedfor fill and abandoned earlier at IBM, along with several other techniques includingelectroless, ECR, dep-etch, and reflow. In addition, significant industry activity was spurredfor developing plating processes and tools, following this work. The first publicacknowledgment of IBM's use of electroplating was much later'2, when the full CMOStechnology was announced. By that time, joint work with a supplier was already underway

2 Electrochemical Society Proceedings Volume 99-9

Page 13: _sWni7aGN

to develop a new wafer electroplating tool3, andtool offerings already existed or were underdevelopment at other companies. At present,there are several commercially availableelectroplating tools, all of which are capable offilling deep-submicron interconnects on 200 mmwafers, and to various degrees, achievinguniform deposits and controlling the respective 10G 20 " 26 "obaths used. Earlier, there had been generalskepticism that such a process could be made to Fig. 1. Holefill evolution for electroplated Cuwork reliably and at high volumes and with superfilling additive bath13.acceptably low defect levels for semiconductormanufacturing, but our experience wasotherwise; a suitably optimized electroplatingprocess was seen to have a very wide window,high repeatability, low cost, tool simplicity, andlow maintenance. As time has progressed, Cu iplating has exhibited its robustness over years t , ,.of development and now manufacturing. Most turn T IM/

telling was that as yield or reliability problems \ _arose and were solved, none were found to berooted in our Cu electroplating process.

A good Cu electroplating process alsocontributes to improved yield and reliability of w,,-* d.Wtf,,

the resulting Damascene Cu interconnects. Twosuch contributions are mentioned here. The firstcomes from the striking "superfilling" behavior Fig. 2. Holefill evolution vs. degree ofof a plating bath with inhibitor additives (fig. conformality in deposition process"4 .l"). Superfilling leads to void-free, seam-freeDamascene deposits (assuming a continuousseedlayer exists), thereby eliminating certainfast diffusion paths for Cu electromigration,which would otherwise be present forsub-conformal or conformal deposition (fig. 214).As outlined in ref. 14, this behavior results fromthe diffusion-limited supply of plating inhibitorsto the hole bottoms and bottom sidewalls relativeto the top surfaces, leaving the holes open forfilling. This holefill evolution has been modeledsuccessfully for a variety of hole shapes andplating conditions (fig. 3, ref's. 14, 15). The

superfilling phenomenon increases for increasingaspect ratios and decreasing dimensions, adding Fig. 3. Correlation of holefill profile toto the extendibility of this fill process. A simulations using superfilling model1 4.notable example is the successful filling (andelectrical confirmation) of 0.10 gim 4.5:1 Cu Damascene interconnects'".

A second phenomenon which contributes to good Cu reliability is the low-temperatureself-annealing behavior of additive-based electroplated Cu'7 •'6 . This behavior was known inthe past to the electroplating industry, but has only recently been studied extensively by thesemiconductor community9 20. As studied in ref. 21, a uniformly large grain size distributionmaximizes the proportion of "bamboo-like" interconnects out to larger linewidths, therebyeliminating grain boundaries as fast diffusion paths for electromigration in theseinterconnects. As the bulk Cu self-diffusivity is so low, Cu electromigration is then relegatedto surfaces and interfaces2". Solving these then becomes critical for overall reliabilityperformance. It remains fascinating that Cu electroplating, a room-temperature process with

Electrochemical Society Proceedings Volume 99-9 3

Page 14: _sWni7aGN

a deposition rate of fractions to 1 AIm per minute, can lead to essentially single-grain (highlytwinned) deposits over large areas, with grain sizes that can substantially exceed the filmthicknesses. Recently, a model has been presented22 which addresses, the room-temperatureresistivity and stress relaxations, and abnormal grain growth of the plated Cu. Expressionsfor Zener pinning, Ostwald ripening, Mayadas-Schatzkes grain boundary scattering, andChaudhari grain boundary volume are invoked, and predict the range of measured results.

The electroplated Cu fill is thus seen to perform well in features; but to bemanufacturable, the full-wafer process itself must also have very good performance. Figures4 - 8 show Cu plating data from wafer marathons and CMOS production, using ourdeveloped tool and plating process. Figure 413 shows a resistivity map of a 2 jim deposit ona thin seedlayer, showing 1.0% (Ia) uniformity. Thinner films tend to be less uniform, butstill well within acceptable limits. Figure 513 shows 1.72% average nonuniformity over a5,000 wafer test for 1.3 jim plating thickness. The process is quite repeatable from wafer towafer, as indicated by the data in fig. 613, which shows a 0.65% (la) repeatability in meanplated Cu thickness for a 17,000 wafer marathon. From the mean sheet resistance and thepost-measured thickness, a post-anneal Cu resistivity of 1.79 gi(-cm is confirmed. Thisvalue is the same as is derived from our integrated Cu interconnect resistances"2 , and doesnot rise with subsequent thermal cycles. Thus the principal advantage of Cu, its lowresistivity, is preserved by the wafer electroplating process.

The previous thickness data was obtained from blanket-film depositions, but a highrepeatability in actual microprocessor production is also seen, as in fig. 7. Here the lot-lotreproducibility of Cu mean thickness over months of production is shown to be well withinthe process specification limits. As Damascene patterns can influence the thicknessmeasurements (which are based on sheet resistance), these data imply a very repeatableprocess. It is also important to maintain the bath chemistry in a production environment.

1.00% (10) 6

Fg 4o Wtpoo0 .-.-. mi 1.3 p m deosthn301fIor map 4 188- m 1000oot wedrnte.,

7 We-to-Wf Rpt average nbliuy fo(ty% 1.72%t ())

0 1000 2000 200p 4000 0000

Wafer Count

Fig. 4. Wafer-scale 2 m thickness uniformity Fig. 5. Wafer-scale 1.3 i.. n thickness uniformityfor manufacturing Cu electroplater'3. for 5,000 wafer run'13.

0.016 1.4F Wafer W-wafer Re epeatability 0.65% tIc).2h

Cust Cr . 1.0 --- ----cm-j 0.014 dEr-1 -l-

- - -

0.013 -oe -pe -, --% - 04

1 0- - - Lot If

Fig. 6. Wafer - wafer mean thickness repeatability Fig. 7. Wafer - wafer mean thickness repeatabilityfor 17,000 wafer run'3. The post-annealed for production microprocessor lots.Cu resistivity is 1.79 ýdQ-cmn.

4 Electrochemical Society Proceedings VoIlume 99-9

Page 15: _sWni7aGN

Figure 8 shows statistical process control(SPC) data from months of production,showing a bath component concentration to bewithin the process limits. Other bathparameters are also successfully monitoredand controlled, with similar results in themanufacturing data. .........................

S. . . . . . . . . . . . . . . . . . . . . . .

YIELDS AND DEFECTS ......................

TimeThe plating process has thus been shown in

some detail to exhibit good qualities of a Fig. 8. SPC data for bath component concentrationmanufacturable process, but full integration collected during 5+ months of production.data is required to confirm this. Herequalification data is shown that parallelsearlier published data"2 but now at full manu- * r............facturing levels. The data show excellent ........................results for multilevel Cu interconnects; this j ". . .. ..relies not only on a robust plating process, buton all the integration elements connecting ,, a ,a M , a .together successfully to yield chips. _,,o

Figure 9 shows single-via and via-chain (2unlanded vias + line segment per link) resis-tance data, taken over several months of .production. The significant advantage of Cu 02, ---------- - -

for low via contact resistance and tight distri- ° TM Sa 4 a a#.,, " a l°* "* ,,obuttons is indicated, with <0.3 (0/via, and <0.6 Fig. 9. Production via resistance data for singleMlink indicated over many lots. As shown

earlier"2 , Cu vias have < l/2X resistance vias (upper graph) and dual-via links.

relative to the best AI(Cu)/W data at similardimensions; good via resistances contribute sensitivity

significantly to the yield and performance of 6 M - ..Cu multilevel interconnects. This success p 6

depends not only on a defect-free plating improvementprocess, but also on robust patterning, liners, 4 - - --

seedlayers, and cleaning processes. With allthese elements established, the very low Cucontact resistance Is realized routinely. a 2

Overall interconnect yields (e.g. opens andshorts) depend not only on good vias, but are T - Monthly

also mediated by defects in the patterning andDamascene processes; these processes must all Fig. 10. Defect levels after MI and M3 CMP +be optimized for multilevel interconnects with test, on production microprocessor lots.a wide variety of patterns, densities, andvertical overlays. The switch to an entire Damascene-based interconnect technology, withelimination of the (extra) ILD planarization step between levels for AI(Cu)/W, can changethe relative importance of different defect contributors, but ultimately can lead to superiorresults at the ever-decreasing dimensions. As in fig. 10, post-inline test (after CMP) defectdensities at MI and M3, are brought down to typical levels for random FM-related defects,as in the more mature technologies. This defect reduction continues to improve, beyond thelevels associated with previous technologies, as is necessary to yield chips with increasingcircuit density and decreasing critical dimensions. (As is typical for Damascene, thesedefects do not necessarily lead to yield loss). These levels are obtained after significant

Electrochemical Society Proceedings Volume 99-9 5

Page 16: _sWni7aGN

development and improvement of the patterning, - design rev.CMP, and cleaning processes as the technology is Ipushed up the product yield curves. E c ai

The deciding measure of process yield is the

chip yield at wafer final test. Figure II shows the dproduction yield ramp of a large, high- I A

performance 6-level microprocessor in a new 1_ _VVdesign. The typical ups and downs associated c ,with new problems (and their remedies) are

indicated, as well as successful ramping to well Lot #beyond the target level for this stage of produc-tion. This represents one of several very complex Fig. 11. Yield ramp for a large, high perfor-and high-performance CMOS logic chips with Cu mance, 6-level microprocessor.interconnects that have successfully yielded in aproduction environment. Current emphasis is in the planned continuation to increase yields,broaden the number of products, and increase volume in new markets such as ASICs andFoundry offerings, as well as IBM's internal Logic needs for its range of systems. At thesame time, subsequent CMOS Logic generations are proceeding through their qualificationprocesses on schedule, all with Cu interconnects.

RELIABILITY

Throughout the migration from development - . ... ... . . . ý 0.to manufacturing and shipping chips, it is F VT - N

essential to monitor and confirm that product LA90•.reliability is maintained at or above the specified o . :5"

levels. These levels are usually determined by 4 ' O0

the most stringent requirements of the 0 :.u"mission-critical" high-end server (Enterprise) .u -2

systems, although certain factors may bereevaluated for differing product requirementsassociated with portable and desktop systems. -4 .,

to~ *,o ~ togWith the advent of Cu in the manufacturing Time to Failure (s)lines, it is also important to confirm no Cucontamination of devices is taking place.

Gate oxide integrity is shown in fig. 12 for lots Fig. 12. Gate oxide integrity for ship

from a line qualification2 3 , with hot-carrier qualification hardware.

lifetimes that meet or exceed the technologyspecifications, and indicate no Cu contamination. .. ' I .These data mirror the positive results obtained at I . I

the development/early manufacturing line. . I iElectromigration data from this qualification is .shown in fig. 13 for upper-level dual-Damascene i f If ilines and vias; data is shown for two processalternatives, both of which were found to exceed I T

specifications. The stress was 295"C and 2.5 iMA/cm2, with a 20% resistance rise fail criterion. Tl*t*peltr(hr,.)The stress was terminated at -1000 hrs. (beforesufficient failures had occurred), with projectedtso times of -300 and -1000 hrs., respectively forthe two processes. Such data indicate robustness Fig. 13. Electromigration stress (terminated atnot only of the manufacturing Cu plating process, 1000 hrs.) for production hardware.but of the entire integration process as well.

6 Electrochemical Society Proceedings Volume 99-9

Page 17: _sWni7aGN

Fully-assembled chip modules were evaluated during and after full functional stress andburn-in, as a necessary part of the product qualification23 . Data were acquired forearly-production 6-level Cu microprocessors carried through high-voltage, high-temperaturefunctional stresses, and multiple blocks of thermal cycles, analogous to the SRAM modulestress data reported earlier"2 . Two large populations of modules showed comparable data forthe two manufacturing lines producing Cu chips. The failure rates in both cases were withinthe specification limits, and no problems endemic to Cu were found.

CONCLUSION

It is shown that a CMOS integrated circuit technology with full Cu interconnects can bebrought into a manufacturing environment, to yield complex multilevel logic chips. The onecompletely new process, wafer Cu electroplating, is robust and well-controlled at highvolume production. The remaining processes and tools are either the same or evolutionary,though entire re-optimization is required for multilevel Cu dual-Damascene fabrication.With this optimization, proper yields at manufacturing volumes are obtained, with nocompromise in reliability, quality, or performance. Yield, though difficult initially (as forany such revolutionary change), is brought to manufacturing levels with random defectdensities typical of a mature technology at these critical dimensions. A significant number oflearning cycles were required to reach this point, especially for the first time. This learninginvestment may be reduced for subsequent entries into Cu technology, give the focus,involvement, and rapid progress of the rest of the industry, including direct Cu integrationwork by the tooling suppliers and Sematech. At IBM, Cu interconnect technology remainson schedule for expansion of the range of chip products, and qualification of subsequentCMOS generations. Copper interconnect technology is an exciting area for theelectrochemical community in particular, as it invites the pursuit of new applications forelectrochemical processes and related understanding, in the fabrication of advanced IC chips.

ACKNOWLEDGMENTS

The authors gratefully acknowledge the essential contributions from a great number of ourcolleagues, too numerous to mention, in the Research and Microelectronics Divisions, whoshare credit for the successful innovation and implementation of Cu interconnect technology.

REFERENCES

1) T. McPherson, et al., Proc. IEEE Int. Sol.-State Circuits Conf. (to be published, 2000).

2) N. Rohrer et al., Proc. IEEE Int. Sol.-State Circuits Conf., 240 (1998).

3) S. Crowder, et al., Tech. Dig. IEEE Int. Electron Dev. Mtg., 1017 (1998).

4) A. Ajmera, et al., Tech. Dig. IEEE Int. Electron Dev. Mtg., (1998).

5) E. Leobandung, et al., Tech. Dig. IEEE Int. Electron Dev. Mtg. (to be published, 1999).

6) L. Gwennap, Microprocessor Report, 11, 14 (1997).

7) J. Dahm and K. Monnig, Proc. Advanced Metallization Conf., 3 (1998).

8) Alain S. Harrus, John Kelly, and Ronald A. Powell, Proc. SPIE Conf. MultilevelInterconn. Tech. II, 3508, 25 (1998).

Electrochemical Society Proceedings Volume 99-9 7

Page 18: _sWni7aGN

9) for example, "Advanced Interconnects and Contacts", D.C. Edelstein, T. Kikkawa, M.C.

Ozturk, K.-N. Tu, and E.J.Weitzman, ed's., Mat. Res. Soc. 564, (1999).

10) B. Luther et al., Proc. VLSI Multilevel Intercon. Conf., 15 (1993).

11) J. Hummel, Sematech contract report (1996).

12) D. Edelstein et al., Tech. Digest IEEE Intern. Electron Devices Mtg., 773 (1997).

13) Novellus Systems, Inc., Sabre Electroplating System, presented at IEEE Int. Int.Intercon. Tech. Conf., Burlingame, CA (1998); P. Locke, et al., Semicon West, (1998);and C. Matsumoto and M. Alleyne, EE Times, July 13 issue, p. 6 (1998).

14) P. Andricacos, C. Uzoh, J.O. Dukovic, J. Horkans, and H. Deligianni, IBM J. Res. Dev.42, 567 (1998); and Proc. Adv. Metalliz. Conf., 29 (1998).

15) H. Deligianni, J.O. Dukovic, P.C. Andricacos, and E.G. Walton. Abstr. 195th Mtg.Electrochem. Soc., 267 (1999).

16) C.-K. Hu, K.Y. Lee, C. Uzoh, K. Chan, S. Rossnagel, L. Gignac, P. Roper, and J.M.E.Harper, Mat. Res. Soc. 514, 287 (1998).

17) T. Ritzdorf, L. Graham, S. Jin, C. Mu, and D. Fraser, Proc. IEEE Int. Intercon. Tech.Conf., 166 (1998).

18) C. Cabral, Jr., et al., Proc. Adv. Metalliz. Conf., 81 (1998).

19) C. Lingk, et al., Proc. Adv. Metalliz. Conf. 89 (1998).

20) for example, "Advanced Metallization Conference in 1998", G.S. Sandhu, H. Koerner,M. Murakami, Y. Yasuda, N. Kobayashi, ed's., Mat. Res. Soc., Warrendale, (1998).

21) C.-K. Hu, R. Rosenberg, H.S. Rathore, D.B. Nguyen, and B. Agarwala, Proc. IEEE Int.Intercon. Tech. Conf., 267 (1999).

22) J.M.E. Harper, C. Cabral, Jr., P.C. Andricacos, L. Gignac, I.C. Noyan, K.P. Rodbell,and C.-K. Hu, J. Apple. Phys. 86, 2516 (1999).

23) IBM CMOS Quality Report (to be published).

8 Electrochemical Society Proceedings Volume 99-9

Page 19: _sWni7aGN

EXTENDABILITY OF ELECTROCHEMICAL DEPOSITION FOR HIGHASPECT RATIO COPPER INTERCONNECTS

Sergey LopatinAMD, Sunnyvale, CA 94008-3453

Abstract-Copper electroplating processes with pulse reverse (PR) conditions wereemployed for filling high aspect ratio, slightly tapered vias of different nominal diameters(0.2-1 gtm) in a constant dielectric thickness of 2.5 pim. These experiments have verifiedpredictions of a nonuniform time-averaged current distribution in high aspect ratio vias. Anenhanced deposition at the lower sidewalls and at the bottom of the high aspect ratio vias wasfound to fill vias of (4.5-10):1 aspect ratios. Copper electroplating (EP) with modified pulsesalso was effective for filling 0.13 gm wide high aspect ratio (8:1) trenches.

INTRODUCTION

Copper has been identified as an interconnect material for high performance microprocessorstructures because of its low electrical resistivity (1.67 gOhm.cm) and high activation energies forlattice electromigration (2.3 eV) and grain-boundary self-diffusion (1.1 eV). Due to the difficulty ofetching Cu for sub-0.18 pm lines formation, a dual damascene approach was adapted for the Cuinterconnect fabrication in dielectric layers. It included Cu electrolytic plating on a thin seed layerto fill trenches-vias with <111> texture film and chemical-mechanical polishing (CMP) to removeCu from the dielectric surface, resulting in a fully planarized Cu/dielectric structure.

Electroplating is a preferred technique for copper interconnect formation in integrated circuitsdue to its high trench filling capability and relatively low cost. Electromigration failures in Cuinterconnect are dependent on surface conditions because (unlike Al alloy) the surface andinterfacial diffusion of Cu has a lower activation energy than grain boundary diffusion. For adamascene process with full Cu encapsulation by barrier materials, electromigration can be reducedby restriction of diffusion pathways along the surface. In order to achieve such reduction, the copperelectroplating process must provide a completely filled structure in which voids and entrapments ofelectrolyte are absent. Voids and surface seams in damascene Cu EP lines-plugs should be alsoeliminated to maximize electrical conductivity of the lines. This can be achieved if the depositionrate along via and trench sidewalls is greater at the bottom and lower sidewalls while the trench-viatop opening remains open. The use of a leveling agent and pulsed deposition appears to be ideal forthe production of void-free Cu deposits [11 because the off-time and reverse current significantlyimprove the deposition rate distribution along the sidewalls [2]. The distribution of reaction rates onthe trench-via sidewalls can be predicted from variations in the concentration of copper ions [2] andthe action of the leveling agent [3] at the trench-via corners. For the same depth with high aspectratios, the difficulty of filling worsens from a simple trench to a dual damascene trench-via to asingle via:

filling difficulty

single trench dual damascene trench-via single via

Electrochemical Society Proceedings Volume 99-9 9

Page 20: _sWni7aGN

Since high aspect ratio sub-0.25 gtm via has an electronically conducting seed solid phase andCu growth on via sidewalls at later times in the electroplating process, the passage of the current atthe PR plating conditions through the vias' volume will be affected by way of double-layer chargingas described in porous electrode theory [2, 4].

It is observed that variations of seed layer thickness on the via sidewall and via top opening aswell as current density conditions of EP processing have large effects on the filling profile in singlevias. This paper focuses on an experimental study of filling profiles in single, high aspect ratio viasusing Cu EP process with PR conditions. Since the step coverage of the seed/barrier layer and CuEP film for dual damascene structures should be less difficult than for single vias of the same aspectratio, these step coverage results should be useful for dual damascene interconnect schemes. Highaspect ratio plugs formed for single via filling are also beneficial in order to meet dual damascenestacked vias (trench size = via size) demands for sub-0.13 gtm ULSI technology.

PULSE REVERSE ELECTROPLATING. DOUBLE-LAYER EFFECTS ON ELECTRODEREACTION RATE

In pulse reverse electroplating, the Cu film deposition process involves the reduction reactionoccurring at the electrode surface. This reduction reaction can be described as following:

i,Cu "÷ +ne - Cu (1)

id

where Cun÷ is the copper ions being reduced, Cu° is the copper atoms being deposited, n is the ionvalency (n=l, 2), i, and id are the rates of reduction and dissolution processes respectively. The twoopposite processes, reduction and dissolution, occur periodically. At these conditions the averagedreaction rate

i=ir - id (2)

The structure of the double layer and the specific surface adsorption can affect the reactionkinetics. In the absence of specific adsorption, copper ions' position of the closest approach to theelectrode surface is the Outer Helmholtz Plane (OHP). The potential at the OHP, (p, is not equal tothe potential in solution, qi, because of the potential drop through the diffuse layer and possiblybecause some ions are specifically adsorbed. These potential differences in the double layer, asknown, can affect the electrode reaction kinetics [5].

When the metal electrode has a negative charge, qm < 0, 4p < 0, and cations will be attracted tothe electrode surface. When the electrode has a positive charge, the opposite effect will hold, q'" >0, (p > 0, and cations will be repelled. The potential difference driving the electrode reaction, theeffective electrode potential, E, is 0"n - (p- qV, where 0"f is metal potential. The overall effect ofdouble layer on kinetics is that the averaged reaction rate, i, is a function of potential, throughvariation of (p with E. It is a function of the electrolyte concentration since (p depends onconcentration.

When the metal electrode has a specific adsorption of different ions and organic molecules onthe surface, the value of (p is perturbed from just the diffuse double layer consideration; the location

10 Electrochemical Society Proceedings Volume 99-9

Page 21: _sWni7aGN

of the plane of closest approach for the copper ions and the potential at the pre-electrode statechange and diffuse layer increases. Specific surface adsorption of non-Cu ions and organic speciesmay also result in blocking of the electrode surface and decrease or increase the reaction rate,dependent on the q'.

In pulse reverse electroplating, deposition/dissolution kinetics include three states for copperions: copper ions are periodically attracted to the surface during Cu deposition time, repelled fromthe surface during dissolution time, and left in some unperturbed state during zero-current time.Deposition time, dissolution time and off time influence concentration field in the high aspect ratiotrenches. Simulations showed formation of a beneficial concentration field, with the cupric-ionconcentration highest at the trench bottom and, as a result, decreased void size at Cu electroplatingin high aspect ratio trenches [2].

EXPERIMENT

The test chip used has a periodic array of slightly tapered via openings containing 6 via patternsof different diameters in the range from 0.9 to 0.18 gim. The dielectric thickness was 2.5 gim. TaN-based barrier layer of 30 nm and Cu seed layers of 150 nm and 100 nim, measured on the field, weredeposited by ion metal plasma (IMP) technology. IMP seed layer deposition used 10-100 mT Arsputtering pressures to slow down the magnetron sputtered metal atoms, a coil for their ionization,and application of wafer bias to attract them vertically. IMP technology provided seed layer stepcoverage in high aspect ratio vias because of the directionality of incoming ions and utilization ofion bombardment to backsputter already deposited copper from the bottom of the via to thesidewalls. IMP Cu seed layer process may reach its step coverage limits for tapered vias withdiameters around (0.13-0.18) ltm or (0.2-0.25) gim wide fully vertically walled structures.Verification of the electroplating performance beyond 0.18 jim was conducted using a periodicarray of high aspect ratio vertical trenches of different widths in the range from 0.5 to 0.13 [tm. WNbarrier layer of 25 nm and Cu seed layer of 30 nm were deposited by chemical vapor deposition(CVD) for base layer. A high conductivity acid-copper sulfate electrolyte containing organicadditives was used for the electroplating experiments. At the conclusion of the Cu plating, thewafers were rinsed in de-ionized (DI) water and dried in a forced N2 flow.

RESULTS

There are two possibilities for achieving enhanced deposition in vias by electroplating. First isto reduce deposition rate at the wafer surface by using a relatively large amount of the leveling andinhibiting agents in electrolyte. This method, however, introduces impurities into the Cu lines and isinconsistent with the desire to reduce their resistance. Second, employed here, is to use the periodicforward and reverse currents to regulate deposition rate along via sidewalls with an appropriateamount of leveling at the via top. Figure 1 shows a focused ion beam (FIB) cross section of void-free Cu plugs obtained by this polar pulse reverse Cu EP.

Change in deposition rate along via sidewalls leads to decrease of cleft depth in the via tops andvoid-free filling of the vias. The dependencies of cleft depth on via aspect ratio, applied currentdensity, seed layer thickness and wafer center-edge nonuniformity were observed by FIB etching,scanning electron microscopy (SEM) and transmission electron microscopy (TEM).

Electrochemical Society Proceedings Volume 99-9 11

Page 22: _sWni7aGN

Effect of aspect ratio An enhanced deposition at the via bottom and lower sidewalls wasobserved when via diameter was decreasing from 0.9 pgm to 0. 18 gpm at constant dielectric thicknessof 2.5 pLm. Significant change of deposition rate along the via sidewall started at via diameters about(0.25-0.35) gin. The effective via diameters defined as the largest diameters at which enhancedfilling at the bottom is observed. The average cleft depth in the top of vias was decreasing withincreasing via aspect ratio from 3:1 to 12:1 and followed the 1/(J+exp(cA,)) function of the viaaspect ratio, where a is a dimensionless constant.

Effect of applied current density Cleft depth decreased when applied current density decreasedfrom 20 mA/cm to 10 A/cm2. The decrease of applied current density also shifted the effective viadiameter to larger dimensions, from approximately 0.25 pm to 0.45 ptm. The average cleft depthfollowed the 1/(1+exp (a'(A, - flJ))) function of the via aspect ratio and applied current density,where a' is a dimensionless constant, f is a constant with units of I/J, 1/mA/cm 2.

Effect of seed thickness Cleft depth was decreased when seed layer thickness was decreasedfrom 150 nm to 100 nm. This effect can be explained by faster closing of the via top during Cu EPon thick seed layer.

Effect of wafer center-edge Cleft depth decreased from wafer center to the edge. The effect isrelated to seed thickness decreasing by about 8% toward the wafer edge.

The PR conditions were also used for the purpose of decreasing or eliminating sidewall voids.High stresses in layers of the as-deposited Cu I thin seed / thin barrier sandwich structures can leadto void formations in EP Cu grain boundaries along the via sidewall when temperature inducedstress change and grain growth occur. The average tensile stress in 1.0 prm thick EP Cu blanketfilms was decreased from 24 MPa to 18 MPa when the process was changed from direct current(DC) to unipolar forward pulse (FP) and further to 14 MPa with polar PR conditions. With PR, thelimitation for filling high aspect ratio vias without voids was about (8-10):1 aspect ratio and relatedto sidewall voids usually due to asymmetric decrease of the seed layer thickness at the via sidewallsstarting at the wafer edge.

SIMULATION AND DISCUSSION

The success of via filling when using enhanced deposition at the via bottom and lowersidewalls, depends on the kinetics of decreasing cleft depth and inhibiting deposition at the via topopening. The cleft depth (C) was found dependent on a number of controlled parameters: seedthickness at top via comers, (a), via aspect ratio, (A,), applied cathodic current density, (J),electrolyte temperature, (7), and thickness of EP Cu, (b). Using the experimental results, therelationship between the average cleft depth and via aspect ratio with current density controlledreaction rate can be written in the following simplified model:

IC H ka k4 b (3)

k2(Aý- k 3 J)I+exp ( )

kT

12 Electrochemical Society Proceedings Volume 99-9

Page 23: _sWni7aGN

Where k is the Boltzmann coefficient; k, and k4 are dimensionless coefficients; k2 is a constant withunits of energy, eV; and k3 is a constant with units of I/J, 1/mA/cm 2. The fillable via aspect ratiohas a functional dependence on current density, J, and seed thickness at via top corners, a . Av =hid, where tapered via diameter d=(dvop+dby,,,o.)/2, dielectric thickness h = constant in our case andthe via diameter that can be filled is decreasing with decreasing J and a , d - J a. The lower thecurrent density and smaller the thickness of the seed layer at the top via comers, the smaller thediameter of the vias can be filled. An effective via diameter (d.) around (0.25-0.35) ptm exists,below which the effect of changing deposition rate along the via sidewall (or effect of enhanceddeposition at via bottom and lower sidewalls) just becomes significant. This is the experimentalverification that the effect of changing deposition rate along via sidewall is related to concentrationgradients and becomes diffusion enhanced. Actual threshold via diameter (dt) is smaller, andconcentration gradients become significant after the short time of deposition initiation andconformal deposition on via sidewall. Thus the effective diameter will be the sum of actualthreshold via diameter and thickness of conformal Cu deposition (Ab) on the sidewall:

d, = dt + 2Ab (4)

These experimental results promote the study of an interface of the electrode material with thesolution in narrow deep vias. Developments in the theory of flooded porous electrodes with regardto adsorption of ions and double-layer charging are primary in an understanding the pulsedelectrodeposition effects along high aspect ratio via sidewalls. A patterned wafer surface serves asthe flat surface electrode having a large number of pores (high aspect ratio vias) providing a specificadditional interfacial areas at the sidewalls. As well as flat electrode surface, these specificinterfacial areas are surfaces of double-layer adsorption for chloride ions, leveling organicmolecules, copper-organic complexes, copper-chloride complexes, sulfate complexes and copperions. All these reactants also are in the solution in close proximity to the surfaces along the porouselectrodes (i.e. via sidewalls). The experimental results show that the electrode processes occurnonuniformly through the depth of high aspect ratio via. This suggests separation of electrodeprocesses at the flat surface and in vias. In the case of pulse reverse electrodeposition, the averagedheterogeneous electrochemical reaction has an intrinsically slow rate at the wafer surface, but thecompactness of porous electrodes can provide potential, (A control for the desired process. Atcertain deposition conditions, when via diameter decreases and becomes close to the effectivediameter, there is a relatively large range of reaction rates along the via sidewall. Transient double-layer charging and adsorption are of interest in the determination of the reaction rates in the internalarea of vias as porous electrodes because diffusion parts (or diffuse layers) of the double electricallayer at via sidewalls become very close to each other with decreasing via diameter. These specific,via-geometry-related conditions lead to copper ion concentration and potential gradients (forexample, gradient of the zero-potential plane) along via sidewalls and as a result to a range ofaveraged reaction rates. It can be assumed that coefficient k2 (k2 - 0.0256 eV) correlates both to thecopper ion diffusion gradient and to the gradient of the zero-potential plane between top and bottomof the via:

AC = C top - C bottom (5)

,A(qO- = (PO top - (PO bottom (6)

Electrochemical Society Proceedings Volume 99-9 13

Page 24: _sWni7aGN

where AC is a total copper ion gradient between top C t, and bottom C bottom of the via; Acp0 is atotal zero-potential plane gradient on via sidewall between top POt,,op and bottom (POboto,, of the via.The decrease of cleft depth in the via top is also limited by Cu deposition on the top corners and aleveling agent is useful for suppressing the Cu deposition rate at via top comers. During pulsereverse Cu EP, in the presence of chloride ions in the electrolyte, the additives are adsorbed on thevia top comers, polarizing or de-polarizing the electrode surface and suppressing the averagedplating rate there. Because of the shorter distance for diffusion of additives to the via top comers,more additive is transported there suppressing the plating rate. Thinner Cu is deposited near the viaopening where additives mass transport rate is high. Focused beam formed SEM cross section onFigure 2 shows that the enhanced Cu deposition at the via bottom and lower sidewalls withsuppressed Cu deposition at the via top comers leads to void-free filling of the vertical trencheswith dimensions of 0. 13 p.m width and 8:1 aspect ratio.

CONCLUSIONS

In summary, an experimental verification that the changing deposition rate along sidewalls inhigh aspect ratio vias is related to copper ion concentration gradient and becomes diffusionenhanced was demonstrated for pulse reverse Cu EP.1). An effective diameter around 0.25 g.m exists, below which the effect of changing depositionrate along the via sidewall just becomes significant.2). The decrease of applied current density shifted the effective via diameter to more largedimensions, from approximately 0.25 pgm to 0.45 ptm.3). The average cleft depth followed the 1/(1+exp (a'(A. - /J)) function of the via aspect ratio andapplied current density.

The application of periodic polarity reversal in Cu EP, with adequately formulated and dosedsurface-active additives, allowed high via filling capability that was not limited by aspect ratio of12:1 for 0.2 p.m nominal via diameter. The relationships between filling profile and via aspect ratio,applied current density, seed layer thickness, wafer center-edge position and EP Cu thickness weredetermined, compared and expressed in mathematical form for via aspect ratios between 2.5:1 and12:1. The IMP seed layer deposition and pulse reverse Cu EP were effective in filling tapered viasof aspect ratio up to (8-10):l without sidewall voids. It is assumed that IMP Cu seed layer processwill reach its step coverage limits for tapered vias with diameters around (0.13-0.2) pgm. Using CVDseed layer extends the electroplating filling beyond 0.13 p.m wide structures with high aspect ratiosand vertical sidewalls.

REFERENCES

1. V.M. Dubin, C.H. Ting, R. Cheung, R. Lee, and S. Chen in Conference Proceedings ULSIXIII, edited by R. Cheung, J. Klein, K. Tsubouchi, M. Murakami, and N. Kobayashi (MRSProc., 1997), p. 405.

2. A.C. West, C.C. Cheng, and B.C. Baker, J. Electrochem. Soc., 145, 9, p. 3070 (1998).3. E.K. Yung, L.T. Romankiw, R.C. Alkire, J. Electrochem. Soc., 136, 1, p. 206 (1989).4. J. Newman and W. Tiedemann, AIChE J., 21, 1, p. 25 (1975).5. A.J. Bard, L.R. Faulkner, Electrochemical Methods, 1980.

14 Electrochemical Society Proceedings Volume 99-9

Page 25: _sWni7aGN

Figure 1. Cross sectional view of Cu filled vias (diameter -0.25 Rtm, aspect ratio -(8-10): 1).

Figure 2. Cross sectional view of void-free Cu filling of the vertical trenches with dimensions of

0.13 gm width and 8:1 aspect ratio.

Electrochemical Society Proceedings Volume 99-9 15

Page 26: _sWni7aGN

EXPERIMENTAL AND NUMERICAL STUDY OF LEVELING OF SUBMICRON

FEATURES BY ORGANIC ADDITIVES

James J. Kelly and Alan C. West

Dept. of Chemical Engineering, Columbia UniversityNew York, NY 10027

A leveling study on 200-nm features of a model plating-bathadditive package is presented. The complex interactions amongthe additives are highlighted. Also discussed are simulationresults of a theoretical model of leveling agents. The difficulty indeveloping a first-principles simulation tool that describes theaction of leveling agents is emphasized.

INTRODUCTION

Leveling is important in achieving void-free deposits during copper metallizationprocesses (1,2). Additive mixtures that level have been used successfully on larger scalesfor packaging applications (3). A mixed-additive system, consisting of chloride ions,polyethylene glycol (PEG), bis-(3-sulfopropyl)-disulfide (SPS), and Janus Green B(JGB), is in many ways representative of commercial systems that have been successfullyemployed. We discuss the effectiveness of this system, as well as subsets of the system,on a submicron scale.

We also outline a theory that has been recently used to simulate the impact ofleveling agents on shape change. The theory uses a single-component description, whichis in stark contrast to practice. While the theory appears simplistic, the approachapparently captures some observations from experimental shape-change studies (1). Inthe present paper, no attempt to establish a connection between theory and experiment ismade. To date, a protocol that uses fundamental experimental measurements for relatingtheory to multi-component additive packages (other than curve fits of theory to shape-change experiments) has yet to be described.

EXPERIMENTAL

To study the leveling of different systems, approximately 1 cm2 of patternedsilicon having a copper seed layer served as a substrate for electrodeposition. The featuresize investigated was approximately 0.20 ptm wide with an aspect ratio of 3. Copper was2deposited at 10 to 20 mA/cm and room temperature from a 0.24 M CuSO4 and 1.8 MH 2SO 4 quiescent electrolyte. The composition of the standard electrolyte was always0.24 M CuSO4.5H 20, 1.8 M H 2SO4 , 300 mg/L 3350 molecular weight PEG, and 50 mg/Lchloride ions (Fisher, Certified ACS). Two additives, bis-(3-sulfopropyl)-disulfide,referred to as SPS (Raschig GmbH, Germany), and Janus Green B, referred to as JGB(Aldrich), were added to this standard electrolyte. Unless otherwise noted, conditions fordeposition were 10 mA/cm2 with I mg/L of SPS and JGB.

16 Electrochemical Society Proceedings Volume 99-9

Page 27: _sWni7aGN

After metal deposition, the fragment was cleaved for SEM observation. At least100 trenches were investigated to ascertain the effectiveness of each additive system.Areas that were damaged during the cleaving process were avoided, and the 100 trenchesexamined were taken from multiple samples. Profilometer measurements on filmsproduced with different additive mixtures were taken with a Tencor Alpha-step 200immediately after they were produced to measure the average surface roughness. Foreach case, the results reported are the average of at least 4 profile scans from twodifferent films prepared under the same conditions. The instrument scanned a horizontal

distance of 2.0 mm, sampling every 5 ptm with a height resolution of I nm. Films forprofilometry were grown to a nominal thickness of 10 pm at a current density of 10mA/cm 2. Further details can be found in reference 4.

RESULTS AND DISCUSSION

Results of the SEM analysis are summarized in figure 1. The percentage of filledtrenches varied from approximately 10 to 90, depending on the electrolyte composition.The improved results (relative to PEG and Cl alone) for the electrolyte with PEG, Cl-,and SPS were unexpected since this system performed more poorly on a 100-pim scale(5). Possibly, the average surface roughness of the deposited copper film is moreimportant as the feature size decreases. The average surface roughness, as determined byprofilometry, of a 10-micron-thick film deposited from an electrolyte with PEG and Cl-only is about 500 inm, while for an electrolyte with PEG, Cl-, and SPS the averageroughness is about 240 nm. On a 100-pim scale, this difference may be unimportant. Theaverage surface roughness of a film produced from a bath with all four additives is 80nm, perhaps contributing to this electrolyte's good leveling effectiveness.

These data are consistent with previous leveling experiments on a 100-pm scalein that all four additives appear to provide the best leveling (5). The low percentage offilled features obtained with PEG, Cl-, and JGB (nominally the "leveling agent") ispractically significant because it may imply that brighteners (e.g., SPS) are essential eventhough cosmetic appearance may be unimportant for ULSI copper interconnects.

In addition to designing a proper combination of additives, conditions such asadditive concentration and applied current density must be optimized to achieve featurefilling. The impact of the operating conditions is shown in figure 1 for an electrolytecontaining all four additives. For example, at a deposition rate of 15 mA cm , mostfeatures are filled; however, at 20 mA cm- , most features displayed voids. The improvedfilling performance of the electrolyte with 2 mg/L JGB could be explained by theincreased inhibition of metal deposition near the trench openings expected with a higherbulk JGB concentration. At still higher JGB concentrations, one may expect leveling tosubside as the concentration gradient of the leveling agent inside the trench diminishes.The results shown in Figure I suggest that the trench-filling performance of an electrolyteis strongly dependent on the relative concentrations of different additives, making processcontrol important for wafer processing. The nature of the interactions between theseadditives that make all four necessary for effective leveling is still unclear.

Electrochemical Society Proceedings Volume 99-9 17

Page 28: _sWni7aGN

THEORY

To describe the spatial variations in current density, we assume first-order kineticsin the cupric-ion-concentration c, with inhibition due to the blocking of surface sites bythe leveling agent:

-i'c,(1l- 0.

The surface-coverage 0 of the leveling agent is assumed to follow a Langmuirrelationship:

0= C2 [2]K+c 2

Furthermore, we assume that the rate of consumption of the leveling agent is given by

r.,,o_ = kc0 [31

The consumption can be due, for example, to incorporation of the leveling agent into thedeposit or to reduction, with products that subsequently desorb into the electrolyte. Theconstant kc is most likely a function of electrode potential and would thus vary with i,.

As in a past paper (6), we assume that the aspect ratio is sufficiently large thatconcentration variations in the x-direction are small compared to those in the y-direction.A material balance on copper ions that accounts for the consumption of copper due todeposition on the sidewalls of a trench is:

0=D) D, 8(y)a--- + 28(y)F [4]

where 6(y) is the half-width of the trench or the via and is given by a material balance ondeposited metal:

a t = 2pF [5]

A material balance analogous to equation 5 can be derived for the leveling agent:

0= D, a_ 6(y) _ rc2 ..... [6]0 6 (y----ay ý y (y)

Important dimensionless groups related to the leveling agent that emerge from the

18 Electrochemical Society Proceedings Volume 99-9

Page 29: _sWni7aGN

analysis are ratio of bulk leveling-agent concentration to the adsorption constant L-' andK

k~h.R =-- [7]

where h, is the initial feature height, D2 is the leveling agent diffusion coefficient, and k,is a consumption-rate constant of the leveling agent. The group in equation 7 can beviewed as providing an estimate of the penetration of the leveling agent into the feature.When RL, -+ oo, the concentration of leveling agent quickly falls to zero at a short

distance from the trench mouth, and when RLA -+ 0, the leveling agent concentration is

constant and equal to the bulk value. A value of RLA slightly less than unity appears toprovide the most ideal leveling situation to achieve void-free metallization (7). WhenRLA is too large or too small, void formation is predicted.

A similar group to RLA emerges for the cupric ions. Its magnitude indicates thatthe cupric-ion concentration inside a feature is relatively uniform, indicating thatconformal deposition should be achieved in the absence of leveling agents orimperfections in the seed layer.

One possible interpretation of the prediction that as characteristic size decreasesdeposition becomes conformal is that smaller features are easier to fill. This conclusionis not consistent with industrial experience. Bearing in mind that a wafer contains manyfeatures, a predicted conformal deposition rate may not be acceptable due to a randomspatial variation in deposition rate. Such variations may result from, among other things,a nonuniform seed layer. Below we discuss a possible method that accounts for suchimperfections.

We assume that a robust process requires a higher deposition rate at the bottom ofthe trench. Conformal deposition is not acceptable due to a non-zero standard deviationin the plating rate from that predicted by the deterministic model. The difference inplating rate from the top to bottom must overcome the standard deviation. Thisrandomness may be related to a measured surface roughness of a blanket deposit, whichlikely depends on additive chemistry, the substrate, and the film thickness.

We propose that the initial current distribution can be used to predict processrobustness. When the cupric-ion concentration in the trench is uniform, deviations froma conformal deposit are due to spatial nonuniformities in the leveling-agentconcentration. The variation in c2 before significant shape change can be used as anestimate of when leveling can be expected. Combining equations 2, 3, 6 and 7, thedimensionless concentration of leveling agent is given by:

d 2 2 -2(R, h /L) .IC 2 [8]

Electrochemical Society Proceedings Volume 99-9 19

Page 30: _sWni7aGN

Figure 2 shows the spatial variation in the surface sites available for copperreduction for various values of RA, assuming c, / K = 9.09. To achieve preferentialdeposition at the bottom of the feature, one must maintain more free sites (larger I - 0) atthe bottom of the trench. However, if coverage 0 --+ 0 at a position near the top of thetrench (e.g., RLA > 5 ), the trench is likely to close near this intermediate position.

We use a variable relating the current at the top and bottom of a trench:

p =-100 tt [9]

When feature widths are less than 250 nrm, spatial variations of the cupric-ionconcentration inside features are negligible. Thus, the leveling agent dictates the currentdistribution. Figure 3 shows p as a function of R,, for three values of c, / K.

To illustrate how figure 3 may be used, we work through an example. We assumefor the leveling agent: c2, /K=l0 and R,, = 0.15 when L = 240 nm. SinceR" isproportional to L (holding aspect ratio constant), when L = 100 rnm, RL, = 0.0625 (cf,equation 7). These two points are labeled on the graph.

The value of p necessary for a process to be robust may be a function of feature

size. Here, it is assumed that robustness requires p > 2 , where a is the average

surface roughness of a blanket deposit of thickness L/2 and is taken in this example to be40 nm. When L = 240 nm (R, = 0.15), p must be greater than 33 and when L = 100 nm,p must be greater 80. These considerations are the basis for the boundary between arobust and a non-robust process. In the present example, it is assumed that y isindependent of film thickness. This assumption should be expected to break down,especially when L/2 < c. Thus, an experimentally determined boundary between arobust and non-robust process may not be linearly proportional to size.

For the hypothetical situation considered here, one would conclude that it is notpossible to maintain the same chemistry as feature size is reduced from 240 nm to 100nm. At some intermediate generation in feature size, chemistry with an effectively largerRLA would be required. Possibly, this could be achieved by increasing the bulkconcentration of leveling agent. Due to other constraints, one may need to modify theleveling agent to increase kc or decrease D2. A decrease in D2 could be achieved bychoosing a species with a higher molecular weight but with the same active functionalgroup. Modifying the chemistry to increase k. may also imply an increasedreplenishment rate of the additive, which could complicate process control.

20 Electrochemical Society Proceedings Volume 99-9

Page 31: _sWni7aGN

DISCUSSION

A major challenge that lies ahead is the establishment of an experimental protocolthat can obtain the physico-chemical properties (e.g., D2, k,, and K) required of amathematical model that describes leveling agent. Such lines of inquiry will likelyinvolve electroanalytical methods, such as electrochemical impedance spectroscopy orcyclic-voltammetric analysis, and may include in situ electrode-surface analyses tocorroborate mechanistic hypotheses. Conceivably, a protocol that fits the model directlyto shape-change experimental studies can be used. The disadvantage to the latterapproach is that it will provide few fundamental insights into the governing phenomena.An approach that instead attempts to measure independently the physico-chemicalproperties may provide insights that will lead to improved process control and/orimproved additive packages.

Also, the theory outlined above is based on a single-additive description ofleveling. Commercial baths typically use at least four components, and the leveling agentdoes not work in the absence of the other species. This effect is clearly seen in figure 1,where JGB is not effective unless SPS is present. The ability of a single-additive theoryto describe such a complex chemistry has yet to be fully established. The use of a multi-component additive theory, if necessary, would not introduce any major numericaldifficulties, but would require a major experimental program to obtain a sufficientmechanistic understanding.

SUMMARY

A leveling study of submicron features is consistent with previous experiments ona 100-pm scale in that an electrolyte having all four additives yields the best results.Depending on both current density and JGB concentration, greater than 90% of observedfeatures could be filled. When only two or three of the additives were used, substantiallyfewer features were filled.

Simulations of copper electrodeposition in sub-micron features in the presence ofa leveling agent indicate that the formation of void-free deposits requires tight control ofthe operating conditions. For very small features, primarily one dimensionless group(equation 7) dictates the leveling capability of a process. Results also indicate that asfeature size is reduced, the deposition tends to become conformal unless the additivechemistry is modified. It is proposed that conformal deposit is not desirable becauserandom variations in deposition rate will lead to void formation in a statisticallysignificant number of features on a wafer.

LIST OF SYMBOLS

c concentration, mol cm" 3

E-2 dimensionless concentration of leveling agent (c2 / c2,)

cý bulk concentration of cupric ions or leveling agent, mol cm-3

D diffusion coefficient, cm2 s-I

Electrochemical Society Proceedings Volume 99-9 21

Page 32: _sWni7aGN

F Faraday's constant, 96,487 C mol[' eq-1i current density, mA cm 2

ip current density at the mouth of the feature, mAcm2

ho, initial height of feature, cmk, consumption-rate constant, mol cm- 2 s-I

K adsorption-isotherm constant, mol cm- 3

L initial width of trench or via, cmp difference in plating rate between top and bottom of featurercons consumption rate of leveling agentRLA dimensionless groups, defined by equation 6t time, secx, y spatial dimensions, cmj dimensionless spatial variable (y/ho)8 half-width of trench or via opening, cm0 leveling-agent surface coveragep molar density of copper metal, mol cm-3

a standard deviation in film thickness, cm

Subscripts

I cupric ion2 leveling agent

REFERENCES

1. P. C. Andricacos, C. Uzoh, J. 0. Dukovic, J. Horkans, L. Deligianni, IBM J. Res.Develop., 42, 567 (1998).

2. T. Taylor, T. Ritzdorf, F. Lindberg, B. Carpenter, and M. LeFebvre, Solid State Tech.,47 (November, 1998).

3. H. G. Cruetz, R. M. Stevenson, and E. A. Romanowski, U. S. Patent 3,328,273.4. J. J. Kelly and A. C. West, "Leveling of 200-nm Features by Organic Additives,"

Electrochem. Solid-State Let., submitted (1999).5. J. J. Kelly, C. Tian, and A. C. West, "Leveling and Microstructural Effects of

Additives for Copper Electrodeposition", J. Electrochem. Soc., submitted, 1998.6. A. C. West, C.-C. Cheng, and B. C. Baker, J. Electrochem. Soc., 145, 3070 (1998).7. A. C. West, "Theory of Filling of High-Aspect Ratio Trenches and Vias in Presence of

Additives," J. Electrochem. Soc., submitted (1999).

22 Electrochemical Society Proceedings Volume 99-9

Page 33: _sWni7aGN

100standard conditions2 mg/L JGB

Z 15 mA/cm2

80 20 mA/cm2

2 60

40

20

0PEG PEG, C1, PEG, CF, PEG, CF,& CI & SPS & JGB SPS, & JGB

Figure 1. The percentage of filled trenches (with L = 200 nm, h,= 600 nm) as a functionof electrolyte composition. For the bath containing PEG, chloride ions, SPS, and JGB,various operating conditions are shown.

Electrochemical Society Proceedings Volume 99-9 23

Page 34: _sWni7aGN

1.0

0.8

Figure 2. The spatial LA = 0.1

variation of leveling-agent R 5surface coverage for 0.6 LA = L

various values of thedimensionless parameter - ho/L = 4RLA. The curves shown 0.4

for RLA = 0.1 and I are c2 /K 9.09most desirable to avoidvoid formation. 0.2 RLA = 0.01

RLA = 0.001

0.00.0 0.2 0.4 0,6 0.8 1.0

Figure 3 The percent ho/L 4 10difference in initial plating 150rate between the bottom c 2 ./K 20

and top of a trench in thelimit of small features.Also shown is theassum ed boundary 100 02" -. ,5

between a robust and non- Q,robust process.

50 Robust

Not Robust0 1 , _0.00 0.05 0.10 0.15 0.20 0.25

Rol

24 Electrochemical Society Proceedings VoIlume 99-9

Page 35: _sWni7aGN

A NOVEL ELECTROLYTE COMPOSITION FOR COPPER PLATINGIN WAFER METALLIZATION

Uziel LandauChem. Eng. Dept., Case Western Reserve University, Cleveland, OH 44106

John D'Urso and Andrew LipinL-Chem, Inc, Shaker Heights, OH 44120

Yezdi Dordi, Atif Malik, Michelle Chen and Peter HeyApplied Materials, Inc., Santa Clara, CA 95054

A new copper-plating electrolyte specifically optimized for electroplatinginterconnects on silicon wafers is described. The copper sulfate basedelectrolyte differs from conventional copper plating solutions in two mainrespects: (i) it contains no (or low) sulfuric acid, and (ii) it is based on ahigh (>0.8 M) copper concentration. Eliminating the acid increases theelectrolyte resistivity, thereby mitigating the harmful effects of a thin seedlayer on the deposit distribution. The acid removal produces also asignificant 'chemical enhancement' of the copper transport rates.Furthermore, reducing the sulfuric acid concentration enhances the coppersolubility, enabling a high copper concentration process. This provideshigh quality copper deposition at high rates under moderate flow. Thelow-acidity electrolyte also offers significant environmental, safety andhandling benefits.

Copper electroplating from acidified copper sulfate is a classical technology,dating back to the early 1800's. Today, copper electrodeposition is a major platingprocesses with important applications in electronics (printed circuits, connectors), steelcoating, and in electroforming. Three types of copper plating chemistries arecommercially available: copper cyanide, copper pyrophosphate, and acidified coppersulfate. The latter is by far the most popular due to its stability, versatility, minimalenvironmental impact, and low-cost. Acid copper plating solutions consist of three maincomponents: (i) copper sulfate, typically in the range of 0.2 - 0.6 M, which serves as thecopper source, (ii) sufuric acid, typically 1-2 M, with main function of enhancing theelectrolyte conductivity, and (iii) various plating additives, typically in the ppm range,that help control the deposit distribution and aid in imparting the desired depositproperties.

A major appeal of the acid copper process has been its versatility: essentially onechemistry, with minor variations, may be used in a wide range of applications. Critical tothis universal appeal is the ability of the acid copper process to uniforniy plate different,complex shaped parts in multiple cell configurations. This feature is characterized interms of a high 'throwing power' or a high Wagner (Wa) number' 2 . Since the Wagner

Electrochemical Society Proceedings Volume 99-9 25

Page 36: _sWni7aGN

number is proportional to the conductivity, conventional sulfate based copper platingformulations specify the use of sulfuric acid as a 'supporting electrolyte' with the mainpurpose of providing high conductivity, and consequently, a high throwing power.

Recently, copper plating has found an important new application in metallizinginterconnects on semiconductor wafers3. Here, a specially designed and dedicated tool isused to plate well-defined disk-shaped silicon wafers. A very uniform copper layer mustbe electrodeposited with excellent gap-fill properties onto a resistive seed layer throughcontacts along the circumference of the wafer. The new process poses numerous criticalchallenges:

- Copper is electroplated onto a thin (100-1 000A), quite resistive copper seed layer- Current is fed from the wafer circumference (radial distance of 10 or 15 cm)- Extreme deposit thickness uniformity requirements (<1-3%) with minimal

(0-5 mm) edge exclusion- Complete fill capabilities of sub-micron scale structures (dual damascene) with

>1:10 aspect ratios, often with marginal seed layer.- Extreme properties requirements for electromigration, conductivity, stress, grain-

size, purity, reflectivity, etc.- Long-term process stability and robustness- Complete process monitoring and control- Essentially defect-free performance (over extreme number of parts and features)

Requirements and characteristics of the wafer plating process are significantlydifferent from conventional plating. Table 1 highlights major differences.

Table 1: Comparison between conventional and wafer plating

Conventional Plating Wafer Plating

Process versatility (for different parts and Dedicated and customized process and systemcell configurations) is important

High 'throwing power' (Wagner number) is Customized cell design can provide uniformessential for uniform deposit distribution distribution (even in absence of high throwing

power)

Supporting electrolyte (typically acid) provides Low conductivity desirable to mitigate thehigh conductivity (and high 'throwing power') effects of the resistive seedMass transport - typically not an issue Plating in vias is influenced by transport

Uniform side-wall coverage of cavities (e.g. 'bottom-up' fill desiredthrough-holes) is usually sought'Low tech' is acceptable standard Extreme 'high-tech' requirements

Moderately priced product Very costly product

26 Electrochemical Society Proceedings Volume 99-9

Page 37: _sWni7aGN

As noted, copper electroplating of wafer interconnects poses significantchallenges primarily due to the extreme requirements it mandates for uniformity, purity,and process control. On the other hand, it offers, because of its unique characteristics,special design opportunities that call for departure from classical acid copper processparameters.

SCALING ANALYSIS OF CURRENT DISTRIBUTION IN WAFERELECTROPLATING

Deposit thickness distribution in wafer electroplating must be considered interms of two separate scales. (1) Macroscopic distribution, on the wafer scale (cm) and(2) microscopic distribution, on the length scale of the features (microns). Because ofthe large variation (4-5 orders of magnitude) between the scales, these distributions arecontrolled by different mechanisms. Furthermore, the design objectives for the twoscales are quite different. While it is important to obtain uniform deposit thickness onthe wafer scale, a bottom-up fill is desired on the features scale, since uniformdeposition leads to the formation a center seam.

The Macroscopic (Wafer-Scale) Current Distribution.

The parameters that control the macroscopic current distribution (in the absenceof substrate resistance) can be represented in terms of the Wagner number, defined bythe ratio of the activation resistance of the surface reaction, (Ra), to the electrolyteohmic resistance, (Rn):

Wa = R' : K [P]R I- ai [1

Here, ic is the conductivity; I is the characteristic length and arl/ai is the slope of thepolarization line. A large Wagner number is indicative of a uniform macroscopiccurrent distribution since it corresponds to a large activation resistance (which tends tolevel off the current) and a small ohmic resistance (which is geometry-dependent andusually causes non-uniformities).

For the Tafel polarization regime (in which most copper plating is carried out),the Wa number can be expressed in terms of:

Wa = -ýR =-Kb (for Tafel polarization) [2]

b is the Tafel slope (= RT/aF) of the polarization curve, and i is the current density. Foruniform distribution, a high Wagner number is desired, corresponding to highelectrolyte conductivity, low current density, and a high slope of the polarization curve.

Electrochemical Society Proceedings Volume 99-9 27

Page 38: _sWni7aGN

Accordingly, the uniformity of the current distribution on the macroscopic scaleis primarily controlled by:

- cell configuration- electrolyte conductivity- electrode kinetics (affected by the additives distribution and hence may be

influenced by flow)- average current density- substrate (or seed layer) electrical resistivity

Appropriate cell design, including the application of current shields whererequired, may compensate for current density non-uniformities even the absence of ahigh Wa number. To increase the electrode polarization, leveling additives are oftenincorporated in the bath. Since the additives are present in minute amounts, theirdistribution across the wafer is typically influenced by the flow. The macroscopiccurrent distribution may also be affected by the seed resistance due to the so called'terminal effect' as discussed below.

The Microscopic (Feature-Scale) Current Distribution

In analyzing the current distribution on the feature scale, the characteristicdistance, 1, is of the order of a micron, i.e. 5 orders of magnitude smaller than that of themacroscopic scale. As a consequence, the controlling mechanism for the currenttransport shifts from potential to mass transport control, as discussed earlier by Landau4 .Relevant conclusions are summarized here.

The current is driven by the concentration gradient,VC, and the electric field, Vsl,

i = - nFDVC -cV(D [3]

The relative importance of the two terms can be determined from the dimensionlessmass transport to ohmic resistance ratio, dubbed here the Tobias Number, T:

R* K RTT= R *-- = nF[4]

R*,, I nFi l-/L

Clearly, T > 1, corresponds to mass transport dominance. As noted, mass transportgains importance when the limiting current, iL is approached, and, more interestingly,when the length scale, 1, shrinks. The length scale, lent, at which mass transportlimitations become more significant than the ohmic resistance is given by:

KoRT

lcu nFiT - (for mass transport control) [5]

Applying typical conditions, we find that the critical length below which mass transferbecomes dominant is between 0.01 to 2.5 mm. Clearly, the current distribution within

28 Electrochemical Society Proceedings Volume 99-9

Page 39: _sWni7aGN

micron-scale features is influenced by mass transport with negligible electric fieldinfluence.

It should be emphasized that the forgoing analysis compares only the relativeimportance of mass transport to electric migration. Kinetics resistance, which is notscale-dependent, will typically be the overall dominant resistive mechanism on smallscales, prevailing over both the mass transport and the ohmic resistances.

Accordingly, on the features scale, the deposition process will be primarilycontrolled by:

- electrode kinetics (affected by the additives distribution)- mass transport (of both reactant and additives)- local (micro-scale) geometry- local current density

It is no longer meaningful to characterize the microscopic current distribution interms of the Wa number since the latter incorporates the ohmic resistance as the sourcefor non-uniformity, whereas on the micro-scale the concentration field is moreimportant. Instead, the leveling parameter, L, has been formulated4 by replacing theohmic resistance by mass transfer resistance (as the source for non-uniform flux) andcomparing it to the kinetic resistance:*

Ra (W?1/0i Hit -iiL ._ =. [6]

R* - (tqr /Ii) ai

C

Since mass transfer (diffusion) resistance is typically geometry-dependent (justlike the ohmic resistance), it promotes non-uniform distribution. The activation(kinetics) resistance, on the other hand, is geometry independent and tends to level thedistribution. A large value for L (L > > 1) implies, therefore, kinetics resistancedominance with a uniform current distribution on the micro-scale. L may therefore beviewed as a micro-leveling parameter, in analogy with the Wagner number on themacroscopic scale.

In order to promote smooth deposits and avoid roughness in plating, one mustselect processes with low transfer coefficient, a. This is often controlled by the use ofappropriate additives that promote polarization. Eq. [36] indicate also that forobtaining smooth deposits, it is beneficial to operate at a low fraction of the limitingcurrent, i/iL i.e. low current density and a high limiting current. Since the limitingcurrent depends on the concentration and on the agitation rate, high reactantconcentration and sufficient transport will promote smooth deposition.

Electrochemical Society Proceedings Volume 99-9 29

Page 40: _sWni7aGN

Controlling the Current Distribution on the Macroscopic and Microscopic Scales

Since the current distribution on the macroscopic and microscopic scales isdominated by different mechanisms, different means must be applied to control it.

On the wafer (macro-) scale:- Uniformity can be provided through hardware design, cell shape, shields, etc.- Resistive substrate effects may be mitigated by using low conductivity electrolyte.- Flow field for uniform additives and copper transport should be incorporated.

On the Micro (features) scale:

- High transport rate can be provided by high concentration and sufficient flow.- 'Bottom up' fill can be achieved through proper selection of additive and control of

their distribution: external surfaces and the via side walls should be passivated whilethe via bottom should remain additive-free, or preferentially adsorb catalyticadditives that promote high deposition rate.

RESISTIVE SUBSTRATE ('TERMINAL') EFFECT

The seed layer for the copper deposition is thin (typically 500-1000 A) and quiteresistive. The current is fed from the circumference (10 to 15 cm radial distances)through relatively narrow contacts. As a consequence, the current tends to concentratenear the circumference as shown in Fig. 1. Obviously, as the deposition proceeds, theresistive substrate effect becomes less pronounced due to build-up of a conductivedeposit. However, the initial build-up remains.

5-Water,e Curent density profile

590A Cu seed 4----Contact

, (0.34 CQ/cm)

Si - 50 mA/cm 2

33 - 344 mA/cm 2

IS OM/AnodexJ ,- 0.5S skin

a 5 16 15 2025 35 40 4SS

CUOeslgn 0 sinulation

Fig. 1: Schematic of a wafer plating cell depicting the current feed contact ring (right),and a numerical simulation5 of the initial current distribution (left), indicating about a10:1 initial current density ratio between edge (344 mA/cm 2) to center (33 mA/cm 2)under the simulated conditions (acidified copper sulfate electrolyte).

30 Electrochemical Society Proceedings Volume 99-9

Page 41: _sWni7aGN

The effects of resistive substrates on the current distribution ('terminal effect')has been analyzed in the literature6'7. Analytical and numerical solutions have beenpresented for a number of configurations. The non-uniform distribution stems from thecurrent minimizing its flow through the resistive seed layer, creating a 'short-cut'through the electrolyte and concentrating near the contact. Evidently, if the electrolyteresistance is high (in comparison to the seed resistance) this effect will be minimized.Fig. 2 presents a simplistic analysis based on an equivalent circuit model, illustrating(qualitatively) the effect of various parameters. A voltage balance can be made forparallel current paths (Fig. 2B) through the center of the cell (Ictr) and close to itscircumference (ledge). Since the applied voltage, V, is identical for both routes:

V = IcenterReletrolyte+ lseedRseed l IedgeRelectcolyte [7]

l'de= 1+ seed Rsed [8]Icenle, lcenfr Reletro/yte

Obviously, the current near the edge will always be larger than that at the center due tothe terminal effect, disregarding here all other sources for non-uniformity ( e.g. cellconfiguration and additives distribution). In order to minimize this variation, the seedresistivity, R5,d, must be minimized (requiring a thicker seed) and the electrolyteresistance should be maximized. This latter approach has been adapted here.

R

ine 'edge

LiQ ®D

(A) (B)

Fig 2: Schematic equivalent resistive network representation of the resistive substrateeffect in wafer plating (A), and a reduced 'minimal' circuit (B).

Electrochemical Society Proceedings Volume 99-9 31

Page 42: _sWni7aGN

Rationale for a Low-Acidity Electrolyte

In order to minimize the resistive substrate ('terminal') effect, which tends topromote thicker deposit near the contacts, the use of a low conductivity electrolyte isparticularly beneficial 3. Since the proton mobility (introduced via the sulfuric acid) isabout 7 times higher than that of copper or sulfate ions, the most effective means ofreducing the conductivity is through lowering, or complete elimination, of the acid.Accordingly, the conductivity of a typical copper sulfate plating electrolyte formulatedwithout sulfuric acid drops by about a factor of 10, from about 0.5 S/cm (in typicalcopper sulfate with -1-2 M sulfuric acid) to 0.05 S/cm (no acid). This is illustrated inTable 2:

Table 2: Estimated conductivity of acidified and non-acidified copper sulfate electrolyte.Acidified solution contains 1.8 M H2 SO4 . Copper sulfate concentration is 0.25 M.Dilute solution theory with no interactions is assumed. Conductivity is estimated from:

/C = ZAIziC,

Species Xj [cm 2/2 eq.] Zj [eq./mole] Cj M] j [S/cm][millimole/cm3

[Cut+ 54 2 0.25 0.027S04 80 2 0.25 0.04H+ 350 1 1.8 0.63HS0 4 50 1 1.8 0.09Total with acid 0.787-Total without acid _ ____ .... 0.067-

The estimated conductivities are slightly higher than the actually measured values. Themeasured conductivity of the 0.25 M CuSO4 t1.8M t12SO 4 electrolyte is 0.55 S/cm, while thenon acidified electrolyte measures 0.05 S/cm. The reason for the discrepancy is interaction(incomplete dissociation) of the ionic species that are assumed here to be completely dissociated.Nonetheless, the trends illustrated are valid.

As noted from Table 2, the major contribution (91%) to the conductivity isderived from the acid, and in particular (80%) from the proton due to its high mobility.Analysis and experimental data indicate that by removing the acid, the conductivitydrops by about a factor of 10.

Conductivity data of various copper sulfate electrolytes acidified to differentdegrees with sulfuric acid is presented in Fig. 3. As noted, the copper sulfateconcentration affects the conductivity only slightly and the major contribution comesfrom the acid. Interestingly, for the same acid concentration, the lower copper sulfate

32 Electrochernical Society Proceedings Volume 99-9

Page 43: _sWni7aGN

concentrations correspond to a higher conductivity. This counter intuitive observation isdue to the common ion effect. The sulfate ion that is introduced by increasing the coppersulfate concentration shifts the (H÷](HSO 4-] equilibrium in the direction of decreasedfree protons. Since the protons have a much higher mobility than all other ions,decreasing their relative concentration reduces the overall conductivity.

Conductivity data for acidified copper sulfate electrolytes was analyzed by Hsuehand Newman . Our data is consistently lower (by about 10%), but tracks the reportedtrend.

0.6

0.5 ...0.75 M Cua - *

,0.4

"7, 0.3 -- • . . .. .S• •l -- 1.OM Cu÷+

0 A

0"

0 0.5 1 1.5 2 2.5 3

S&dfwlc Acdd Corr. (M4Fig. 3: Conductivity of acidified copper sulfate

Computer Simulations Illustrating the Effects of Process Parameters on the Non-Uniform Deposit Distribution Due to Resistive Substrate

The effect of lowering the bath conductivity on the deposit thickness distributionacross the wafer is demonstrated through computer simulations (Fig. 4). Acommercially available software package (Cell-Designo)5 was used to simulate thedeposit growth. Cell-Design employs a finite element based technique coupled withmoving boundaries and a time stepping procedure to simulate the growth. In order to de-couple the effects of the process parameters, we consider a perfect cylindrical cellconfiguration, hence all the non-uniformity in the deposit thickness is due to the resistivesubstrate effect. As noted, most of the thickness variation occurs at the beginning of thedeposition process when the substrate resistance is highest.

Clearly, the 'no-acid' electrolyte significantly improves the copper thicknessuniformity which in turn leads to better process integration with subsequent CMP steps.

Electrochemical Society Proceedings Volume 99-9 33

Page 44: _sWni7aGN

£Electric Contact [Electric Contact

SEFIFI) AFERSFIF)FI)WAVV

10,)

PL ATED) P1•LATED)ro,~

Fina CoperFinal C•oppler Profile

1I411'Nuis AvidII~r~fih' 1.8 M1 11.S()1 nAi

Cell-Design @ simulations

1.8 M Sulfuric acid No acidFig. 4: Computer simulation (Cell-Design©) of copper deposition on a resistive wafer.An axi-symetric cross-section through a 200 mm wafer is shown, with the wafer centeron the left and the electrical contact on the right. Current density - 35 mA/cm2. Fivegrowth steps, 20 sec. each, are simulated. The darker region is proportional to the depositthickness (for clarity, the vertical axis has been magnified). Copper kinetics (noadditives) are assumed: i0 = I mA/cm2; (xc = 0.5; CLA = 1.5; T = 25°C. Initial seedthickness is I 000A. Substrate resistivity is updated with deposit build-up. (Left): 0.24 MCuSO4 + 1.8 M H2SO4. Deposit thickness range: 1.08 - 1.52 ýt. (34% variation). (Right):0.85 M CuSO4. Deposit thickness range: 1.28 - 1.41 ýt. (9.6% variation).

K 01.55 Q'vnii(1.8 NAcid)

21.3,.

I.z .U 0 .1.2 I

'is

RADIAL POBItlON EUM]

Fig. 5: Deposit thickness profile affected by the substrate resistance, as function of theelectrolyte conductivity. Simulated by Cell-Designic. All parameters are identical tothose of Fig. 4, except that here i-=20 mA/cm2 , and a shorter deposition time was applied(simulations were stopped when center thickness reached I pt).

34 Electrochemical Society Proceedings Vohl~me 99-9

Page 45: _sWni7aGN

Fig. 5 displays similar data to that shown in Fig. 4 (with lower current densityand deposition time), however, only the final deposit profiles are shown, at a greaterresolution.

Fig. 6 compares the effects of both the initial seed layer thickness and theelectrolyte conductivity. As noted, the low conductivity electrolyte mitigates quiteeffectively the seed layer effects. Whereas large thickness variations are noted for thesimulated deposit profiles with the highly conductive electrolyte, the variations for thelow conductivity electrolyte are relatively small. Also, relatively little difference is noted

between the 500 A and the 1000 A seed.

ThicknessSEED K Ratio

. A 10 cm

1500 0.55 -1.57

h14" 1000 0.55 1.42

C 1.3 4, LI 500 0.5 .0

12

0OOOE-00 2.OOE.00 k00E.O0 6.OOE-00 8,OOE.00 1,00E+01 1.20E,01

09 RADIAL POSITION [CM]

Cell-Design Q simulations

Fig. 6: Effect of initial seed layer thickness and the electrolyte conductivity on thedeposit thickness distribution. i = 20 mAlcm2. 200 mmn wafer. Final deposit profile isshown.

Electrochemical Society Proceedings Volume 99-9 35

Page 46: _sWni7aGN

Fig 7 shows the effect of the current density on the deposit distribution under theinfluence of a resistive substrate. As expected the distribution is significantly moreuniform at low current densities (e.g., 10 mA/cm 2). As the current increases, the nonuniformity appears to converge and not much difference is noted between thesimulations applying 40 and 60 mA/cm2 .

1.7 .. Current Density

1.6 4 -. --- 60 mA/cm 2

I15~ -• 40 mA/cm 2

E14 ----- 20 mA/cm 2

1.3

1,2

1.1 ' ........ 10 mA/cm 2

0 2 4 6 8 10 12

RADIAL POSITION (cm]

Cell-Design C simulations

Fig. 7: Effect of the (average) current density on the deposit thickness distributionsubject to the resistive substrate effect. Conductivity = 0.55 0 -'cmf' (1.8 M SulfuricAcid). 200 mm wafer. 1000 A copper seed. Time-step growth simulations

Additional Benefits of the Low-Acid Electrolyte

Eliminating or minimizing the acid has a second important beneficial effect.Since the sulfuric acid carries most of the current within the bulk electrolyte, its removalshifts the transport number of the copper ion from about zero to 0.5, thus effectivelydoubling the copper transport rate (Eq. 9, below). This 'chemically induced' transportenhancement is particularly important for providing adequate copper transport within theblind vias.

36 Electrochemical Society Proceedings Volume 99-9

Page 47: _sWni7aGN

The maximal copper transport rate is given by its limiting (diffusion) current:

nFDC, [9]

Here, CB is the bulk reactant (copper) concentration, and 8c is the equivalent, Nernst-type, boundary layer thickness. The transport number for the copper, tc, is defined by:

ACU, ZCjCcU Kc, KC,, [10]1 Y"~j ZjCj Y, KC /

j j

Introducing figures from Table 2, we find:

KCU 0.027KCu 0.027 tCNoid - = 0.027 0.4tcuacid = - 0.787 0.03 K1 0.067

Accordingly, by eliminating the acid (particularly, the high mobility proton), thetransport number of copper increases from close to zero to about 0.4. This corresponds toan increase of the limiting current (Eq. 9) by a factor of about (1-0.03)/(1-0.4) = 1.6. Itshould be noted that these estimates are based on ideal dilute electrolyte theory. Inreality, due to interaction between the ionic species, a somewhat lower (but still verysignificant) enhancement is observed.

In conclusion, the benefits of the low-acid electrolyte are:

(i) Mitigating the effects of the resistive substrate

(ii) Providing a 'chemical enhancement' to the copper transport rates

Additional, more obvious, benefits of the 'no-acid' electrolyte include:

(iii) The ability to significantly raise the copper concentration without precipitation

(iv) 'Greener', non-toxic, and non-corrosive chemistry

(v) Lower erosion of the seed layer upon prolonged solution contact.

MASS TRANSPORT ENHANCEMENT

A second critical requirement in interconnect metallization is the ability to fillsmall, nanometer-scale, features (i.e., cavities) rapidly and reliably. Unlike the currentdistribution on the macroscopic (wafer) scale which is typically controlled by the electricfield (and therefore strongly affected by the conductivity), the current distribution on the

Electrochemical Society Proceedings Volume 99-9 37

Page 48: _sWni7aGN

micro- (or via-) scale is dominated by kinetics and mass transport4. Since the platingadditives are present in the electrolyte in minute quantities (PPM range), their transportto the electrode surface is always transport limited. Because flow is absent within theblind vias, the copper is transported there solely by diffusion. Copper depletion at thebottom of the vias due to transport limitations will adversely affect the depositproperties. Typically, if the current density approaches about 80% of the diffusionlimiting current, the copper deposit becomes deficient (poor texture)4.

Clearly it is desirable to enhance the copper transport rates, particularly withinthe vias. Since higher flow provides only partial transport enhancement (external to thevias), one would want to increase the limiting current (Eq. 9) by other means. As statedearlier, removal of the acid leads to a significant increase (approaching 0.5) in thetransport number, t, and to a corresponding increase [proportional to the inverse of (I -t)]in the limiting current.

Additional enhancement of copper transport can be realized by increasing its bulkconcentration (CB). Copper concentration in conventional plating electrolytes is typicallyin the range of 0.1 - 0.5 M. Usually, this is sufficient, since transport to large featurescan be enhanced by flow. However, for plating micron-scale vias, additionalenhancement is desirable. It is difficult to maintain a higher copper concentration in ahighly acidic electrolyte due to the common ion effect: the presence of sulfate ionsoriginating from the sulfuric acid limits the degree of copper dissociation and itssolubility. Hsueh and Newman compiled copper solubility data8 showing that in 2Msulfuric acid, the maximal copper solubility is about 0.75 M. In 4 M sulfuric acid, thecopper solubility drops to about 0.5 M. One way of supporting a larger copper solubilityis switching to an acid that does not contain (or release) sulfate or bi-sulfate ions.Another method, that is used here, for sustaining a higher copper solubility, is removingor reducing the sulfuric acid concentration. Accordingly, by eliminating the sulfuric acid,a maximal copper solubility of close to 1.4 M can be reached, and a plating solution witha copper concentration in the range of 0.8 - 1.2 M can be maintained.

By raising the copper concentration in the bath from its typical range of 0.1 -0.5M to e.g., over 0.8 M, an enhanced plating rate (by a factor proportional to the copperconcentration ratio) can be sustained under the same external flow, or, maintaining theplating rate, the external flow can be reduced.

CONCLUSIONS

A copper-plating electrolyte, specifically optimized for copper metallization ofinterconnects on silicon wafers is described. The copper sulfate based electrolytefeatures no (or low) sulfuric acid and a high (>0.8 M) copper concentration. Elimination(or reduction) of the acid increases the electrolyte resistivity, thereby minimizing the

38 Electrochemical Society Proceedings Volume 99-9

Page 49: _sWni7aGN

deleterious effects of a thin seed layer on the deposit thickness uniformity. Eliminatingthe acid produces also a significant 'chemical enhancement' of the copper transportrates. This is particularly beneficial within the blind vias that are not accessible toexternal flow. Reducing the sulfuric acid concentration widens also the copper solubilityrange, enabling a process with higher copper concentration that can not be attained in thepresence of sulfuric acid. The high copper concentration is desirable for sustaining ahigh quality deposition at high rates, particularly within the vias, using moderate flow.Lastly, the low-acidity electrolyte offers significant environmental, safety and handlingbenefits.

LIST OF SYMBOLS

b Tafel slope, RT/rtF, 3

C concentration, mole/cm3

D diffusivity, cm 2/secF Faraday's constant, 96487 C/equivi current density, A/cm 2

i0 exchange current density, A/cm2

iL limiting (diffusion) current, A/cm2I current, AI characteristic length, cmL micro-leveling parameter, (ratio of activation to mass-transfer resistance)n number of electrons transferred in electrode reaction per mole reactantR universal gas constant, 8.3143 J/mole-degR resistance, ohmR specific resistance, ohm cm 2

t transport numberT absolute temperature, deg KT Tobias number (ratio of mass transport to ohmic resistance), dimensionlessWa Wagner number, (ratio of activation to ohmic resistance), dimensionless0(a,aXc, transfer coefficients, anodic and cathodic, respectively, dimensionless

8, equivalent mass transfer boundary layer thickness (Nernst-type), cm

71 overpotential, VK conductivity, S/cmX equivalent ionic conductivity, cm 2 r1eq-I

Subscriptsa activation (kinetics)avg averageB bulkc mass transportcrit critical0 ohmic

Electrochemical Society Proceedings Volume 99-9 39

Page 50: _sWni7aGN

REFERENCES

1. H. E. Haring and W. Blum, Trans. Electrochem. Soc. 44, 313 (1923)2. T. P. Hoar and J. H. Agar, Disc. Faraday Soc. 1,162 (1947)3. P.C. Andricacos, C. Uzoh, J. 0. Dukovic, J. Horkans and H. Deligianni, IBM J of

Res. and Dev. 42(5), pp. 567-574, September, 1998.4. Uziel Landau, Proceedings of the D. N. Bennion Mem. Symp., R. E. White and J.

Newman, Eds., The Electrochemical Society Proceedings Volume 94-9, 1994.

5. CELL-DESIGN®, Computer Aided Design and Simulation of ElectrochemicalCells, L-Chem, Inc. 13909 Larchmere Blvd. Shaker Heights, OH 44120

6. C. W. Tobias and R. Wijsman, J. Electrochem. Soc. 100, 450 (1953).7. 0. Lanzi and U. Landau, ibid., 137, 1139-1143 (1990).8. L. Hsueh and J. Newman, UCRL Report 18597, 1968

ACKNOWLEDGMENT

We are grateful to Mark Bubnick for his help in experimental aspects of this project.

40 Electrochemical Society Proceedings Volume 99-9

Page 51: _sWni7aGN

STM STUDIES OF HALIDE ADSORPTION ON Cu(100), Cu(1 10) AND Cu(l 11)

T.P. MoffatMaterials Science and Engineering Laboratory

National Institute of Standards and TechnologyGaithersburg, Md 20899

ABSTRACT

The potential dependent adsorption of chloride and bromide on the three low index coppersurfaces has been examined with voltammetry and STM. At saturation coverage, orderedhalide adlayers are observed on all three surfaces; (ý2 x .2)R450 CI / Cu(100), (C2 x4/2)R450 Br / Cu(100), (3x2) Br / Cu(1 10), c(p x 4/3R300) CI / Cu(1 11). The adlayers leadto step faceting and in certain cases step bunching. The adlayer floats on the surface duringmetal deposition acting as template guiding step flow. At negative potentials various phasetransitions occur coincident with the partial desorption of halide, which lead to significantchanges in the mesocopic surface structure. Initial experiments indicate that modulation ofthe potential in the range of the order-disorder transition has a significant impact on themorphological evolution during copper deposition. The significance of halide adsorption oncopper additive plating is briefly discussed.

INTRODUCTION

The surface chemistry of copper is topic of long standing scientific andtechnological interest. Currently, the subject is undergoing a renaissance due to advent ofnew structural and spectroscopic tools for in-situ analysis and the development ofprocesses such as chemical-mechanical polishing and electrodeposition of copper fordevice metallization. Copper is also being used as a key structural element in ultrathinmagnetic devices such as spin valves. Clearly, as the tolerances required for engineeringstructures on this length scale diminish, knowledge of the atomistic mechanisms relevantto the synthesis will be necessary. In this paper, some of the remarkable effects of halideadsorption on Cu(100), Cu(1 10) and Cu(1 11) will be described.

EXPERIMENTALCopper single crystals were cut from 2.5 cm diameter boule and aligned using

Laue X-ray diffraction. The crystals were then progressively polished to a 0.1 gtm diamondfinish followed by electropolishing in 85 vol percent (v/o) orthophosphoric acid at 1.6 Vversus a large platinum wire mesh electrode. The voltammetric and STM experiments wereperformed in 0.01 mol/L HC1O 4 into which 0.001 mol/L KCI or KBr were added. A fewexperiments were also performed in 0.01 mol/L H2SO 4. The electrolytes were deaeratedprior to use and all potentials are referenced to the saturated calomel electrode. STMexperiments were performed using a Molecular Imaging scanning probe microscope.Tungsten tunneling probes were fabricated by etching in 1 mol/L KOH followed by coatingwith polyethylene in order to minimize faradaic background currents. The sample chamberand electrolytes were purged with argon before each experiment. A copper wire was usedas a quasi reference electrode in the STM experiments.

RESULTS AND DISCUSSIONThe voltammetric behavior of three low index Cu crystals in the presence of

chloride is presented in Fig. 1. Copper dissolution occurs above -0.1 V while the onset of

Electrochemical Society Proceedings Volume 99-9 41

Page 52: _sWni7aGN

hydrogen evolution occurs below -0.7 V. Experiments performed in the absence of halideindicate that the redox waves shown in Fig. 1 must be associated with chloride adsorption.The irreversible nature, i.e. separation of the oxidation and reduction waves, of theadsorption process on Cu(l 11) is in strong contrast to the reversible response observed forCu(100), while a degree of irreversibility is apparent on Cu(l 10). At potentials close to theequilibrium potential of the Cu/Cu÷ reaction a saturation coverage of chloride is anticipateddue to the negative pzc values reported for all three surfaces as summarized in Table 1 [ 1-7]. A list of adlayer structures which have been reported in both UHV and electrolyteemersion and in-situ studies [8-24] is presented in Table 2 along with the charge that wouldaccompany the halide adsorption process.

Cu + Cl- -> CuClad + e-Cu(_.11): Slow scan rate voltammetry reveals that the desorption wave shown in Fig. 1 isactually the superposition of catalyzed proton or water reduction with chloride desorption.Integration of the adsorption wave yields - 0.122 mC/cm 2 which corresponds well to theformation of a compressed (x'/3 x x'",3)R30o or c(p x q3)R30° type adlayer structurelisted in Table 2. As shown in Fig. 2, STM experiments are consistent with the c(p x"13)R30° assignment [20, 23]. Images of surface steps reveal substantial mobility and theabsence of step bunching. Several analytical treatments are available for quantifying terracewidth fluctuations in terms of the step and kink energy [25-28]. As shown in Fig. 3, thesaturated adlayer forms by electrocompression as the potential is increased from -0.441 Vto -0.298 V, in qualitative agreement with a recent emersion LEED study [23]. The adlayerstructure is also observed to exert a significant influence on step dynamics and orientation.Under certain conditions, the adlayer tends to bias the steps toward the <211> directionwith the strength of this interaction being correlated with the close packed direction of thecompressed adlayer [ 16].

The irreversible voltammetric response observed for chloride adsorption onCu(l 11) has also been observed for bromide and sulfate adsorption as shown in Fig. 4.This is a strong indication that the kinetic restraint associated with the adsorption in thesesystems is of a similar origin. In the case of sulfate solutions, STM studies reveal anordered adlayer with a short-range order analogous to that observed on other (11) fccsurfaces [29-31 ]. In addition, a long range Moire pattern was observed which was ascribedto superposition of the incommensurate adsorbate superstructure with the underlyingcopper substrate which itself may be reconstructed [30-3 11. Significant mass transport ofcopper atoms which accompanies the formation of the ordered sulfate adlayer wasinterpreted in terms of sulfate/water adlayer driven reconstruction of Cu( 111) which resultsin the excess copper adatoms condensing as islands on top of the reconstructed layer [311].In the case of chloride solutions limited evidence for such rearrangement is available. Thismay be due to either a change in the mechanism or an enhancement of surface mobilityinduced by adsorbed halide in combination with the high step density of the miscut surface,which results in the formation of a minimal density of islands. These observations areanalogous to the respective effect of sulfate and iodide adsorption on morphologicalevolution during the lifting of the reconstruction of Au(100) electrodes [32].Cu(_00): In comparison to Cu(111), it is clear from Fig. 1 that the desorption charge priorto the onset of hydrogen evolution amounts to far less than a monolayer equivalent charge.At potentials above - -0.300 V the surface is covered by a (42 x q12)R45O chloride adlayeras shown in Fig. 5, while at slightly more negative potentials an order-disorder transitionoccurs that is accompanied by the desorption of less than 0.006 mC/cm 2 (i.e. the firstdesorption wave in Fig. 7). The (/2 x q12)R45o adlayer leads to step faceting in the <100>direction. This corresponds to the close packed direction of the adlayer which stabilizes the

42 Electrochemical Society Proceedings Volume 99-9

Page 53: _sWni7aGN

underlying kink saturated metal steps. In previous work the adlayer has been shown tofloat on the surface during metal deposition thereby acting as template guiding step flow[17-22]. In contrast to halide-saturated Cu(I 11), significant step bunching is apparent onthe Cu(100). Moving the potential towards more negative values leads to the order-disorder transition which results in significant rearrangement of the surface as the kink-saturated metal steps are destabilized as shown in Fig. 6. [18-22]. The symmetric natureof the adsorption-desorption process persists up to sweep rates of 1V/s which reflects therapid kinetics of the adsorption and ordering process. Integration of the voltammetryreveals incomplete desorption of chloride prior to the onset of proton reduction which iscongruent with the more negative pzc of Cu(100) compared to Cu(l 11). Similarvoltammetry is observed for bromide solutions although the order-disorder transition isdisplaced toward more negative potentials, -0.420 V, consistent with the relative strengthof copper-halide interactions [33]. In contrast to Cu( 11t), no clear evidence of sulfateadsorption processes is evident from either voltmammetry or STM. In contrast, areversible adsorption process centered at -0.2 V has been observed in perchloric acidsolutions, as shown in Fig 7.Cu( t10): An adsorption process is apparent between -0.290 and -0.40 V (Fig. 1) whichconsumes 0.050 mC/cm2 . As shown in Fig. 8 the peaks shift -0.061 V/decade withchloride concentration which reflects the Esin-Markov effect. At potentials below the-0.310 V STM reveals the (110) terraces to be elongated with steps faceted in the <100>direction, i.e. orthogonal to the close packed <110> of the metal lattice. As the potential ismoved toward more positive potentials a faceting transition occurs where the chloridecovered terraces undergo a reconstruction, as shown Fig. 9.

In the case of bromide solutions two adsorption processes are apparent as shown inFig. 10. Integrating the desorption wave at -0.6 V yields a charge of 0.030 mC/cm 2 whilethe smaller wave at -0.4 V corresponds to 0.002 mC/cm 2. Imaging the surface at -0.x Vrevealed an ordered (3x2) adlayer corresponding to a saturation coverage of bromide. Thewave at -0.4 V appears to correlate to a step faceting transition where the steps move awayfrom the <100> direction. This transition may be related to deviation from a (3x2) to a c(px2) structure which subsequently gives way to c(2x2) structure at -0.6 V. Thisinterpretation is in good agreement with the charge derived from the voltmmetric data (seeTable 1).

It is interesting to consider the packing density of halide on the (110) surface incomparison to the van der Waals diameter of the respect halide ions. The nearest neighbordistance of a compact (3x2) structure corresponds to 0.383 nm while the van der Waalsdiameter of bromide and chloride are reported to lie in the range of 0.39 nm and 0.36 nmrespectively [14]. Thus, the (3x2) bromide structure corresponds to saturation coveragebased on a close packed layer while in the case of chloride an increase in the coverage andcompression beyond the (3x2) structure is possible and this results in either reconstructionof the (110) terrace or perhaps a faceting transition to a (n 10) orientation. Further work isnecessary to clarify this issue.Surfactant-Assisted Epitaxial Growth: During the last decade, surface science studies ofmetal on metal homoepitaxial and heteroepitaxial deposition have begun examining theinfluence of "surfactants" on the mode of film growth. Roughness evolution is oftencorrelated to the relative rate of inter- versus intralyer surface transport. The barrier tointerlayer transport, the Ehrlich-Schwoebel or step edge barrier, is known to be sensitive toadsorbates and step structure, e.g. kink density [34]. In the case of electrodeposition thesurfactant coverage and structure may be easily manipulated by potential control. Forexample, as noted earlier, the (qI2 x'/2)R45° chloride adlayer that forms on Cu(100) acts atemplate guiding step flow in the <100> direction [17-22). Significant step bunching is also

Electrochemical Society Proceedings Volume 99-9 43

Page 54: _sWni7aGN

apparent in this system. However, modulating the potential through the order-disordertransition leads to significant rearrangement of the surface as the kink-saturated metal stepare repetitively organized and destabilized as indicated in Fig. 6 [18-221. Thus, cycling thepotential leads to oscillation in the kink density and the step edge barrier height.Furthermore, previous work has indicated that the terrace adatom population also cycleswith the step faceting transition [19, 20]. In order to assess the efficacy of utilizing thesetransitions to alter roughness evolution during film growth, a manipulated growth schemehas been implemented whereby the electrode potential is modulated to reversibly order anddisorder the halide adlayer in the presence of a copper deposition flux. Our preliminaryexperiments involved examining the roughness of a variety electrodeposited copper filmsgrown on (100)-oriented copper seed-layers on Si(100) [35]. The films were grown undertransport control from an electrolyte containing 0,1 M HCIO 4, 0.001 M CuC10 4 and 10 x10-6M KC1. Copper films, 500 nm thick, were deposited under potentiostatic control in aregime where either the ordered or disordered halide adlayer phase exists on the surface. Inboth cases the resulting films were optically rough. In contrast, when the potential wasmodulated at 2 Hz between the two potential regimes a near specular film was obtained asshown in Fig. 11. Although these initial results were obtained for very slow growth rates,it is likely that some of the underlying phenomena described may already have manifestedthemselves in certain pulse plating operations.The Role of Halide in Additive Plating: It is well known that small amounts of organicadditives in copper sulfate baths have a significant effect on deposit characteristics such asbrightness, grain size, hardness, ductility, conductivity and internal stress. Organiccompounds containing sulfur, nitrogen or oxygen functional groups are known to brightencopper deposits, however it is generally noted that chloride additions - 10-2 - 10-4 mol/Lare necessary in order to obtain bright deposits with good mechanical properties [36, 37].At potentials typically associated with copper deposition, ordered halide adlayers formspontaneously and segregate or float on the surface during film growth. It is reasonable tosurmise that the strong electrosorption of halide limits the incorporation of the organicspecies which otherwise are known to lead to marked deterioration of the mechanicalproperties [37]. In the case of additive plating baths, e.g. polyether-sulfide-chlorideelectrolytes, the deposition rate is significantly inhibited relative to deposition from a simpleacid copper sulfate electrolyte [36]. The inhibition is clearly due to some interactionbetween the halide overlayer and the organic molecules. Interestingly, STM studies of theadsorption of organic molecules on a gold electrode indicate that the formation of well-ordered organic monolayer films is mediated by an adsorbed iodide layer [38-41].Physically this was attributed to a change in the hydrophilicity of the surface which favorsthe adsorption of hydrophobic species Additional work revealed that the geometry of thehalide layer also exerts a significant influence on the packing of the molecules [41]. Thus, itis anticipated that the ordered chloride adlayers formed on immersed copper surfacesfacilitate the formation of a well ordered organic layer which inhibits copper deposition.The blocking nature of this organic overlayer may be subsequently disrupted at morenegative potentials where the halide layer becomes mobile due to an order-disorder or someother phase transition. Favorable evidence for such a sharp transition is provided by astudy of the effect of chloride and polyethylene glycol (PEG) on the polarization of copper[36]. A critical transition in the polarization curve was observed at negative potentials. Thecritical potential exhibited a -70 mV/decade dependence on chloride concentration. This isclose to the -61 mV/dec dependence shown in Fig. 8 and ascribed to the Esin-Markoveffect. Furthermore, the sharp transition in the polarization curve is consistent with thecritical nature of the order-disorder adsorption phenomenon observed for Cu(100). Futurework will address this issue in more detail.

44 Electrochemical Society Proceedings Volume 99-9

Page 55: _sWni7aGN

CONCLUSIONS

A variety of ordered halide adlayers are observed on the three low index crystal faces ofcopper: ('12 x '12)R45 CI/Cu(100), ('12 x '12)R45Br/Cu(100), (3x2)Br/Cu(1 10), c(p x",3R30)CI/Cu(1 11). These layers exert a strong influence on step orientation and dynamics.At small overpotentials for copper deposition the ordered halide adlayers float on thesurface guiding metal deposition. At more negative potentials the adlayers undergo a varietyof structural transitions associated with progressive desorption of halide. Order-disordertransitions which accompany the desorption reaction lead to significant rearrangement ofthe mesocopic structure of the surface. These potential dependent transitions may be usedto influence roughness evolution during film growth and in fact may be at least partlyresponsible for some of the effects previously reported from pulse plating experiments.Finally, it is likely that the potential driven structural transitions in the halide layers areintimately associated with the inhibition and breakdown effects associated with organicmolecules used in additive plating.

REFERENCES

1. J. Lecoeur and J.P. Bellier, Electrochimica Acta, 30, 1027 (1985).2. H. Hennig and V.V. Batrakov, Elektrokhimiya 15, 1833 (1979).3. M.L. Foresti, G. Pezzatini and M. Innocenti, J. Electroanal.Chem, 434, 191 (1997).4. W. Schmickler, in Structure of Electrified Interfaces, ed. J. Lipkowski and P.N. Ross,

VCH Publishers, N.Y., N.Y. (1993).5. K. Giessen, F. Hage, J. Himpsel, J.H. Riess and W. Steinmann, Phys. Rev.Lett., 55,

300 (1985).6. P.O. Gartland, S. Berge, B.J. Lagsvold, Phys. Rev Lett., 28, 738 (1972)7. G.A. Hass, R.E. Thomas, J. Apple. Phys., 42, 86 (1977).8. C.B. Ehlers, I. Villegas and J.L. Stickney, J. Electroanal. Chem., 284, 403 (1990).9. I. Villegas, C.B. Ehlers and J.L. Stickney, J. Electrochem. Soc., 137, 3143 (1990).10. J.L. Stickney, I. Villegas and C.B. Ehlers, J.Am. Chem.Soc., 137, 6474 (1989).11. J.L. Stickney, C.B. Ehlers, and B.W. Gregory, Langmuir, 4, 1368 (1988).12. K. Motai, T. Hashizume, H. Lu, D. Jeon, T. Sakurai and H. Pickering, Appl. Surf.

Sci., 67, 246 (1993)13. P.J. Goddard and R.M. Lambert, Surf. Sci., 67, 180 (1977).14. R.G. Jones and M. Kadodwala, Surf. Sci., 370, L219 (1997).15. K. Bange, R. Dohl, D.E. Grider and J.K. Sass, Vacuum, 33, 757 (1983).16. D.W. Suggs and A.J. Bard, J. Amer. Chem. Soc., 116, 10725 (1994).17. D.W. Suggs and A.J. Bard, J. Phys. Chem., 99, 8351 (1995).18. T.P. Moffat, in Nanostructured Materials in Electrochemistry, eds. P. Searson and

J. Meyer, PV 95-8, p. 225-237, The Electrochemical Society, Inc., Pennington, NJ(1995).

19. T.P. Moffat, Materials Research Society Symposium V404, pg. 3, Pittsburgh, PA(1996).

20. T.P. Moffat, Materials Research Society Symposium V451, pg. 75, Pittsburgh, PA(1997).

21. M.R. Vogt, F. Moller, C.M. Schilz, O.M. Magnussen and R.J. Behm, Surf. Sci.,367, L33, (1996).

22. M.R. Vogt, A. Lachenwitzer, OM. Magnussen and R.J. Behm, Surf. Sci.,399, 49, (1998).

23. J. Inukai, Y. Osawa and K. Itaya, J.Phys. Chem. B., 102, 10034 (1998).24. M. Krufts, B. Wohlmann, C. Stuhlmann and K. Wandelt, Surf. Sci., 377-379 (1997).

Electrochemical Society Proceedings Volume 99-9 45

Page 56: _sWni7aGN

25. E.D. Williams, Surf. Sci., 299/300, 502 (1994)26. M. Giesen, Surf. Sci., 370, 55 (1997).27. M. Giesen-Seibert, F. Schmitz, R. Jentjens, and H. Ibach, Surf. Sci., 329, 47 (1995).28. M. Giesen, M. Dietterle, D. Stapel, H. Ibach and D. Kolb, Surf. Sci., 384, 168

(1997).29. M. Wilms, P. Broekmann, M. Kruft, Z. Park, C. Stuhlmann and K. Wandelt, Surf.

Sci., 402, 83 (1998)..30. W. Li and R.J. Nichols, J. Electroanal. Chem., 456, 153 (1998).31. M. Wilms, P. Broekmann, C. Stuhlmann, K. Wandelt, Surf. Sci., 416, 121 (1998).32. X. Gao and M. Weaver, J.Phys. Chem., 97, 8685 (1993).33. C.Y. Nakakura, V.M. Phanse and E.I. Altman, Surf. Sci., 370, L149 (1997).34. Z. Zhang and M.G. Lagally, Science, 276, 377 (1997).35. M. Shima, L. Salamanca-Riba, T.P. Moffat, R.D. McMichael and

L.J. Swartzendruber, J.Appl.Phys., 84, 1504 (1998).36. M.R.H. Hill and G.T. Rogers, J. Electroanal. Chem., 86, 179 (1978).37. D. Anderson, R. Haak, C. Ogden, D. Tench, and J. White, J. Apple. Electrochem., 15,

631 (1985).38. M. Kunitake, N. Batina and K. Itaya, Langmuir, 11, 2337 (1995).39. N. Batina, M. Kunitake and K. Itaya, J. Electroanal. Chem., 405, 245 (1996).40. K. Ogaki, N. Batina, M. Kunitake and K. Itaya, J.Phys. Chem., 100, 7185 (1996).41. K. Sashikata, T. Sugata, M. Sugimasa and K. Itaya, Langmuir, 14, 2896 (1998).

Table 1

Published pzc and Work Function Data

Face pzc [1, 2, 3] D [4-7](SCE) (eV)

(111) -0.451 -0.572 -0.63 4.635 4.946 4.987

(100) -0.81 -0.7 -0.8 4.45 4.59 4.83(110) -0.87 -0.93±0.01 4.40 4.48 4.45

46 Electrochemical Society Proceedings Volume 99-9

Page 57: _sWni7aGN

Table 2

Chlorine Strutures and Coverages: Ideal and Experimental [8-24]

Surface Cu atoms/cm 2 Cl Structure CI coverage Charge (MEQ)* dnn

(z=1) mC/cm2 (nm)

(100) 1.53 x 1015 (42 x 42)R450 0.5 0.123 0.362

(111) 1.77 x 1015 (,3 x 43)R300 0.33 0.094 0.450(123 x 12 /3)R300 0.39 0.111 0.409(9M3 x943)R300 0.42 0.119 0.398(4q7 x 447)R19.20 0.44 0.125 0.393(643 x 6/3)R300 0.45 0.128 0.386c(p x /3R-30°) 3.0 > p >2.5

(110) 1.08 x 1015 c(2x2) 0.5 0.087 0.511(3 x 2) 0.66 0.114 0.383

* MEQ - monolayer equivalent charge

Halogen van der Waals diameter [ 14]

Cl 0.36 nmBr 0.39nmI 0.44 nm

150 . . . . . . . . . . .

100 Cu(lOO) iS . ... . cu(11I)

5 0 ...... ........ /.

o-5010-150 •

0 -200M HO, 0+001 M Cl0 100 mVIs

-250 . . . . . .-1 -0.8 -0.6 -0.4 -0.2 0 -

Potential V(SCE)

Fig. 1. Voltammetry for the three low index Fig. 2. STM image of c(p x43)R300copper surfaces in the presence of chloride. chloride adlayer on Cu(1 11) at 0.25 V.

(6.7 nm x 6.7 nm)

Electrochemical Society Proceedings Volume 99-9 47

Page 58: _sWni7aGN

Fig. 3. STM image showing the electro-compression of the c(pxxi3)R30o adlayer on 200Cu(l 11). As the potential is swept in thepositive direction from yI = -0.441 toY2 = -0.298 V compression of the adlayer E 100is appearent. (6.9 nm x 6.9 nm)0 / 7. ..

Ca) toM/

a 10

-20 / ,., -- - - -o.oiMHCSO,+/ •" .... 0.01 M H,SO,

-300 I I

-1 -0.8 -0,6 -0.4 -0.2 0Potential V(SCE)

Fig. 4. Influence of anions on thevoltammetry of Cu( 111) in perchloric acid.

Fig. 5. STM image (12.5 nm x 12.5 nm) of(,2 xq2)R450 chloride adlayer on Cu(100)

48 Electrochemical Society Proceedings Volume 99-9

Page 59: _sWni7aGN

Fig. 6. A.) Formation of the 42 x4/2)R45o chloride structures at -0.096 V leads to rapidstep faceting in the <100> direction. B.) When the potential is shifted to more negativevalues, -0.649 V, an order-disorder transition occurs and the kink saturated metal stepsmove rapidly to <110> direction in order to minimize the kink density. C., D.) the •I2x412)R45° chloride structure forms and the mesocopic structure coarsens rapidly when thepotential is stepped back to more positive potentials, -0.096 V. (39 nm x 39 nm).

Electrochemical Society Proceedings Volume 99-9 49

Page 60: _sWni7aGN

30 -0.24O u(100) ......... "~I M HM, ý I&eHC0) + OKI0.01 ... HcIO ÷ ,,to0. K•¶ 20 lOmWS - IM•aO*,=0~1U0 .- 0.26 20mV/P

10

....... -0.26

......... i -0.3

L -20 -0.32

-30 f ..... '..... I .... ..... '. .... ..... -0.34-0.6 -0.7 -0.8 -0.5 -0.4 -0.3 -0.2 -0.1 0 101 10"

Potential V(SCE) Chloride Concentration (tnoolL)

Fig. 7. Influence of anions on the Fig. 8. The dependence of the peak potentialvoltammetry of Cu(100). of the faceting transition on chloride

concentration. Assuming the transitionoccurs at a fixed charge, the slope-0.061 V/dec is proportional to the Esin-Markov coefficient.

A B

Fig. 9. STM image revealing the faceting transition between (110) terraces and a (nlO) likestructure as the potential is swept between A.) -0.395 V and B.) -0.194 V. (74 nm x 74nm)

50 Electrochemical Society Proceedings Volume 99-9

Page 61: _sWni7aGN

0 . . . . . .. . . . . . . .

0.01 M HClO + 0.001 M KB,0.02 100 nV/o

S-0,02

U -0.04 C(2)) 70,disorderedOr adlayer I c(p x2) . (3x2)

-0.06 - ... . . . , . .. . . I

-0.8 -0.7 -0.6 -0.5 -0.4 -0.3 -0.2 -0.1Potenlial V(SCE)

Fig. 10. Voltammetry of bromide adsorption on Cu( 110). Thevoltammogram is featureless in the absence of halide.

at -0.1 ML/s from 0.1 M HC10 4 + 0.001 M Cu(C10 4)2 + 0.00001 KCI.

Electrochemnical Society Proceedings Volurme 99-9 5

Page 62: _sWni7aGN

A MODEL OF SUPERFILLING IN DAMASCENE ELECTROPLATING

H. Deligiannia, J. 0. Dukovica, P. C. Andricacosaand E. G. Waltonb,

aIBM, T.J. Watson Research CenterP. 0. Box 218, Yorktown Heights, N.Y 10598

bIBM Microelectronics, 1000 River Road, Essex Junction, Burlington, VT 05452

ABSTRACT

We describe modeling results of shape evolution of single Damascene features used inelectroplating of on-chip interconnects. The model that predicts superfilling was firstdescribed in reference (1). The rate of copper electrodeposition contains an expressionwhich gives the level of copper inhibition based on the additive diffusion, adsorption andreaction to the surface. A comparison of experimental partial fill with the model, resultsin extraction of values for two parameters used in the inhibition factor. The parametershave been further used to predict shape evolution profiles in lines with 0.2 micron widthand aspect ratio 2 and 5 and in vias with aspect ratios of 4. In vias with aspect ratio of 4,the copper is being depleted to 85% from its original bulk concentration resulting invoiding. Ways to eliminate voiding in high-aspect ratio vias are discussed. The model alsopredicts the local additive flux along the feature wall at each timestep.

INTRODUCTION

Electroplating in Damascene structures has been used at IBM to produce on-chipcopper interconnects (2). Additives, compounds added to the plating solution to improvedeposit properties, induce a behavior we call "superfilling" in which deposition rates arehigher at bottoms and sidewalls of trenches and vias than at shoulders. A more specificdefinition of superfilling can be derived from Figure 1 which shows the predicted shapeevolution of electroplated copper within a trench. Superfilling can be understood bycomparing deposition rates at different points along the feature profile, as shown in Figure1. If we consider point A on the feature sidewall at distance about one fifth from thefeature top surface and point B at a distance on the sidewall two fifths from the bottomwall, then the plating rate difference between B and A is defined as superfilling. At eachtimestep, the higher the difference in thickness between these two point, the better thetendency of the plating solution to superfill Damascene structures. This point is explainedqualitatively in reference (3).

Our model has the quantitative capability to predict the superfilling behavior and alsothe capability to predict conditions for which superfilling breaks down and voiding occursfor both trenches and vias. The essence of the model lies in the assumption that the rateconstant for electrodeposition, t., is higher at point B than at point A due to differentialinhibition. The surface concentration of adsorbate species varies along the feature becauseit is influenced by the diffusive transport of the additive/inhibitor. Diffusion is sustainedbecause the additive is consumed at the surface by reaction or incorporation into thedeposit. It is assumed that the kinetic inhibition is a function of the additive flux and so the

52 Electrochemical Society Proceedings Volume 99-9

Page 63: _sWni7aGN

rate constant of electrodeposition is multiplied by an inhibition factor V/, which variesmonotonically with the addtive flux Cj' .

We describe below the basic equations of the model and simulation results in bothtrenches and vias.

MATHEMATICAL MODEL

The following system of equations was solved. All equations are in dimensionless

form:

V*72 I, = 0 potential in the electrolyte [1]

V*2 CM = 0 cupric ion concentration [2]

V 2 CA* = 0 additive concentration [3]

At the wafer surface we have the following boundary conditions:

Cjt =0 [4]

C*=ShD* [5]

(*'= kvIC'Y-'ace"a copper electrodeposition rate [6]

1

where V/ = I inhibition function [7]1l+bCj'A

, (DACA 'V S fb =Ktevy, L .) [8]

C -DCA =nFDMCM [9]L

Parameter b is a function of the physical properties of the additive and of the inhibitionconstant Kiev. The exponent p was introduced arbitrarily to widen the range of fluxesover which inhibition occurs and obtain rounding of interior comers. It was determinednecessary to have an exponent less than one to obtain rounded comers of the depositedcopper profiles.

Electrochemical Society Proceedings Volume 99-9 53

Page 64: _sWni7aGN

We will discuss results of a numerical model that represent the shape-evolutionbehavior of the system. Deposit profiles within high aspect-ratio lines and vias arepresented. The model predicts a different filling behavior in lines than in vias. The localadditive flux along the feature sidewall, as well as the inhibition function, give usefulinsight into the mechanism of superfilling.

RESULTS

Figure 2 on the left shows an experimental result of copper plating in a singleDamascene line using proprietary additives. The line width is 1.62 um and the trenchheight is 1.54 pm. Deposition was interrupted before complete filling was achieved toassess the shape of deposited copper. Figure 2 on the right shows the comparison of theexperimental profile (dashed line) with the shape of copper predicted by the model (solidline). The match between experiment and simulation is very good when the values fora,

b=3.16 and p=0.25 where used in the inhibition function. The parameters WaT, Sh, y + -nwere determined from process conditions or were taken from the literature.

The effect of aspect ratio on superfilling and shape of the deposited copper is shownin Figure 3. On the right, the profile evolution in a 0.2 pm trench with aspect ratio of 2(i.e. the insulator thickness is 0.4 pm) is shown. Figure 3 on the left shows the depositedcopper profile in a 0.2 pm trench but with aspect ratio of 5. The parameters used forthese simulations are b=17.8 and p=0.25. Both trenches fill well without voids or seams.However, the line with AR of 5 fills up more abruptly than the line with AR of 2 whichfills up more sequentially. There is always a timestep in the high aspect ratio trench afterwhich, the line fills from the bottom up. Also, as expected, the shape evolving in the ARof 2 line is more rounded than the shape of copper deposited in the AR of 5 line.

Figure 4 demonstrates the difference in the shape of the deposited copper whendifferent values are chosen for b. On the left hand side, a value of b-56 was chosen whichgives a high degree of superfilling and very rounded profiles. On the right hand side, avalue of b-3 was used. These values of b and p generate rectangular comers and amicrotrench at the centerline of the feature because of the rapid growth of the sidewalls.

Filling of vias is a lot more difficult than filling of trenches. The main reason relies inthe restricted nature of the via geometry and or of the shape of the evolving profile thatpromotes depletion of the cupric ion in solution and generates an appreciableconcentration overpotential. It is because of the concentration overpotential due to thedepletion of the cupric ion that Figure 5a shows a void in the copper deposit which islocated at the lower 1/4 of the via centerline. The void appears because the cupric ionconcentration is severely depleted to 85% of its original bulk value. The depletion ofcupric ion primarily occurs in the location of the void. Figure 5a shows a via with AR of 4and width of 0.2 pm. One way to obtain good fill of these type of vias, is to relieve theconcentration overpotential by increasing the bulk concentration of cupric ion or byincreasing the overall agitation to thin down the diffusion layer thickness or by decreasingthe superficial current density. Figure 5b shows that good fill can be obtained when thebulk concentration of cupric ion is increased fourfold. Figure 5c shows that by choosing a

54 Electrochemical Society Proceedings Volume 99-9

Page 65: _sWni7aGN

different bath chemistry with higher b parameter, i.e. b=17.8 and p=0.25, a 0.2/um x 0.8,um via can be filled reliably without a void or a seam.

A plot of the inhibition function, / ,as a function of the local additive flux ascalculated by the simulation is presented in Figure 6. This function has the value of 1when there is no inhibition in the copper electrodeposition rate and is typically between 0and 1 when differential inhibition results in superfilling. The inhibition function reachesasymptotically a constant value when the inhibitor has reached saturation. For b=10 andp--0.5, there is a few orders of magnitude of additive flux for which the inhibition functionis 1 and only a short range of useful fluxes for which the inhibition function is between 0and 1. For b=3.16 and p=0.25 there is a wider range of useful additive fluxes, and as bincreases to higher values the useful range of fluxes becomes several orders of magnitude.For example, for b=56.2 and p--0.25, the inhibition function curve has several orders ofmagnitude of useful fluxes at which inhibition of the copper electrodeposition reaction canoccur. A bath with these characteristics shows promise to fill high-aspect ratio trenchesand vias. It is this type of differential inhibition over a wide range of fluxes that results insuperfilling.

Figure 7 depicts the additive flux along a trench with dimensions 1.62pmxl.54pum fordifferent timesteps as the feature plates up with copper. The lowest additive flux occurs atthe lowest corner of the feature while the maximum flux at the upper corners of thetrench. Position of 0 denotes the center point of the trench bottom wall. Figure 8 showsthe same type of additive flux as a function of position but for a simulated via withdimensions 0.2pimx0.8pum. It appears that the fluxes at the bottom sidewalk of this highaspect ratio via are too small to be resolved. This in turn, will lead to copperelectrodeposition taking place without the effect of inhibition and will also lead to copperdeposits with rectangular shape (without corner rounding) as has been observed in the viasimulated profiles.

CONCLUSIONS

Results of a detailed mathematical model that predicts superfilling have beenpresented. Differential inhibition of electrodeposition along the sidewall of a Damascenefeature, a phenomenon we call superfilling, can be accomplished by plating from certainadditive-containing plating solutions. In high-aspect ratio trenches, simulated profilesyield a range of shapes from rectangular corners to rounded corners and from bottomfill-up to sidewall thickening depending upon the values of two model parameters. Inhigh-aspect ratio vias, severe depletion of the cupric ion is predicted by the simulationwhich gives rise to void formation toward the lower 1/ of the feature centerline.Formation of seams or voids occurs because of the interplay between shape evolution andcupric ion depletion in deposit profiles where sidewall growth is favored. Plating bathsthat exhibit superfilling are baths that during deposition, a wide, dynamic range of fluxesexists over which differential inhibition of copper deposition occurs.

Electrochemical Society Proceedings Volume 99-9 55

Page 66: _sWni7aGN

REFERENCES

1. P.C. Andricacos, C. Uzoh, J.O. Dukovic, J. Horkans, and H. Deligianni, IBM J. Res.Develop., 42, 567, 1998.

2. D. Edelstein, I. Heidenreich, R. Goldblatt, W. Cote, C. Uzoh, N. Lustig, P. Roper, T.McDevitt, W. Motsiff, A. Simon, J. Dukovic, R. Wachnik, H. Rathore, R. Schulz, L.Su, S. Luce, and J. Slattery, Technical Digest, IEEE International Electron DevicesMeeting, 773, 1997.

3. P.C. Andricacos, C. Uzoh, J.O. Dukovic, I. Horkans, and H. Deligianni, Proceedingsof the Advanced Metallization Conference (AMC 1998), G.S. Sandhu, H. Koerner,M. Murakami, Y. Yasuda, and N. Kobayashi, eds., Materials Research Society, 29,1998.

56 Electrochemical Society Proceedings Volume 99-9

Page 67: _sWni7aGN

Figure 1. Definition of superfilling based on rate of copper electrodeposition along the

feature sidewall.

II

- I O.S 0 O.SI

Figure 2. Comparison of line partially deposited with copper with model prediction.

Solid line is predicted copper profile and dashed line is experimental copper profile:b =3.16 and p=0.25 were used in the model.

Electrochemical Society Proceedings Volume 99-9 57

Page 68: _sWni7aGN

6

5-

4-

3-

33

2-2-

0 0r "'' -

-1.5 -1 .0.5 0 0.5 I 1.5-1.5 -1 .0.5 0 0.5 1 1.5Figure 3. Effect of aspect ratio on superfilling of a 0.2 micron trench. Left AR of 5, rightAR of 2, b=17.8, p=0.256 6

5-- Z"O -w 5-

4- 4-

3- 3

2- 2

-1.5 -I -0.5 0 0.5 I 1.5-I.5 -1 -0.5 0 0.5 ! 1.5

Figure 4. Effect of superfilling parameters in shape evolution. Left b=56.2, p=0.25, rightb=3.16, p=0.25.

58 Electrochemical Society Proceedings Volume 99-9

Page 69: _sWni7aGN

1.2-

Improvement in

"• 0.8-o.4.S0.6-

04 * 0.13x1.04 b=3.16, p= .25

S00.13xM.04 b=1O, p=0.5

S0.2x0.8 via b-3.16, p=0.25

0.2 * 0.2x0.8 via b=17.78, p=0.2 5

• 0.2xi.O b=3.16, p=0.25"i* 0.2x1.0 b=17.8, p=0.25"- 0.2xl.O b=56.2, p=0.25

IE-12 1E-10 1E-8 IE-6 0.0001 0.01

additive flux dimensionless

Figure 6. Inhibition function versus additive flux for different values of thesuperfilling parameters.

Electrochemical Society Proceedings Volume 99-9 59

Page 70: _sWni7aGN

1-

0.01-

S0 .0 0 1*

0o0001

1E-5 -

-2 -1 0 1 2

position along feature

Figure 7. Additive flux as a function of position in a line 1.62 micron x 1.54 micron

IS thi time stepý,

S20th 40th

0.01 -

1. E-61

IE-10'

-3 -2 -1 0 1 2 3

position along feature

Figure 8. Additive flux as a function of position in a via 0.2 micron x 0.8 micron.

60 Electrochemical Society Proceedings Volume 99-9

Page 71: _sWni7aGN

A MASS TRANSFER MODEL FOR THE PULSE PLATING OFCOPPER INTO HIGH ASPECT RATIO SUB-0.25pM TRENCHES

Desikan Varadarajan+, Charles Y. Lee++, David J. Duquette++ and William N. Gill+Center for Integrated Electronics and Electronics Manufacturing, Rensselaer Polytechnic

Institute, Troy, NY 12180-3590+ Department of Chemical Engineering, + Department of Materials Science and

Engineering, Rensselaer Polytechnic Institute, Troy, NY 12180-3590

ABSTRACTA mass transfer model has been developed for the pulse plating of copper into high

aspect ratio sub-0.25 micron trenches and vias. Surface and concentration overpotentialscoupled with the shape change due to the deposition on the sidewalls and the bottom ofthe trench/via with time have been explicitly accounted for in the model. Importantparameters have been identified and their physical significance described. The resultingmodel equations have been solved numerically as a coupled non-linear free boundaryproblem. A complete parametric analysis has been performed to study the effect of theimportant parameters on the step coverage and deposition rate. In addition, a linearanalytical model has also been developed to obtain key physical trends in the system.

From the parametric analysis three regimes of operation have been identified, viz., thesteady state regime which is obtained when large pulse periods are used, the unsteadystate regime when small pulse periods are used and a transition regime between the twofor intermediate values of the pulse period. It has also been found that using small pulseperiods gives better filling characteristics inside the trench. The duty cycle is animportant parameter in pulse plating. Using a small duty cycle and current density alongwith small pulse periods gives the best step coverage. The step coverage is also better forsmaller aspect ratios. Experiments for the pulse plating of copper into trenches have beenperformed using a new alkaline bath. The alkaline bath is non-corrosive and does notcontain any additives. The model trends have been used to design the experiments. Modeltrends are found to be in excellent agreement with our experimental observations.

INTRODUCTIONThe current trend in semiconductor technology toward smaller device features has led

to the narrowing of integrated circuit line width. Increases in chip functionality and chipperformance have led to the need for multilevel interconnects. In order to build multilevelinterconnects filling high aspect ratio holes in dielectric reliably is critical. Even though itis possible to create micron and sub-micron size features using current photolithographytechnology, voidless filling of such features still presents a difficult problem in chipprocessing.

Copper is rapidly emerging as the interconnect metal of choice for the next generationof sub-0.25gm devices. It has superior mechanical properties, lower resistivity and higherelectromigration resistance when compared to aluminum. Electrochemical deposition(electroless/electroplating) of copper is a versatile, inexpensive and reliable way of filling

Electrochemnical Society Proceedings Volume 99-9 61

Page 72: _sWni7aGN

such high aspect ratio features. Electrochemical deposition of copper has come to be oneof the most important steps in the metallization schemes using the dual damascenetechnique. In pulse plating the substrate on which metal is to be deposited is the cathode.A rectangular, sinusoidal or triangular current waveform may be applied. Of these, therectangular waveform is the most popular. The pulse plating of copper into damascenetrenches using rectangular rectification is investigated in this paper.

THEORYFigure 1 shows a schematic cross section of a typical plating tool along with an

enlarged view of a feature inside the wafer. The following assumptions are made in orderto simplify the problem,1. Convective effects in the reactor space external to the feature are included by

making use of the film theory. The effects of the bath hydrodynamics external tothe wafer are included by assuming a thin concentration boundary layer adjacentto the wafer.

2. A well-supported electrolyte is assumed. Hence the contribution of migration totransport is small and a solution of the potential field is not necessary.

3. A rectangular waveform with a period during which current is passed anddeposition occurs (ON) and a period during which no current is passed and purediffusion occurs (OFF).

4. Ohmic influences are assumed to be less important than the concentration andactivation overpotentials.

It is assumed that a single cathodic deposition reaction occurs and that the current densitynormal to the cathode surface is described by the concentration dependent Tafel equation,

i = -io exp(- CF [1

The resulting two-dimensional free boundary mass transfer problem' requires acomplicated and time-consuming numerical strategy. In order simplify the problemfurther and obtain important trends, without much loss in generality or accuracy thefollowing assumptions are made,

1. The aspect ratio of the feature is assumed to be large compared to its width.

2. Since the dimensions are sub-0.251im and the aspect ratio is assumed to be large,the concentration variations across the width of the feature are small. Thereforethe concentration in the x-direction, across the feature width, can be representedby the average concentration, ca., which is defined in eqn. [2],

,4y)

Jc(x,y,t)dx [21

=_ 0 G

0 w(y)

62 Electrochemical Society Proceedings Volume 99-9

Page 73: _sWni7aGN

Making use of eqn.12] in the two dimensional formulation and defining the followingdimensionless variables and parameters we get for the ON period,

t _ y_ . , w hY' C- w' c® W, wh [3]

tp WO C., WO WO)

2 2 2W2(

I [4] x -f kwDtP 2nFDc ,, RT ) 2D tp [4]

Mc, w0

The model equations become,

S t, = - ,[51

c,(y,,O)=1.0 inO [6]

ac. (0, , =-Sh(l.0 - c,) 0 < x, < w, [71

ay

aCy( h , t = _ ( ¢ ) + 0 --< X , -- W j [8 1

The growth of the deposit is given by,

dT-- = I (c,)-*". [9]dt1 rz

t1=0 S)=0 [10]

For the OFF period,

____=___c [11]att ayl

c, =c,(y,,DF) inO [12]

a 6-x, _< w, [13]

ay I______ [141

There is no growth of the deposit during the OFF period. The physical significance of thedimensionless parameters is described in Table 1. An analytical model has been derived

Electrochemical Society Proceedings Volume 99-9 63

Page 74: _sWni7aGN

by neglecting the effect of the free boundary, assuming pseudo steady state and thatav+_- =1.0. These assumptions make the problem linear and enable one to obtain ann

analytical solution, in terms of hyperbolic sines and cosines, that provides more physicalinsight.

EXPERIMENTAL PROCEDUREPlating experiments were performed on sections of both blanket and patterned

wafers. The wafers were p-type device quality wafers subjected to wet oxidation at1050°C for 1.25 hours to develop 7jim of oxide. A copper seed layer was sputtered at abase pressure of 10.7 Torr and an argon pressure of 5mTorr. The sputtered layers were30nm thick and exhibited a resistivity of 2.1 td)cm. The patterned wafers had a 0.5 jimminimum feature size with a 2:1 aspect ratio.

The electroplating experiments were performed with a DynatronixTM micropulse-reversing unit. The wafer sections were clipped onto the rotating disk electrode andplated in a solution containing a copper-phosphorus anode. The plating bath compositionselected was 0.08M CuSO4 5H20, 0.15M (NIh)2SO 4, and 0.2M NH 3. Plating in only theforward direction was performed at various pulse cycles ranging from 10 to 1000 Hz atan applied bias of -750 mV vs. SCE. Plating was also conducted using both forward andreverse pulses, for which a reverse potential of -100 mV vs. SCE was established.

EXPERIMENTAL RESULTSPulse and Pulse reverse plating experiments have been performed on 0.Splm, 2:1

aspect ratio features. The resistivity of the copper deposits was measured using a four-point probe. Pre- and post-anneal measurements were taken. The resistivity values of thedeposits before annealing ranged from 2.2 to 2.5 Rit) cm, as shown in Fig.3. A 5%reduction in resistivity was observed upon annealing.

RESULTS AND DISCUSSIONFigure 4 shows the step coverage and the deposition rate obtained from the analytical

model plotted as a function of the parameter, 4, the polarization parameter. Aspolarization increases the step coverage decreases however the deposition rate increases.An increase in the polarization indicates that the deposition rate on both the sidewalls andthe base of the trench has increased more quickly than the rate of mass transfer. Thisleads to a steep concentration gradient inside the trench, which in turn leads to largergrowth rates at the trench mouth than at the base resulting in poor step coverage.Polarization increases with increasing current density and since the growth rate is directlyproportional to the current density the growth rate increases with increasing polarization.

This suggests that there is a trade off in obtaining high deposition rate and stepcoverage and the question is: what is the best set of parameters for the process? Anoptimization of the parameters is required in order to make the process attractive. Theanalytical model overpredicts the step coverage as it does not account for the movementof the boundary. Thus the depositing species encounter increasingly aggressive aspectratios. This leads to an increase in mass transfer resistance as the trench moves toward

64 Electrochemical Society Proceedings Volume 99-9

Page 75: _sWni7aGN

closure. Accordingly to obtain the best step coverage the parameters must be chosen sothat the mass transfer resistance is small compared to the kinetic resistance, and this is theoptimization problem mentioned above.

Figure 5 shows the evolution of the deposit inside a trench to closure. As the filmevolves inside the trench and the profile moves close to closure, the accessibility of thereactants through the mouth is reduced. Accessibility is reduced further as the growth ratenear the trench mouth is greater than that at the bottom, leading to smaller feature widthat the trench mouth. Hence we see that the deposit is nearly conformal at the beginningwhereas at closure a large keyhole forms inside the trench. Therefore proper choice of thepulsing parameters is crucial in order to obtain perfectly conformal deposits even at timesnear closure.

Figure 6 shows the step coverage as a function of deposition rate for varying pulseperiods and duty factors. At high pulse periods (>20ms) the curve is flat and the effect ofpulsing is negligible. However on decreasing the pulse period (-2ms) there is a largeincrease in the step coverage as one decreases the duty factor. On further reducing thepulse period there is an increase in the step coverage; however the increase is not large.This shows that an asymptote is reached with respect to the pulse period near 0.5ms andthe step coverage and deposition rate do not improve with decreasing pulse periods belowthis value. Operation at this pulse period will ensure the filling of small features at theoptimal rate.

Figure 7 shows the comparison of an experimental and simulation depositionprofile. The model results are in good agreement with the experimental observation.

CONCLUSIONSFrom the analysis of the model trends and experimental observations the

following conclusions can be made,An analytical model has been developed based on the assumption of steady state,

linear-kinetics and fixed boundary. The analytical model can be used as a simpleestimation tool for determining the lower bound on the step coverage. Results from theanalytical model clearly show that there is a strong trade off between obtaining good stepcoverage and large deposition rates. Hence a suitable choice of parameters is crucial inobtaining reliable deposits without keyholes.

A complete parametric study of the unsteady state mass transfer model clearlyshows that ti, the pulse period, 4, the polarization, A, the aspect ratio, and DF, the dutyfactor have a profound effect on the evolution and the final shape of the deposit. Largepolarization's and aspect ratios lead to deposition that is mass transfer controlled. Thisresults in keyhole formation, as the concentration gradient inside a high aspect ratiotrench is very large. On the other hand, when the deposition is kinetically controlled (i.e.for small values of polarization and aspect ratio) the gradient down the length of thetrench is much smaller and deposition proceeds at nearly the bulk concentration. Thisleads to conformal deposition, as there is negligible variation in the deposition rate at themouth and at the bottom of the trench.

Small duty factors lead to a small drop in concentration during the ON period. Hencethe deposition can be made to occur at nearly the bulk concentration. Large pulse periods

Electrochemical Society Proceedings Volume 99-9 65

Page 76: _sWni7aGN

(-lOOms) and duty factors along with large current densities lead to the formation of akeyhole in the trench. On the other hand using small pulse periods and duty factors alongwith reasonable current densities give good step coverage and deposition rate leading toconformal filling. Moreover for a given aspect ratio and current density there exists anasymptotic value of the pulse period for which the step coverage is maximum. Byoperating at this pulse period and by choosing the duty factor in such a way so as toobtain reasonable deposition rates filling can be optimized.

Copper pulse plating experiments have been performed using a new alkaline platingbath. The bath is non-corrosive and does not contain any additives. Features of 0.5gtmsize and 2:1 aspect ratio have been plated using this bath. Good step coverage anddeposition rates have been obtained at small pulse periods and duty factors. Theresistivity of the plated copper is close to the single crystal bulk resistivity. The modeltrends are found to be in very good agreements with the experimental observations.

REFERENCES1. A tertiary current distribution model for the pulse plating of high aspect ratio sub-

0.25ýim trenches, Desikan Varadarajan, Charles Y. Lee, David J. Duquette andWilliam N. Gill, Submitted to the Journal of the Electrochemical Society, May 1999.

66 Electrochemical Society Proceedings Volume 99-9

Page 77: _sWni7aGN

Diffusion of Cu2 from the

reactor into the feature

t RW Thin• / ' \ " •'la film • y -

ac h

DV 2¢C=

4 wo

Figure 1. Schematic of plating tool. Also shown is an enlarged view of the system geometry

Pulse Plated Pulse Reverse Plated

~Aj

Figure 2. SEM pictures of pulse plated and pulse reverse plated features. Notice that void size

decreases with decreasing pulse period. No voids are observed for pulse reverse plated specimens.

Electrochemical Society Proceedings Volume 99-9 67

Page 78: _sWni7aGN

Before anneal Matter anneal

2.5

I

S2.0

1.5d.c. 90/10 9/1 0.9/0.1 0.9/10.1 0.5//0.1 1.510.1

Pulse cycle (me)

Fig. 3 Observed resistivity of copper deposits pre and post anneal.Good resistivity values are obtained.

17 , 3.5

0.95- 2.8

2.1S0.9 j

0.85 -0

0.002 0.003 0.004 0.005 0.006 0.007

Polarization parameter, 4

Figure 4 Trade offbetween high step coverage and deposition rate.

68 Electrochemical Society Proceedings Volume 99-9

Page 79: _sWni7aGN

10% closure 100% closure

Figure 5 Evolution of deposit inside a 0.181im, 4:1 aspect ratio trench.Simulation parameters used are, 4=0.01, DF=0.4, T=1.35x1 04

tp

0.5msIms $ Asymptote(maximum step coverage

& .". .and deposition rate)

o0.9 2ms

20M.-

0.80 0.4 0.8 1.2 1.6

Deposition Rate (ptm Im In)

Figure 6 Effect of pulse period and duty factor on step coverage anddeposition rate. Simulation parameters are A=4.0, wo=0.18.tm, 4=0.003.

Better step coverage can be obtained at the same deposition rate (at agiven duty factor) by using smaller pulse periods. An asymptote is

reached, as the pulse period is decrease. For this value of the pulse periodthe step coverage is maximum.

Electrochemical Society Proceedings Volume 99-9 69

Page 80: _sWni7aGN

LII 1.. L k

Figure 7. Comparison of experimental deposit profile tosimulation results. Model results are in good agreement with

experimental results.

Table 1. Physical significance of dimensionless parameters

PO-ftAubOM PivatWnbWhn Denison Rcd SfgVncm-c

A Asec Rai0 rta~petaofta

I Chma tm t fromwk w 0 bulk irto the itnch. Also widud s the

S, 2 D 9ct• !•of the mi pln ar to ttu

2 D ,

4 Dt Ratioohecharticdn9nsi totheS ! i Uin Nitn Rise Mid P (CN+ CFIt-)

SI t

S t Ratio of th~e depositiont (C"N tirn-v to the

O DFy Factor psepedod

aF! ioWo exp - V,OOy RT T

2nFDctIU ItKPolmization to the ienfic reinstam~

Rato of ihe sodid cn•riontmag to the' b•Iok coroaritrtin of the deposititV

70 Mlectroh o Spcies

70 Electrochemnical Society Proceedings Volume 99-9

Page 81: _sWni7aGN

Numerical Simulations of Fluid Flow and Mass Transfer within an ElectrochemicalCopper Deposition Chamber

P.R. McHugh, G.J. Wilson, L. ChenSemitool, Inc.

655 W. Reserve Drive, Kalispell, MT. 59901

Steady-state numerical simulations of fluid flow and cupric ion transportwithin an electrochemical fountain plating system are presented.Specifically, the diffusion-limit is determined directly from the computedflux of cupric ions to the wafer under the assumption of complete surfaceconsumption. This maximum flux, in turn, determines the maximum ioniccurrent that can be passed through the electrolyte to the wafer, which iscalled the limiting current. The goal of the present study is to predictvariations in the limiting current density for different electrolytevolumetric flow rates and wafer (cathode) rotation rates. The efficacy ofdifferent computational models, including one-dimensional, two-dimensional axisymmetric, and three-dimensional approximations, areassessed via comparisons of numerical predictions with experimental data.

INTRODUCTION

Steady-state numerical simulations of fluid flow and cupric ion transport within acommercial electrochemical copper deposition chamber are presented. The platingchamber is bounded by cylindrical vertical walls through which an electrolyte solutionflows upward. The fluid enters the bottom of the chamber near the centerline, below adisk shaped anode situated at the bottom of the chamber. The flow travels around theanode and passes up through a planar diffuser plate, consisting of a discrete asymmetricpattern of circular holes. Above the diffuser plate, the electrolyte impinges upon arotating wafer substrate, which acts as the cathode. The electrolyte exits the chamberover top of the chamber wall, which acts as a weir. Figure 1 shows salient chamberfeatures using a simplified two-dimensional chamber cross section. Electrical contact istypically made at the outer edge of the wafer to a thin copper seed layer.Electrodeposition of copper is typically determined from such quantities as the surfaceoverpotential and the cupric ion concentration at the wafer surface. At lowoverpotentials, the current increases with increases in the overpotential. However, at highoverpotentials the copper plating rate is determined directly from the flux of cupric ionsto the wafer surface. A limiting current can be observed as the cupric ion concentration at

Electrochemical Society Proceedings Volume 99-9 71

Page 82: _sWni7aGN

the wafer surface approaches zero. Limiting current values are strongly dependent uponthe electrolyte bath, the hardware design, and the flow conditions. Although operation atthe limiting current is not desired, it is important to understand how to modify conditionsto yield a desired limiting current value. For example, good within wafer platinguniformity and good feature fill characteristics may require operating at a certain fractionof limiting current.

The two goals of this study are: 1) Predict variations in the limiting currentdensity for different electrolyte volumetric flows and wafer rotation rates using one-dimensional, two-dimensional axisymmetric, and three-dimensional models; and 2)Assess the efficacy of these different computational models via comparisons withexperimental data. The one-dimensional model formulation assumes a uniform potentialflow impinging upon an infinite rotating disk. A one-dimensional advection-diffusionequation is solved for the cupric ion transport. The approximations made in developingthe one-dimensional model are well known (1-4). Axisymmetric two-dimensional andthree-dimensional models were constructed using a commercial computational fluiddynamics (CFD) package developed by CFD Research Corporation (5). Theincompressible Navier-Stokes equations are solved along with a scalar transport equationfor the cupric ion species mass fraction. In two-dimensions, the diffuser plate is assumedto yield a uniform inflow, while the three-dimensional model enables representation ofthe discrete asymmetric hole pattern of the diffuser plate. The model assessmentexperiments use test wafers with different symmetric areas (i.e. circles or rings) exposedto copper plating. A potential sweep technique is used to gather electrical current versuspotential data. Limiting current values are determined from the current plateau, where thecurrent remains constant even when the potential is increased. In simulating theexperiments, the mass transfer limit is imposed by fixing the cupric ion concentration onthe exposed plating surface to zero. In this manner, the diffusion limit is imposed and socalculation of the electric field is avoided.

COMPUTATIONAL MODELS

The electrolyte fluid flow is assumed to be well represented by the incompressibleNavier-Stokes equations (1,6), which in cylindrical coordinates can be expressed as (6),

Continuity:

I du 1 &o 'iv

r. v r 60 a

Momentum:

72 Electrochemical Society Proceedings Volume 99-9

Page 83: _sWni7aGN

"01 V -" --- Pq+ V2U r2 r2 & [2]a r P Lr r2 Ifj

r7 r op r 2 r2 0u

•-+(V-V)w=p- + VV2w [4]

where u, vo,and w are the radial, angular, and axial velocities, respectively. r, and z arethe radial and axial coordinate variables, while 0 represents the angular coordinate. Thepressure and density are given by pandp, while v is the kinematic viscosity. Theconvective operator is given by,

d vo d dV-V= 9 VO U,[5]J r~ ~

and the Laplacian operator is defined as,

V2 1 0 ( 6] 1 2 02r a-6,r-) + _-rIW + -. [6]

An additional time-dependent advection-diffusion equation is used to represent the masstransport of the cupric ions. This equation can be expressed as,

C E + ( V .-V ) Z F = D V c[ 7 ]

where D is the diffusion coefficient and J is the cupric ion mass fraction defined as theratio of the cupric ion concentration to the bulk concentration, c/cb . The mass transferlimit is imposed by fixing the cupric ion concentration at the electrolyte-electrodeinterface to zero. The expression for the diffusion-limited current density at the wafersurface is then given by,

i D = nFD(Vc '-n) c.o=O. [8]

Effects such as flow rate, wafer rotation rate, bath transport properties, and bath Cuconcentration all influence iD. Assumed values for transport properties and importantconstants appearing in Equations [1] through [8] are listed in Table (1). Note that thevalues for c, and v are measured directly from the bath, while the value for D is

Electrochemical Society Proceedings Volume 99-9 73

Page 84: _sWni7aGN

Table 1. Assumed values for model parameters.Model Parameter Name Symbol ValueBulk Cu concentration cb 0. 2868 moles/literWall Cu concentration C0 0. 0 moles/liter

Cu ion diffusivity D 4.73e- 10 m2/secFaraday's constant F 96485 C/equivalent

Electron/ion discharge (valence) n 2Electrolyte kinematic viscosity v 1.4 72e-6 m2/sec

estimated by applying the Levich equation to a series of rotating disk electrodeexperiments that use the same electrolyte bath (7,8). In order to better compare withexperimental limiting current density (iL) data, model estimates of the diffusion-limitedcurrent density (iD) are adjusted to account for the effects of charge migration (8). Theacid plating bath used here requires a correction factor of roughly 1.05 (i.e. iL = 1.05.iD)

(8). The equations defined in this section are applied to simplified representations of acommercial electrochemical copper deposition chamber. Specifically, in all formulationsdiscussed here, only the flow region above the diffuser plate represented in Figure (1) isconsidered. With this simplification, the exit plane of the diffuser plate is the inlet for thecomputational domain.

One-Dimensional Model

A steady-state, one-dimensional model is constructed from Equations [1] through[8] for an infinite rotating disk in a free stream, as proposed by Hannah (2) and Tiffordand Chu (3). The similarity variables used here are,

u = rQcF(O)

v = rOG(4)w = F•-cH(Of [9]

Po=p - Ip(c - 1)2 &r 2 -pVQcP(4)

a ac = 1 + =Rotation parameter

74 Electrochemical Society Proceedings Volume 99-9

Page 85: _sWni7aGN

The rotation parameter, a/l., is a measure of the relative importance of the forced flowcompared to disk rotation. .2 is the rotation rate and a is a constant that defines thepotential solution corresponding to the free stream impinging upon the disk (i.e., u = arand w = -az with the disk centered at r = z = 0). a can be set to U,/d, where U, is acharacteristic velocity related to the volumetric flow and d is a characteristic length. Inthis work, U. was set equal to the imposed volumetric flow rate divided by the cross-sectional area of a 200mm-wafer. The characteristic length, d, was selected from thegeometry of the chamber. Two possible choices were examined: 1) The distance fromthe wafer to the inlet plane (roughly 29mm), and 2) The distance between the wafer andthe top of the outer wall (roughly 9mm). The second choice corresponds to a strongerimpinging flow and generally results in a larger value for the diffusion-limited currentdensity unless wafer rotation effects dominate.

Substitution of the above similarity variables into Equations [1] through [4],yields the following system of ordinary differential equations,

2F+ H' =0

F2 + PH _-.1-G2 - ,F " -(0c--V+-F-H- -• = [10]

2FG + HG'- G" = 0

P + HH' - H" = 0

No-slip boundary conditions apply on the surface of the disk, which require F=H=P=Oand G=I at z=O. The normal velocity at large distances from the disk is not equal to thepotential flow value because of the non-vanishing rotating disk contribution. However,the radial velocity component at large distances from the disk is determined by thepotential solution. This latter requirement means that as 4 -+ oo, u = rf2cF -) ar, orF -- a/(92c) = (c - l)/c. The boundary-value problem defined above is solved for a

specified value of c using a "shooting" method (9) implemented within the MATLABcomputing environment (10). In one-dimension, the diffusion-limited current density iscomputed from,

iD = nFD = nFDCbo [120!I)z = 0 1

where is . is the diffusion layer thickness. The concentration gradient at the wall isobtained by solving a one-dimensional form of Equation [7]. Using the previouslydefined similarity variables and introducing,

Electrochemical Society Proceedings Volume 99-9 75

Page 86: _sWni7aGN

0O -c , t =OCct [13]Cb - Co

Equation (7) can be reduced to the following one-dimensional equation,

dr* -- Sc iV2 [14]

where Sc = v/D is the Schmidt number. In steady state, the diffusion-limited currentdensity can be computed from,

17 -

'D = nFD(? = nFDCb nFDCb C1Jesc di~d~ [15]

Two-Dimensional, Axisymmetric Model

A steady-state, two-dimensional model is constructed from Equations [1] through[7] assuming rotational symmetry. The two-dimensional model equations are solvedusing a commercial computational fluid dynamics (CFD) package called CFD-ACE, aproduct of CFD Research Corporation (5). Second-order accurate discretization isemployed for diffusion terms, while first-order accurate upwind discretization is used foradvection terms. The model geometry, boundary conditions, and computational mesh aredescribed in Figure (2). In the two-dimensional case, the diffuser plate is assumed toyield a uniform inflow profile across the area of the diffuser containing the holes, whichhas a radius of roughly 90mm. The wafer is located 29mm above the inlet plane and theradius of the outer wall is roughly 8mm beyond the edge of the 200mm-wafer and extendsto an elevation 20mm above the inlet plane. Nearly 15,000 computational cells are used toresolve this geometry with a majority of these cells located in the thin diffusion layer that

resides near the wafer surface (the first cell is less than 1pmn from the wafer). The flow isallowed to exit at the outer wall radius between the top of the outer wall and the wafer.The blocked region at the edge of the wafer is used to approximate the effects ofhardware associated with the electrical contact. The mass transfer limit is imposed byfixing the Cu concentration to zero along the exposed surface of the wafer.

76 Electrochemical Society Proceedings Volunme 99-9

Page 87: _sWni7aGN

Three Dimensional Model

In the three-dimensional case, CFD-ACE software (5) is again used to solve thesteady-state form of Equations [1] through [7] for the geometry shown in Figure (3). Thetwo-dimensional cross section of this three-dimensional model is the same as the two-dimensional model described above. However, in contrast to the 15,000 computationalcells needed to resolve the two-dimensional geometry, nearly 300,000 computationalcells are employed to represent the three-dimensional geometry. The horizontal planedisplayed in Figure (3) represents the top of the diffuiser or the flow inlet. The darkerregions reflect high axial velocities and so indicate diffuser hole locations. The leftvertical plane illustrates the radial and axial mesh distribution. The right vertical planepresents axial velocity contours, which depict the flow jets passing through the diffuserholes. An advantage of the three-dimensional model is the more accurate representationof the asymmetric hole pattern of the diffuser plate compared with the two-dimensional,axisymmetric case. Figure (4) illustrates the hole pattern of the diffuser plate asapproximated by the three-dimensional model. In order to avoid constructing a structuredcomputational mesh that includes mesh boundaries for each individual hole, a simpleradial mesh is employed. It is further assumed that the imposed volumetric flow passesevenly through the set of diffuser holes. Inlet boundary conditions approximating thiscondition are imposed by first identifying the computational cells that reside within eachdiffuser hole. The set of cells within each hole are used to approximate the cross-sectional area of the hole. This approximate cross-sectional area together with the fixedvolumetric flow through the hole are used to set the inlet velocity boundary condition foreach of the hole cells. A significant advantage in this approach, in addition to itssimplicity, is the ability to quickly change diffuser hole patterns in the model without re-meshing. A drawback in using this inlet condition is the inability to resolve the velocitydistribution exiting each hole. High resolution simulations of the flow through a singlehole were performed to better understand the consequences of this assumption. Thesesimulations illustrate the constriction of the flow through a vena contracta, with adiameter roughly 15% smaller than the hole diameter. To first order, this effect can beapproximated by imposing uniform inlet velocities across holes with a 15% smallerdiameter, which causes the inlet velocity to increase by approximately 38% for a fixedmass flow rate. In the results section below, simulation data are presented with the holediameter set to the actual diffuser hole size (case A) and with the hole diameter reducedby 15% (case B).

Electrochemical Society Proceedings Volume 99-9 77

Page 88: _sWni7aGN

RESULTS

The computational models described previously are exercised over a range of flowoperating conditions. Specifically, wafer rotation rates are varied between Orpm and100rpm, while the volumetric flow was set to either 1. Ogpm or 5.5gpm. Table (2) lists thespecific operating conditions studied and presents numerical estimates for the averagelimiting current density (iL) across the surface of the wafer. The first two flow conditions(Orpm and 20rpm at 5.5gpm) yield the most significant variations between the differentmodel predictions. This is especially true in the case of the one-dimensional model,which shows a high sensitivity to assumptions made regarding the impinging free-streamflow. Once rotational flow effects dominate impinging flow effects, the different modelpredictions are similar. With the flow rate fixed at 5.5gpm, a five-fold increase in thewafer rotation rate from 20rpm to 100rpm roughly doubles it In contrast, the reductionin iL due to a five-fold reduction in flow rate (from 5.5gpm to lgpm) at a fixed rotationrate (20rpm) is less pronounced, with the decrease ranging between 3-18%. This trendillustrates the effectiveness of wafer rotation in enhancing mass transfer.

Table 2. Model predictions of average limiting current density across wafer surface.Flow 1-D Model, iL 2-D Model, iL 3-D Model, iL

Conditions (mA/cm2) (mA/cm

2) (mA/cm

2)

Rotation Flow d=29mm d=9mm A BOrpm 5.5gpm 17.25 29.92 18.41 30.14 38.23

20rpm 5.5gpm 29.90 35.65 29.62 31.10 34.4750rpm 5.5gpm 46.20 48.07 45.78 46.94 47.23100rpm 5.5gpm 65.11 65.80 64.78 66.51 66.4820rpm 1. Ogpm 29.10 29.22 28.73 29.60 29.59

A set of limiting current experiments are conducted to assess the accuracy of thedifferent models at different operating conditions. The experimental procedure consistsof using a potential sweep technique to gather electrical current versus potential data.Limiting current values are determined from the current plateau, where the currentremains constant even when the potential is increased. The potential sweep equipmentcould deliver no more than 2A, which is not sufficient to reach limiting current over theentire surface of the wafer for the flow conditions of interest. Consequently, the platingarea of the test wafers is restricted to either a 2cm radius circle or a ring with an innerradius of 3cm and an outer radius of 4cm. In this manner, current density can be driven tolimiting current values for all but the lOOrpm/5.5gpm test condition listed in Table (2).

Figure (5) presents sample experimental current versus potential data for the testwafer with a 2cm circle exposed to plating. Similar data was also obtained for the testwafer with a 3-4cm ring exposed to plating. Limiting current density values gleaned from

78 Electrochemical Society Proceedings Volume 99-9

Page 89: _sWni7aGN

Table 3. Comparison of model predictions and experimental data for limiting currentdensity (mA/cm 2) across a centered circle with a radius of 2cm.

Flow Conditions Exp. 2-D Model 3-D Model (A) 3-D Model (B)Rotation Flow Data iL % diff. iL % diff. iL % diff.

Orpm 5.5gpm 49.7 19.46 60.8 38.29 22.9 44.77 9.920rpm 5.5gpm 46.1 30.65 33.5 34.40 25.4 43.40 5.950rpm 5.5gpm 52.5 46.96 10.6 47.23 10.0 48.21 8.2100rpm 5.5gpm 73.2 66.19 9.6 67.47 7.8 67.00 8.520rpm 1.Ogpm 32.6 29.50 9.5 30.14 7.5 30.07 7.8

Table 4. Comparison of model predictions and experimental data for limiting currentdensity (mA/cm 2) across a centered 3-4cm ring.

Flow Conditions Exp. 2-D Model 3-D Model (A) 3-D Model (B)Rotation Flow Data iL % diff. iL % diff. iL % diff.

Orpm 5.5gpm 54.6 30.51 44.1 35.48 35.0 46.47 14.920rpm 5.5gpm 56.8 48.17 15.2 47.07 17.1 48.02 15.550rpm 5.5gpm 82.8 73.80 10.9 73.50 11.2 72.17 12.8100rpm 5.5gpm >90 103.95 -- 102.04 -- 102.00 --

20rpm 1.0gpm 51.8 46.40 10.4 45.58 12.0 45.57 12.0

the experiments are accumulated in Tables (3) and (4). The 2cm-circle data shows thatnear the center of the wafer, the limiting current density does not change drastically as thewafer rotation varies between Orpm and 50rpm. In contrast, a 100rpm spin ratedrastically increases the limiting current density, while a five-fold reduction in volumetricflow appreciably reduces the limiting current density. Note that in the case of the 3-4cmring, no specific estimate for iL is given for the 100rpm spin because the maximumcurrent value was encountered before limiting current was reached. At this radiallocation, a 50rpm spin rate appreciably increases the limiting current density, whilesensitivity to the volumetric flow is lessened. The 3-4cm ring limiting current data issubstantially higher than the 2cm circle data due to the transport of fresh electrolyte fromthe inner 3cm of the wafer across the ring. As such, this data does not reflect limitingcurrent density values that would be observed at that radial location if the entire wafersurface was exposed to plating.

Two-dimensional, axisymmetric and three-dimensional simulations are conductedthat mimic the experiments by forcing the wafer Cu concentration to zero across the sameexposed areas. The one-dimensional model is independent of radial variations, and so it isnot considered here. Table (3) compares the model predictions of iL with experimentalvalues for the 2cm circle test wafer. The two-dimensional model shows poor agreementwith the data for the no rotation case. The impinging jet flows near the center of thewafer enhance the mass transfer in this region, and the two-dimensional model isincapable of capturing these effects. However, as wafer rotation effects dominate, two-

Electrochemical Society Proceedings Volume 99-9 79

Page 90: _sWni7aGN

dimensional model agreement improves measurably. The base three-dimensional model(case A) agreement for the no rotation case is improved but still poor. The modifiedthree-dimensional model (case B) demonstrates significantly better agreement with theexperimental data with no wafer rotation. By reducing the hole diameters by 15%, theflow jets are strengthened, which improves agreement for this condition withoutadversely affecting agreement at the higher wafer rotation rates.

Table (4) compares the model predictions of iL with experimental valuescorresponding to test wafers with a 3-4cm ring exposed to plating. Again, with no waferrotation, the two-dimensional model and the base three-dimensional model (case A) donot accurately predict the mass transfer effects of the impinging flow. The modifiedthree-dimensional model (case B) again demonstrates much better agreement. At thisradial location, the impinging flow effects are less pronounced than at the center of thewafer, as evidenced by the improved agreement at the 20rpm flow condition. In fact,with the wafer rotating at 20rpm or faster, there is little difference between the two- andthree-dimensional model predictions of the average limiting current density. Modelpredictions are consistently below the experimental limiting current values, a trend alsoseen in Table (3) for the 2cm circle data. Consequently, it is anticipated that in caseswhere predictions are within 15% of data, a small (say 10%) increase in the assumedvalue of the diffusion coefficient would appreciably improve model accuracy.

SUMMARY

Steady-state numerical simulations of diffusion-limited mass transfer within anelectrochemical plating chamber were presented for a set wafer rotation rates andvolumetric flow rates. Predictions of average limiting current density were given for a200mm-wafer and for specially prepared wafers with either a 2cm circle or a 3-4cm ringexposed to plating. Both simulation and experiment suggested that mass transfer isenhanced more by higher wafer rotation rates than by increased volumetric flow rates. Athigher volumetric flow rates (i.e. 5.5gpm) and low wafer rotation rates (less than roughly20rpm), iD is strongly influenced by impinging jet flows, which pass through theasymmetric array of diffuser holes. In this flow regime, three-dimensional models wereused to better match experimental data. Agreement using the two-dimensional,axisymmetric model was poor. Three-dimensional model (case B) predictions of limitingcurrent were within 10% of experimental values for the 2cm circle tests and withinroughly 15% for the 3-4cm ring tests, but the computational cost was high. Efforts tofurther improve the resolution of the three-dimensional jet flows using higher-orderadvection discretization schemes were largely unsuccessful due to algorithm convergencedifficulties and high computational cost. Future efforts will attempt to overcome thesedifficulties via algorithm parameter adjustments and/or grid modifications. As the wafer

80 Electrochemical Society Proceedings Volume 99-9

Page 91: _sWni7aGN

rotation rate increased (e.g. greater than 20rpm at 5.5gpm) or the volumetric flow ratedecreased (i.e. Jgpm), the effects of the impinging jet flows were lessened. In these flowregimes, the simpler two-dimensional, axisymmetric model yielded limiting currentpredictions that were within 13% of the experimental values. The one-dimensionalmodel can also be used in this flow regime for useful qualitative estimates of averagediffusion-limited current densities.

REFERENCES

1. Schlichting, H. Boundary Layer Theory, McGraw Hill, NY. 1960.2. Hannah, D.M., "Forced Flow Against a Rotating Disk," British ARC R&M 2772

(1952).3. Tifford, A.N. and Chu S.T., "On the Flow Around a Rotating Disk in a Uniform

Stream," J Aero. Sci. 19, 284 (1952).4. von Karman, T., NACA-TM-1092, 1921.5. CFD-ACE Version 5.0, CFD Research Corporation, Hunstville, AL, 1998.6. White, F.M., Fluid Mechanics, 2nd ed., McGraw-Hill, Inc., NY, 1986.7. Bard, A.J. and Faulkner, L.R., Electrochemical Methods, John Wiley & Sons, 1980.8. Newman, J.S., Electrochemical Systems, Prentice Hall, Englewood Cliffs, NJ, 1991.9. Gerald, C.F. and Wheatley, P.O., Applied Numerical Analysis, 3rd ed., Addison-

Wesley, Reading, MA, 1984.10. MATLAB High-Performance Numeric Computation and Visualization Software,

User's Guide, The MathWorks, Natick, MA, 1992.

Rotating Wafer (Cathode)

It t T T t

I Diffuser ISi t

Flow Anode - Flow

Fig 1. Cross-sectional schematic illustrating components of copper plating chamber.

Electrochemical Society Proceedings Volume 99-9 81

Page 92: _sWni7aGN

SimplifIed contact geometry RottLing Water 0W04

0.02

-0.01

-0.03U

Figure 2. 2-D model description (5.Sgpm/2Orpm, axial velocity contours and mesh.)

2oto, S., wFigure 3. Three-dimensional modeldescription with axial velocity contours Figure 4. Flow inlet plane of the three-

(5. 5gpm/2Orpm). dimensional model.

0 -... . orprn. 5 gpm .. . .. !. . . . •. . .

n- lorm55p

SO 0 4 o .. .. .. ...1.4.. .. . .

Figure 5. Experimental limiting current density data for test wafer with a 2cm circle

exposed to plating.

82 Electrochemical Society Proceedings Volume 99-9

Page 93: _sWni7aGN

MODEL OF WAFER THICKNESS UNIFORMITY IN ANELECTROPLATING TOOL

H. Deligianni", J. 0. Dukovica, E. G. Waltonb,R. J. Contolinil, J. Reid', E. Patton'

"IBM, T.J. Watson Research CenterP.O. Box 218, Yorktown Heights, N.Y. 10598

bIBM Microelectronics, 1000 River Road, Essex Junction, Burlington, VT 05452

CNovellus Systems, Portland Technology Center, 26277 S. W. 95th Ave,

Wilsonville, OR 97070

ABSTRACT

We describe modeling results of a plating tool that is currently used in Damasceneelectroplating of on-chip interconnects. The tool is a cup plater with elements that shapethe potential field and with a peripheral semi-continuous terminal to contact the wafer. Aparametric study has been performed and the effect of the key dimensionless groups onthe wafer scale nonuniformity identified. Based on this study, simulations of toolscale-up to 300 mm wafers are shown. Comparison of experimental plated thicknessprofiles determined at different time intervals with simulated profiles show reasonablygood agreement but also suggest that phenomena pertaining to mass transport of additivesand cupric ion may be important. This work illustrates the importance of modelingpredictive capability in developing, scaling-up and improving plating tools.

INTRODUCTION

In recent applications of electroplating such as Damascene plating of on-chipinterconnects (1), because of the need for shrinking electronic devices, there is a tendencyto use thinner conductive seed layers. The high active area density in Damascene plating,along with trends toward larger wafers, higher plating rates, and stringent requirements onthickness uniformity have increased the need to control the "terminal effect". Theterminal effect, which is caused by the high ohmic drop within the seed layer and theplated deposit, results in nonuniform current distribution in the vicinity of the electricalcontacts.

Figure 1 is a cross-section of an electrolytic cell with a resistive electrode and aterminal for contact at one end of the electrode. The current lines in the cell are shownalong with the corresponding potential drop. Within the electrolyte (point C-D) thepotential drop is linear; at the electrolyte/seed layer interface there is a sudden drop inpotential, on one side there is the charge transfer and concentration overpotential while onthe other side is the metal potential. Finally there is a non-linear drop through the seedlayer (A-B). The current lines are closely spaced near the contact terminal both on theelectrolyte side and within the seed layer. This effectively means that the local currentdensity will be high next to the contact terminal where the current lines are closelyspaced.

SFIDAP is a registered trademark of FLUENT Inc., 10 Cavendish Court, Centerra Resource Park,Lebanon. New Hamoshire 03766

Electrochemical Society Proceedings Volume 99-9 83

Page 94: _sWni7aGN

Kawamoto (2) developed a two-dimensional model that is based on a double iterativeboundary element method. The numerical method calculates the secondary currentdistribution and the current distribution within anisotropic resistive electrodes. However,the model assumes only the initial current distribution and does not take into account theeffect of the growing deposit. Matlosz et al. (3) developed a theoretical model thatpredicts the current distribution in the presence of Butler-Volmer kinetics, the currentdistribution within a resistive electrode and the effect of the growing metal. Vallotton etal. (4) compared their numerical simulations with experimental data taken during leadelectrodeposition on a Ni-P substrate and found limitations to the applicability of themodel that were attributed to mass transfer effects.

Mehdizadeh and Dukovic (5) expanded the theoretical treatment and included masstransport effects in an axisymmetric system as well as a 3-D geometry. In the 3-Dgeometry, they assumed four peripheral low-contact-area terminals and have shown theeffect of peripheral point contacts on the thickness distribution of a 200 mm wafer.Initially, the thickness near the four point contacts is very high, whereas between thecontacts is very low. A time series of a growing deposit. with four peripheral pointcontact terminals is shown in (6). Point contacts result in azimuthal nonuniformity.However, the nonuniformity in the vicinity of the contacts becomes appreciably better asthe plated thickness builds up. In applications such as Damascene electroplating wherethe final plated thickness is usually not more than 1,um, azimuthal nonuniformity can be aproblem. Our solution was to implement an almost continuous peripheral contactterminal and to assume that the system is axisymmetric and that only the radialnonuniformity needs improvement.

In this paper we describe a model of a cup plater with a peripheral continuous contactand "passive" elements that shape the potential field. The model takes into account theohmic drop in the electrolyte, the charge-transfer overpotential at the electrode surface,the ohmic drop within the seed layer, and the transient effect of the growing metal film asit plates up (treated as a series of pseudo-steady time steps). Comparison of experimentalplated thickness profiles with thickness profile evolution predicted by the model isshown. Tool scale-up for 300 mm wafers was also simulated and compared with resultsfrom a dimensionless analysis.

MATHEMATICAL MODEL

The following system of equations was solved:

V20E =0 potential in the electrolyte [1]

v (gvoA) =0 potential in the seed layer [2]

where g is the combined "sheet conductance" of the seed layer and the electrodeposit andis the reciprocal of the sheet resistance (R0 ). Equations [3-8] are boundary conditionsimposed at the different interfaces:

' FIDAP is a registered trademark of FLUENT Inc., 10 Cavendish Court, Centerra Resource Park,Lebanon. New Hamoshire 03766

84 Electrochemical Society Proceedings Volume 99-9

Page 95: _sWni7aGN

OE• = OA on anode [3]

t,, = -- VOE-n = 1,tVom-n on electrolyte/wafer interface [41

"= I"{exp[ aF(Om - ]- exp[- a, R - E)} on electrolyte/wafer interface [5]

OM =0 on electrical contact [6]

VqE * n = 0 on electrolyte symmetry lines [7]

VqM . n = 0 on seed- layer symmetry lines [8]

where OE and Om are the potentials in the electrolyte phase and the seed layer. Typicalvalues of the constants that appear in the equations above are given in Table 1. Instead oftreating the thin-film phase as a growing domain, we artificially hold its thickness, t,constant and allow the sheet conductance to increase over time, reflecting deposit growth.The equations above are nondimensionalized using the following dimensionlessvariables:

* x T9-- r, -- ,[9]

Recasting Eq. [1-8] in dimensionless form yields:

V2% = 0 in electrolyte [10]

v7 - G v* O = 0 in metal [11]

G rw * n = z, on wafer surface [12]

- 17" .n = on wafer surface [13]

where i* is,

FIDAP is a registered trademark of FLUENT Inc., 10 Cavendish Court, Centerra Resource Park,Lebanon. New Hampshire 03766

Electrochemical Society Proceedings Volume 99-9 85

Page 96: _sWni7aGN

[exp(-!- WaT ep- ' [4WaL(l + a,)-exp-Wa [14]

Wa T

[= on the anode [15]

= 0 at the contact terminal [16]

where G the dimensionless sheet conductance is,

atG= art [17]

Before solving the problem we made some scaling manipulations to avoid dealingwith the complication of having a growing finite element mesh and a metal film that wasthin and difficult to mesh. Initially, we artificially expanded the z-axis and transformedthe seed-layer domain by stretching in the vertical dimension (to facilitate meshing).Secondly, we kept the metal thickness constant through out the plating process andinstead of increasing the thickness of the metal layer at every time step, we artificiallyhold its thickness t constant and allow the sheet conductance to increase over time,reflecting deposit growth. These transformations require the use of anisotropic "sheetconductance" properties in the code. We assume that the stretching parameters are:

Z,,=wsh [18]Pq=to

where z,,-.h is the thickness of seed layer after stretching and t, is the initial seed layerthickness. When applying transformation [18] to Eq. [10,11,12,13,14, and 15], thesebecome as follows:

G prGa G = pGa for the axisymmetric case [19]pqGK' qG0,c

Eq.[19] yield the values of G in Eq.[12],

pG a"•-G- " n* = i• Eq.[12] becomes Eq.[20]

FIDAP is a registered trademark of FLUENT Inc., 10 Cavendish Court, Centerra Resource Park,Lebanon. New Hamoshire 03766

86 Electrochemical Society Proceedings Volume 99-9

Page 97: _sWni7aGN

METHOD OF SOLUTION

A commercial code called FIDAP' was customized and used to solve the set ofequations. Initially, the boundary value problem was solved subject to the nonlinearboundary conditions Eq.[201 for Gj.Go which is the initial dimensionless sheetconductance. Growth of the deposit was then simulated by using the converged solutionof the prior step j, according to the formula:

Gj=Gj_, + i+-U.A(j j= 1,2,3 ...... n [21]

AGj is the plated thickness increment at each time step. The value of the conductance atthe next time step is determined from the conductance and the normalized dimensionlesscurrent densities of the previous time step. Stepping through time stops when a certainvalue of the sheet conductance Gj is reached that corresponds to the plated thickness ofinterest. The geometry was left unchanged throughout the simulation. This part washandled by an AIX shell script that ran FIDAP' for G., then calculated the sheetconductance value of the next time step, and re-run FIDAPI until the desired sheetconductance value tj is reached. At each time step, the local current density along thewafer is integrated and the average current density is made to equal the applied currentdensity by doing a Newton-Raphson iteration on the anode potential. TheNewton-Raphson scheme as well as the update of the anode-potential boundary conditionare incorporated into subroutines that are attached to the executable module. Theexecutable module is called at each time step by the AIX shell script as described above.

RESULTS

Table 1. Typical values for copper plating on a 200 mm wafer

Bath conductivity K = 0.52ohm-1cm-'

Average current density i = 7, 15, 20mA/cm 2

Wafer radius exposed in electrolyte 9.56cm

Contact area 0.334cm radius of peripheral contact

Cathodic Tafel slope RT = 50mVa,.F

Exponent in kinetic expression y = 0.6

Exchange current density io = 0.4mA/cm 2

S FIDAP is a registered trademark of FLUENT Inc., 10 Cavendish Court, Centerra Resource Park,Lebanon. New Hamoshire 03766

Electrochemical Society Proceedings Volume 99-9 87

Page 98: _sWni7aGN

Ratio of anodic/cathodic transfer coefficients 2-- = 3

Wagner number of linear kinetics WaL = 1.3

Cathodic transfer coefficient over n - = 0.25

Seed layer conductivity u = 5xlO'ohm-'cm-

Seed layer domain stretching in z direction Zmeh = 1cm

Experiments with variable thickness seed layers in a cup plater have shown that theedge of the wafer had consistently thicker deposits than the wafer center. As a result, weundertook an effort to design shields or "passive" elements that shape the potential fieldand yield a current or thickness distribution that is almost uniform. This was done withmodeling of the secondary current distribution and verified by experimentation. Figure 2shows a schematic of a cup plater. Also shown in Fig. 2 are the equations that correspondto the secondary current distribution with an "infinitely thick" seed layer on the wafersurface. We treated the problem as axisymmetric with axis of symmetry the centerline ofthe cup and thus only half of the cup was modeled. The cup plater contains shields whichare located in a region extending from the peripheral edge of the wafer to the side andupper surface of the anode. Typically, electrolyte enters at the inlet, flows around theanode, the wafer, next to the shields and exits as an overflow at the outlet. The wafer alsorotates during electroplating. In this paper though, we are not concerned with fluid flowand mass transport in the electrolytic cell. Figure 3 shows the normalized currentdistribution on an "infinitely thick" seed layer. The current density is higher at the centerof the wafer than at the edge. Overshielding of the wafer edge occurs under secondarycurrent distribution conditions.

Figure 4 is a schematic of a cup plater that includes the case of the resistive electrode.Corresponding equations within the electrolyte, at the anode and wafer interface andwithin the seed layer and plated film are shown. Figure 5 is a transient normalizedthickness distribution of the plated fim along half of the wafer (center-to edge) atdifferent plated thicknesses onto a IOOOA initial seed layer. Curve A corresponds to afinal thickness of 2100A with a a of 7%. Curve B corresponds to a final thickness of3500A with a a of 6%, curve C corresponds to a final thickness of 5200A with a a of4%, curve D corresponds to a final thickness of 7200A with a a of 3%, curve Ecorresponds to a final thickness of 9600A with a a of 2%, and curve F corresponds to afinal thickness of 2,un with a a of 1%. It is interesting to note that even though thethickness distributions at the initial stages of plating are very nonuniform, the thicknessdistribution at 2,um of plated thickness is overshielded and resembles the thicknessdistribution of an "infinitely thick" seed layer (Figure 3). The calculation of the a of thethickness distribution was done by taking 9 points along the wafer and assuming adifferent weight for each of these points. The further the point from the wafer center, thehigher the weight. We assumed a weight of one for the center point and a weight of 72for the point close to the edge.

' FIDAP is a registered trademark of FLUENT Inc., 10 Cavendish Court, Centerra Resource Park,Lebanon. New Hamoshire 03766

88 Electrochemical Society Proceedings Volume 99-9

Page 99: _sWni7aGN

Figure 6 is a transient normalized thickness distribution of the plated film onto a 104Ainitial seed layer. The initial film of 1300A is very nonuniform with a of 85%. As thefilm plates-up the nonuniformity improves but not as much as in the case of Figure 5.When the film has plated to a thickness of 2#m it is still quite nonuniform with a a of 6%

Figure 7 is a comparison of experimental thickness profiles (points) determined atdifferent time intervals as copper was deposited onto a 500A seed layer to a finalthickness of 2pum with predicted (solid curves) profiles by the model. The agreement isfairly good at the intial stages of growth (800A) and at the final profiles (i.e. lm and 1.97prm). In-between the agreement is poor in particular toward the wafer center. This isthought to be attributed to mass transport effects of plating solution additives that may beplaying an important role. For example, an additive that inhibits the copperelectrodeposition reaction may diffuse at a faster rate at the wafer center than at the waferedge.

It was determined that if one solves the system of equations using the parameters inTablel, then the current distribution and the overall non uniformity depend upon 4dimensionless groups:

N, =f(geometry, Go 0G, Wa r) [22]

where the nonuniformity N, is defined as the maximum dimensionless thickness minusone (tax - 1).

Figure 8 shows the effect of the initial seed layer conductance on the plated thicknessnonuniformity. It was determined that the nonuniformity depends upon the initial sheetconductance to the -0.48 power and upon the plated film conductance to the -0.70 power (N, x Go-°'48G6-'° 70 ). The effect of the Wagner number is shown in Figure 9. The higherthe Wagner number the better the non uniformity because the ohmic effects become lessimportant at high Wagner numbers. It was determined that the non uniformity isproportional to the Wagner number to the -0.60 power (N, K Go-4d-°7°Wa4-6). Thusthe nonuniformity depends as follows upon the main dimensionless parameters:

N, x Go 0.48 G,".70wa 0.60 [23]

where the Wagner number for Tafel kinetics is defined as follows: WaT = RTK [24]acFirw [4

Based on this dimensionless analysis, it was attempted to scale-up the cup plater for300 mm wafers. All the dimensions in the cup plater were scaled-up 1.5 times. If onesubstitutes the parameters in Go, G, and War, then it turns out that the nonunuformity Njisproportional to the wafer radius raised to the 1.78 power:

Nt K r 17 [25]

Applying Eq.[251 means that the nonuniformity of the 300mm wafers is expected to beworse than the nonuniformity of the 200 mm wafers by a factor of 2. The result of the

' FIDAP is a registered trademark of FLUENT Inc., 10 Cavendish Court, Centerra Resource Park,Lebanon. New Hampshire 03766

Electrochemical Society Proceedings Volume 99-9 89

Page 100: _sWni7aGN

simulated relative a of the thickness profiles is shown in Figure 10 and indeed confirmswhat Eq.[25] predicts.

CONCLUSIONS

A model of a cup plater is described that takes into account the ohmic drop in theelectrolyte, the charge transfer over potential at electrode surface, the ohmic drop withinthe seed layer and the plated film, and finally the transient effect of the growing metalfilm as it plates up. Instead of treating the seed layer as a growing domain, we artificiallyhold its thickness constant and allowed the sheet conductance to increase with time.Additionally, the thickness of the seed-layer domain was artificially increased to facilitateeasier meshing. It is shown how all these transformations affect the resulting equationsand that one can solve for G which is the dimensionless sheet conductance of the growingfilm.

The cup plater has a peripheral contact and adequate shielding and the resultingthickness distribution is one order of magnitude more uniform than a case with pointcontacts and without shields (5). The nonuniformity is a strong function of the platedfilm sheet conductance, the Wagner number of Tafel kinetics, the seed layer sheetconductance and the ratio of the contact area to the wafer area. Experimental verificationof the model shows that the agreement is fairly good but that mass transport effects of theplating additives may be playing an important role as well. A simulated scale-up of thecup plater for 300 mm wafers predicts that the nonuniformity for the 300 mm wafers willbe worse than for the 200 mm wafers by a factor of about 2.

REFERENCES

1. P.C. Andricacos, C. Uzoh, J.O. Dukovic, J. Horkans, and H. Deligianni, IBM J. Res.Develop., 42, 567 (September 1998).

2. H.Kawamoto, J. Apple. Electrochem., 22, 1113 (1992).3. M. Matlosz, P.-H. Valotton, A.C. West and D. Landolt, J. Electrochem. Soc., 139,

752 (1992).4. P.-H. Valotton, M. Matlosz and D. Landolt, J. Apple. Electrochem., 23, 927 (1993).5. S. Mehdizadeh and J.O.Dukovic, Extended Abstracts of the 184th Meeting of the

Electrochemical Society, 93-2, Abstract No. 210, 1993.6. H. Deligianni, J. 0. Dukovic, and S. Mehdizadeh, Extended Abstracts of the 195th

Meeting of the Electrochemical Society, May 2-7, 1999.

FIDAP is a registered trademark of FLUENT Inc., 10 Cavendish Court, Centerra Resource Park,Lebanon. New Hamoshire 03766

90 Electrochemical Society Proceedings Volume 99-9

Page 101: _sWni7aGN

/

T ER M, 1".^i

ABC

PC)SITIOCN AL4=N- CURRENT PATH

Figure 1. Top:schematic illustrating the path followed by the current whentraveling from the anode D through the electrolyte C into the metal film B, thenthrough the conductive film to the contact terminal A. Bottom: Qualitativeplot of potential drops along the A-B-C-D pathway described above.

Contact Terminal

Ou I er Wafer surface

IlkI}

2OE= 0 potential in the electrolyte

Figure 2. Schem atic of a cup plater with the correspondingequations for an infinitely thick seed layer. Case of secondarycurrent distributuion with B utler-V oliner kinetics at the wafers u rfa ce.

Electrochemical Society Proceedings Volume 99-9 91

Page 102: _sWni7aGN

"Feasibility tool-C.d. on an infinite seed layer USER-DEF.D X-YCORDINATE PLOT

1.50000 15.2 mAIcm2

0 - sigma 4.6%1.30000

c.d.

1.100000

.90000

.70000

.50000

.00000 .20000 40000 .60000 .OO00 1.00000 FIDAP 7.6029 Jan 97r-coordinate 17:21:38

Figure 3. Normalized current distribution on an infinitely thick seed layer.

S"n In- --KVqSE~fl= gvq5A.n

v2•b = potential in ttie electrolyteS(~-svq Ct) = 0 potential in thT seed layer

0 U let

equations with0poetilin the electrolyteathandwfriefcad

withinVOM theoetilint seed layer adpae imaeson

92uations ,telectrocyeiat SentoyP, waoee ing ue, aFgr4.Shmtcoacupatrwithi the started layed anlpaedyerarhon

92 Electrochemical Society Proceedings Volumne 99-9

Page 103: _sWni7aGN

Feasibility tool-Thickness evolution on a 1000A seed USER-DEF.D X-YcoRD--ATE PLOT

1.50000 thik ckessc.d. 15.2mAitr2

A 0.21 6.9%

1.30000 - 0.35 5.8%C 0.52 4.3%

G. D 0.72 3.0%

A R (1. , 2 0'

1.10000 G 2.. 1.211

.90000

.70000

.50000

.00155 .20124 .40093 .60062 .80031 1.00000 FIDAP 7.6010 Apr 97

r-coordinate 10 :29:26

Figure 5. Transient normalized thickness distribution of the plated film atdifferent time intervals. Starting seed layer IO00A.

Feasibility tool-Thickness evolution on a 104A seed USER-DEF.D X-YCORDINATE PLOT

5.00000 thicknessc.d. 15.2mA/=2

A - 0.13um 85%4.02000 A B - 0.28 i- 49%

C - 0.4sum 32%D - 0.66urm 22%E - 0.1lum 16%

F - 1.20M., '%

3.04000 G &- ;Oý, 1.1%

H -2.Oum 6.4%

2.06000

2.08000

.10000

.00155 .20124 .40093 .60062 .80031 1.00000 FIDAP 7.60A pr 9r-coordinate 186 :11: 17

Figure 6. Transient normalized thickness distribution of the plated filmonto a 104A seed layer

Electrochemical Society Proceedings Volume 99-9 93

Page 104: _sWni7aGN

100,000 ,

0 500,. MU ----- - *805__,00 ___- ___- __________-_- _ _' A 1134

E10,000 -, 1424=Bll-=•_ -= = - --Ir= •1681

o-2721• I- _ - " '•" _ JR 3469

"043581,000 • 5522

F-- .. .... . 10300IN 19700

100--1 -0.5 0 0.5 1

Radial Position (dimensionless)Figure 7. Comparison of experim ental thickness profiles(points) determ ined at different time intervals as copper wasdeposited onto a 500X seed layer to a final thickness of 2 p mwith predicted (solid curves) profiles by the model. Theagreement is fairly good at the intial stages of grow th (800A4)and at the final profiles (i.e. lprm and 1. 9 7 pmu ).

I0-~~

=0.0== =1.9

44I -i II

0.1 I 10 100

G, average final thicknessFigure 8. N onuniform ity transient for a wafer w ith aperip herald axisym m etric contact for different values of theintial sheet conductance (G o).

94 Electrochemical Society Proceedings Vohlme 99-9

Page 105: _sWni7aGN

0.1

~~~~~~ L. L __

0.01-0.1 I 10

G, average final thickness

Figure 9. Nonuniformity transient for a wafer with aperipheral axisymmetric contact for different values of theWagner number (WaT).

25

a)2W 20

A 0 Model 200mmCn* Exp 200mm

.- 15 Model 300mm

Ct 10E0I).0

Ž5

0)

0100 10000

1000 100000

Average Metal Thickness (Angstrom)

Figure 10. Relative o of the thickness profiles as a function ofplated thickness for 200 mm wafers both sim ulated andexperimental and model prediction for 300 mm wafers

Electrochemiical Society Proceedings Volume 99-9 95

Page 106: _sWni7aGN

BATH COMPONENT CONTROL AND BATH AGING STUDY FOR A CuPLATING SYSTEM USING AN INERT ANODE

Mei Zhu, Yi-Fon Lee, Demetrius Papapanayiotou, and Chin H. Ting

CuTek Research, Inc.2367 Bering Dr. San Jose, CA 95131

ABSTRACT

Electroplating of copper for ULSI interconnect applications is a newprocess for semiconductor wafer fabrication. In contrast to typicalCVD or PVD processes where the chemicals used for film depositionare well controlled, monitor and control of electroplating bath for amanufacturing environment is a new challenge. We studiedconsumption of various bath components and showed that they areproportional to total amount of wafers plated. The predictability ofthe consumption rate of various bath components in our systemallows replenishment strictly based on the number of wafersprocessed and amount of electroplating time. An extended platingexperiment was run to test an automatic replenishment methodwithout changing the plating solution. Copper film qualities and gapfilling capability of the electroplating bath were also studied as thebath ages.

INTRODUCTION

Electroplated Cu is being used by more and more IC fabrication companiesfor advanced interconnect applications. Control of plating bath to achieve Cu filmswith consistent mechanical and electrical properties becomes an important issue forprolonged use of the plating bath. This paper addresses bath component control,additive consumption rate, within film contamination level and gap filling capabilityas bath ages. These are the key issues to successfully incorporating Cuelectroplating process into IC fabrication.

A Cu plating system with inert anode is more desirable than soluble anodefor reasons such as less impurity incorporation, more consistent additiveconsumption rate, and less preparation time for plating after system idle time.However, the bath components in an inert anode system are perceived as moredifficult to control because both Cu and sulfuric acid need to be balanced. Further

96 Electrochemical Society Proceedings Volume 99-9

Page 107: _sWni7aGN

more, traditional inert anode system consumes more additives due to oxidation. Thechemical reactions during electroplating of copper with an inert anode is describedby the following equations,

Cathode: Cu 2÷ + 2e-- Cu (1)Anode: 21120 -4e - 4H+ + 02 (2)

ElectroDep2000 from CuTek Research is a novel copper plating system withan inert anode.' However, in contrast to common perceptions, tight bath componentcontrol is easily achieved with a proprietary replenishing method where both the Cuand sulfuric acid are controlled simultaneously. The novel design of the processingchamber minimizes additive consumption due to oxidation. Therefore, theconsumption rates of organic additives are comparable to that of a soluble anodesystem.

Besides the consumption due to chemical reactions, another source ofconsumption is solution loss during wafer processing, which is also called drag out.This number has been consistent in our system. An automatic bath replenishmentmethod was established based on a consistent consumption and drag out rate ofvarious bath components. An extended electroplating experiment was carried out totest our model. Electroplating bath was sampled and analyzed periodically to checkthe validity of the model as the bath ages. Copper films deposited at different agesof the bath were analyzed for their film resistivity and impurity incorporation level.Patterned wafers were also deposited to assess gap filling capability as the bath ages.

EXPERIMENTAL

Extended electroplating experiment was carried out in a bench top setup,with plating parameters are the same as those used on CuTek's ElectroDep 2000.Electroplating was done during the normal working hours, and stopped for nightsand weekends to simulate the stop-and-go operation. The electroplating bath wasreplenished periodically based on plating time. Cu 2+ and acid were balanced byadding a copper salt mixture into the plating bath. Cr was replenished with dilutedHCI. Organic additives were replenished by a commercial additive system. Thetotal addition volume is equal to the drag out volume during wafer plating process.Therefore, the total bath volume is a constant throughout the experiment.

Samples from plating tank were taken periodically and analyzed. Cupricions, sulfuric acid, and CI were measured by traditional titration method. Total

ST. Andryushchenko, W. H. Hohkamnp, W. C. Ko, F. Lin, D. Papapanayiotou, B. Stickney, and C. H. Ting,Proceedings of 1 5 1h VMIC, 1998, Santa Clara, CA.

Electrochemical Society Proceedings Volume 99-9 97

Page 108: _sWni7aGN

organic carbons (TOC) was measured by oxidation method. Organic additives weremeasured by Cyclic Voltammetric Stripping (CVS) method.

Blanket copper films were deposited at different stages of the bath to studythe film quality as the bath ages. In film impurities were measured by SecondaryIon Mass Spectrometry (SIMS). Sheet resistance of the copper films was measuredusing a four point probe station. In some cases, the sheet resistance of the blanketfilms was monitored as a function of time after deposition to investigate its self-annealing characteristic. Patterned wafer pieces were also deposited at differentages of the bath to investigate gap filling capability of the bath as it ages underautomatic bath replenishing method. Scanning Electron Microscope (SEM) wasused to examine the cross sections of the patterned samples for gap filling capability.

RESULTS AND DISCUSSIONS

Bath component control

Consumption of bulk chemicals is governed by chemical reaction andsolution loss. Therefore, it is proportional to total plating time and number ofwafers plated. To verify whether this is also true for trace amount of additive, westudied the consumption of additives in a close loop system. Fig. 1 shows the anti-suppressor, a component of the plating additives, concentration versus plating timeat constant current. These data give a straight line which indicate thatconsumption of additives follows the same trend as we have observed with bulkchemicals. Further more, additive consumption rate is independent with its startingconcentration in the plating bath.

Fig. 2 displays sulfuric acid concentration in the plating bath over five monthperiod of plating experiment with an automatic replenishing method. Thehorizontal axis is expressed in terms of "turnovers". One turnover is the platingtime needed to plate out the Cu content of the plating solution completely andreplacing it with new Cu from additions made to the solution. In our set up, oneturnover is equivalent to plating 3,000 200 mm wafers with 1.0 um thick Cu film.The fluctuation of sulfuric acid is less than (+/- 10%), which is within the processwindow of copper plating.

Anti-suppressor is used to refine copper grain size and increase copper'sductility. The concentration of the anti-suppressor in our system is shown in Fig. 3as a function of plating time. We were able to control this additive's concentrationwithin its range over a long period of time with automatic addition of a constantamount of additives. This means that during system standby period there was noadditive consumption, and also there was no self-induced decomposition during

98 Electrochemical Society Proceedings Volume 99-9

Page 109: _sWni7aGN

system idle time. Furthermore, it indicates that the analytical method we used formonitoring organic additives is valid with aged bath.

During electroplating, some of the organic additives form breakdownproducts which do not affect Cu deposition speed. The functional organic additives,together with the breakdown products, are measured as total organic carbon(TOC). The TOC level reaches steady state at long plating times. Numericalsimulations were also performed to determine the steady state of total organiccarbon level assuming zero additive incorporation in the copper film. Bothexperimental and simulation data are shown in Fig. 4. It shows that the TOCincrease levels off after five turnovers, which means that plating bath has reachedsteady state. We have also observed a good match between the experimental datapoints and simulated curve. This indicates that additive consumption due toincorporation in the copper film is negligible when compared with additivedecomposition during the plating process.

Copper film quality as the bath ages.

Impurity incorporation into the Cu film is the key concern for ICmanufactures. Cu films deposited at different stages of the bath were sent out forSIMS analysis for impurities such as Na, K, Ca, Cl, S, C, and 0. Table 1 is acomparison of impurity levels incorporated into copper films deposited in fresh andaged bath. The impurity data shows that impurity incorporation is slightly less forfilms deposited in aged solution than that of fresh solution. Since C, S, N and 0 arethe major elements in organic additive, their incorporation in copper film does notincrease with bath aging indicates that accumulation of the breakdown organicmolecules does not affect the properties of the Cu film.

Table 1. Impurity incorporation in (plated) copper films (SIMS data in atoms/cc)

Element Fresh bath Bath after 10 TurnoverC 2.0e18 6.0e17N 2.5e18 1.6e180 9.0e17 5.9e17F 4.0e15 5.0e15S 1.0e18 9.0e17CI 5.0e18 2.4e18P 4.0e16 4.0e16Na 1.6e14 1.3e15Mg 1.6e14 1.4e14Li 8.0e13 7.0e13K 7.0e13 6.0e13

Electrochemical Society Proceedings Volume 99-9 99

Page 110: _sWni7aGN

Resistivity of copper film and its self-annealing characteristic are importantaspects of its quality. Fig. 5 shows sheet resistance of two copper films deposited atdifferent stages of the bath. The copper film deposited in aged bath has the samesheet resistance as the film deposited in the fresh bath. Furthermore, the self-annealing curves of these two films are almost identical.

Gap filling capability

The gap filling capability of the bath was also tested throughout theexperiment. Test wafer used for this study is 0.3 um trenches with aspect ratio of5.5. Fig. 6 contains three SEM pictures of the sample deposited in the fresh bath, 5-turnover, and 10 turnover with the same process recipe. All three samples haveseamless filling of copper film. These results indicate that the gap filling capabilityof the bath remains good as bath ages.

SUMMARY

Our five months extended plating experiment demonstrated a superior Cuplating system using an inert anode. This system excels other commercial systems inthat it has a predictable chemical consumption rate. Therefore, all chemicalreplenishment can be accomplished based on number of wafers processed and totalplating time. Using an automatic bath replenishing method, various bathcomponents were maintained within its process window during the experiment,which is equivalent to plating 30,000 wafers. Further more, consumption of theadditives remains the same as the bath ages.

In film impurity does not increase as the bath ages. Films deposited atdifferent stages of aging have the same resistivity and self-annealing characteristic.This indicates that the accumulation of total organic carbon in our system does notaffect copper film qualities. Most importantly, we also showed that gap fillingcapability remains good as the bath ages in our system. The electroplating bath inour study has reached its steady state after five turnovers. Therefore, we canconclude that the electroplating bath can be used indefinitely in the CuTekElectroDep-2000 system.

100 Electrochemical Society Proceedings Volume 99-9

Page 111: _sWni7aGN

Fig. 1 Consumption rate of the anti-suppressor.

9

t; 7

0.02 4

CLCL0

P 25 23

Plating time (relative)

Fig. 2 Sulfuric acid concentration over extended plating.2

• - 150One turnover means

S100 that the total amount of0 Cu plated is equal to

that in the container.o 50 In our case, it isM equivalent to plating

0 3000 wafers0 2 4 6 8 10

Plating time (turnover)

Fig. 3 Anti-suppressor concentration over ten turnovers.

157

7 1 ° °* * °-

- 0t. 5

0 2 4 6 8 10

# Turn Over

Electrochemical Society Proceedings Volume 99-9 101

Page 112: _sWni7aGN

Fig. 4, Total organic carbon (TOC) accumulation.

TOC vs. Plating Time

250 -

200 r

8150 -TOO (sirnijrion)

S100 A TOC (experimental)

50

0~0 2 4 6 8 10

# Turn Over

Fig. 5, Resistivity and self-annealing of two copper films.

20S16 ___________

E12"0 8 , ,, l s T°1 T-U-10 TO

S00 10 20 30

Time (Hr)

Fig. 6 SEM pictures of trenches deposited at different stages of the bath.

Fresh solution After 15000 wafers After 30,000 wafers

102 Electrochemical Society Proceedings Volume 99-9

Page 113: _sWni7aGN

THE EFFECTS OF PROCESS PARAMETERS ON THE STABILITY OFELECTRODEPOSITED COPPER FILMS

Brett C. Baker, David Pena, Matthew Herrick, RinaChowdhury, Eddie Acosta, Cindy R. Simpson and

Greg Hamilton

Motorola, Semiconductor Product SectorAdvanced Products Research and Development Laboratory

3501 Ed Bluestein BoulevardAustin, TX 78721

ABSTRACT

Deposition process parameters are known to affect the properties of copper films.These process parameters include applied current density and additives in the bathchemistry, as well as the concentrations of these additives (1). Our focus in this work wasto investigate the effect of current density on the self-annealing behavior of copper.Blanket copper films deposited at higher current densities were found to change more overtime than those deposited at lower current densities. Films deposited at low currentdensities contain more impurities than those deposited at high current densities. Resistivitytransients for a blanket film were compared and found to be similar to copperelectrodeposited in lines.

INTRODUCTION

The grain growth/recrystallization of copper deposits due to self-annealing ofelectrodeposited copper is often quantified by measuring changes in resistivity and stressafter deposition (2-4). These changes have been seen to take anywhere from hours (3,5) toweeks. Using deposition parameters to affect self-annealing by either increasing ordecreasing the amount of change will offer some understanding as to why certain films aremore stable than others.

Changes in the degree of self-annealing and the rate of self-annealing were studiedby altering the applied deposition current density as well as changing the depositionwaveform. Typical impurities of C, S, 0 and Cl are incorporated in electrodepositedcopper films. These impurities were measured in order to correlate impurity concentrationsto the self-annealing phenomenon.

In addition to monitoring the self-annealing of copper films with resistance andstress measurements on blanket films, resistivity changes in copper electrodeposited intolines were also measured.

Electrochemical Society Proceedings Volume 99-9 103

Page 114: _sWni7aGN

EXPERIMENTAL

Prior to electrodepositing copper, poly Si wafers were deposited with oxide, barrierand copper seed. Blanket, 200 mm wafers were electrodeposited (ED) with a commerciallyavailable bath (Motorola formulation) in a commercially available plating tool. Four applieddeposition current densities were investigated: 7, 13, 20, and 33 mA/cm'. A pulse-reverse(PR) and a DC waveform were used for each current density. The reverse current andtiming of the PR waveform were identical for each applied deposition current. Otherplating parameters including temperature and agitation were the same in all cases. Thedeposit thickness in all cases was approximately the same. Two wafers were processed ateach condition; one wafer was measured over time for changes in stress and resistivitywhile one wafer was analyzed for impurity concentrations (carbon (C), sulfur (S), oxygen(0) and chloride (Cl)) with dynamic SIMS.

The SIMS data presented are values of the impurities taken in the bulk of thedeposit. The values shown are concentrations taken at the same depth in all cases. Thetrends presented have been reproduced for previously processed samples.

Stress measurements were taken at room temperature on a standard stress tool. Theradius of curvature was measured before the oxide deposition on each wafer and again aftereach subsequently deposited layer. However, the stress values reported here for the EDcopper are in reference to the radius of curvature measurement of the seed prior toelectrodeposition and are presented as the change in stress from the initial valueimmediately after deposition. Sheet resistance measurements were conducted with anoncontact, eddy current method. The resistance values used to calculate the changesreported are an average of 49 point measurements (6mm edge exclusion) on each wafer.The changes in resistance shown in Figures 2 and 3 are calculated with respect to the valuemeasured immediately following deposition.

In order to ensure that changes observed for the ED copper with time were notbecause of instability in the seed, one wafer with seed only was also monitored. A 1.5%decrease in the seed resistivity and a 50 MPa change in stress occurred in the first 5 days,after which very little change in resistivity and stress were observed. The time betweenseed deposition and ED copper was five days in all cases.

Copper was also electrodeposited on a patterned wafer with lines of 0.4 and 19.3micron widths. This wafer had the same underlying materials as the blanket wafers. Fourterminal resistance measurements were performed on 16 lines of each width on a regularbasis following deposition.

RESULTS AND DISCUSSION

Impurity concentrations for C, S, 0 and Cl are shown in Figures 1 and 2 as afunction of current density for both waveforms, PR and DC. The concentrations of C andO in the deposit decrease with increasing current density. The S and Cl data display ashallow minimum at 20 mA/cm2. Overall, the impurity concentrations are found to be atleast one order of magnitude higher at the lowest current density than at the highest currentdensity. These trends are seen for both a DC and a PR waveform. For most currentdensities, the impurity concentrations of C, S, 0 and Cl are greater for a PR waveform thana DC waveform, although these differences are within the error of this particular technique.

104 Electrochemical Society Proceedings Volume 99-9

Page 115: _sWni7aGN

The concentrations of impurities are known to shift with other deposition parameters suchas plating temperature (6).

Figure 3 presents the transients in the resistance of the PR ED films. The resistanceof films deposited at higher current densities decrease more with time than those depositedat lower current densities. The same trend is seen for the DC waveform case shown inFigure 4. However, larger decreases are seen for all current densities deposited with a DCwaveform. This may be due to the fact that the average current density of the PRwaveform is less than that of the applied current density of the DC waveform. The PRwaveform used may also produce a variety of initial microstructures that could also accountfor these differences.

The stress of the films was also measured after deposition. Changes in stress forthe PR and DC waveforms are shown in Figures 5 and 6. The film deposited at the lowestcurrent density, 7 mA/cm2, for both waveforms show similar transients to those shownelsewhere (3). This film is initially compressive (with respect to the substrate) and movesto a near zero stress with time. However, the stresses of copper films deposited at highercurrent densities show a very different behavior. Immediately after deposition, these filmswere tensile. They increase to a more tensile stress, however, they then relax towards zerostress. The changes in stress and decrease in stress relative to the initial stress are greaterfor films deposited at higher current densities. The increase in stress and then relaxationsuggests a two-step mechanism of self-annealing for these particular films. Again, filmsdeposited with a DC waveform show greater changes in stress than films deposited with aPR waveform.

Once the samples reach equilibrium, they should be annealed. The bulk values forthe resistivity at this point can be compared to note the effect of impurity concentration.

We were also interested in comparing the changes in the resistance ofelectrodeposited copper in lines to ensure that what we observe on blanket films is notdramatically different. Resistance transients for 0.4 and 19.8 micron lines are shown inFigure 7. The decrease in resistance are in qualitative agreement with that observed inblanket films. Differences in the transients between the lines of different widths may berelated to the thickness of the underlying copper seed. Preliminary data on resistivitytransients as a function of seed thickness show larger decreases in the resistivity of EDcopper films deposited on thinner seeds.

The trends in stress and resistance imply that deposits with less impurities are lessstable and self-anneal more at room temperature. However, changes in the microstructureand grain size as a function of current density are not well understood and may also besignificant in explaining the data presented above. Microstructural differences between thePR and DC waveform deposits may also explain the larger deviations from initial valuesseen for the DC waveform data than for the PR waveform data. We have reason to believefrom the ion beam images shown in Figures 8 and 9 that the initial deposit microstructuresfor low and high current densities are very different.

In order to determinethe mechanism by which these films self-anneal, it will benecessary to monitor the mincrostructure of the deposits as they self-anneal. In addition toion beam imaging, orientation in the film via XRD as a function of time needs to bestudied. With this additional information, the role that impurities and microstructure play inself-annealing may be better understood.

Electrochemical Society Proceedings Volume 99-9 105

Page 116: _sWni7aGN

CONCLUSION

We have demonstrated that the applied current density during electrodepositionaffects the transients in resistance and stress of the film. Impurity concentrations decreasewith increasing current density for both waveforms studied, PR and DC. Films thatcontain less impurities display larger changes in resistance and stress and self-anneal morethan films with larger impurity concentrations. The films deposited with a DC waveformdisplay even larger changes with time than deposits from PR waveforms. Stress transientsalso suggest that there is a two-step mechanism for self-annealing of films deposited atlarger current densities.

ACKNOWLEDGMENTS

We would like to give special thanks to the following contributors for their supportand help: Martin Gall, LaSandra Butler, Betty Burleson, Mike Tiner, Steward Rose andKitty Corbett (APRDL) and Kari Noehring and Erika Duda (Materials Characterization,AZ).

REFERENCES

1. J. J. Kelly, C. Tian and A. C. West, "Leveling and Microstructural Effects of Additivesfor Copper Electrodeposition", J. Electrochem. Soc., submitted, 1998.

2. T. Ritzdorf, L. Graham, S. Jin, C. Mu and D. Fraser, IITC Conference proceeding,1998, pp. 887-894.

3. C. Cabral, P. C. Andricacos, L. Gignas, I. C. Noyan, K. P. Rodbell, T. M. Shaw, R.Rosenburg and J. M. E. Harper, "Room Temperature Evolution of Microstructure andResistivity in Electroplated Copper Films", Advanced Metallization and InterconnectSystems for ULSI Applications in 1998, Colorado Springs, CO, 1998.

4. C. Lingk and M. E. Gross, J. Appl. Phys., 84, 5547 (1998).

5. Q. T. Jiang, R. Mikkola and B. Carpenter, "Room Temperature Film Property Changesin Electro-deposited Cu Thin Films", AMC Conference, Colorado Springs, CO, 1998.

6. Q. T. Jiang, R. Mikkola and B. Carpenter, "Critical Influence of Plating BathTemperature on Cu Damascene Electrodeposits", MRS Spring Conference, San Francisco,CA, 1999.

106 Electrochemical Society Proceedings Volume 99-9

Page 117: _sWni7aGN

1.00E+20

-! 1.00E+19C

- 1.OOE+18 0

S1.OE+17

1.OOE+165 10 is 20 25 30 35

Current Density ( mA/cm')

Figure 1. Impurity concentrations in the bulk of the deposit

as a function of current denisty for a PRwaveform.

1.00E+20

,.00E+19

I.OOE±180CC

S1.00E+17 C.

1.OOE+16 .. .. ... .

5 10 15 20 25 30 35

Current Density ( mA/cm 2 )

Figure 2. Impurity concentrations in the bulk of the depositas a function of current denisty for a DC waveform.

Electrochemical Society Proceedings Volume 99-9 107

Page 118: _sWni7aGN

050

05

v -2

.•-2.5

-3 33 mA/cm3

-3.5

-4

-4.50 5 10 15 20 25 30 35 40

Time (Days)

Figure 3. Changes in resistance with time for four currentdensities and a PR waveform.

0

-0.5

-1

. -2

. -2.5

a -3

S-3.5

-4 -4 33 mA/m•

-4.50 5 10 15 20 25 30 35 40

Time (Days)

Figure 4. Changes in resistance with time for four currentdensities and a DC waveform.

108 Electrochemical Society Proceedings Volume 99-9

Page 119: _sWni7aGN

40

35

30 7 mA/cm' c

925-T

5. 20 o 20 mA/cm'

ITS15

t~10

0

-5

-100 5 10 15 20 25 30 35 40 45

Time (Days)

Figure 5. Changes in stress with time for four current densitiesand a PR waveform. C denotes an initially compressive filmand T denotes an initially tensile film.

40

35 7 mA/cm2 C

30

• 25T

1 20

I 10U 5T

-5T-10 ,

0 5 10 15 20 25 30 35 40 45

Time (Days)

Figure 6. Changes in stress with time for four current densitiesand a DC waveform. C denotes an initially compressive filmand T denotes an initially tensile film.

Electrochemical Society Proceedings Volume 99-9 109

Page 120: _sWni7aGN

.0.

19.8 micron

-20.4 micron

-2.50 5 10 15 20 25 30

Ti-e (D.•s)

Figure 7. Changes in resistivity with time for electrodepositedlines of widths 0.4 and 19.8 microns.

fN

Figure 8. Ion beam image of the "as deposited" film depositedat 7 mA/cm 2 (PR).

V07

Figure 9. Ion beam image of the "as deposited" film depositedat 20 mA/cm2 (PR).

110 Electrochemical Society Proceedings Volume 99-9

Page 121: _sWni7aGN

DOPANTS IN ELECTROPLATED COPPER'

P.C. Andricacosa2 , C. Parks', C. Cabral', R. Wachnikb, R. Tsai',S. Malhotrab, P. Lockeb, J. Fluegelb, J. Horkansa, K. Kwietniak', C. Uzoh',

K.P. RodbelP, L. Gignaca, E. Waltonc, D. Chungc, R. Geffkenc

"IBM Research, Yorktown Heights, New York 10598bIBM Microelectronics, Hopewell Junction, New York 12533

VIBM Microelectronics, Essex Junction, Vermont 05452

ABSTRACT

Dopant incorporation and resistance transients in unpatterned films ofelectroplated copper were studied as a function of bath age and otherplating parameters such as current density, agitation, temperature, additiveconcentration and chloride concentration. Dopant content exhibits a strongdependence on agitation and additive concentration; it also depends oncurrent density but to a lesser extent. Chlorine content of the film isindependent of chloride content in the bath. Dopant incorporation isindependent of bath age. Resistance transients are slower the higher thedopant content of the film.

Copper electroplating from baths containing additives has been shown to fill Damascenestructures because of a phenomenon called supetfilling in which plating rates increase along thefeature sidewalls and bottom making it possible to plate void-free and seamless deposits [1 - 5].In the model of superfilling [1], additives are consumed at the wafer surface causingincorporation of impurities or "dopants" in the plated film. We determine here the platingparameters that play a role in defining dopant levels. We further explore the effect of theseparameters on the kinetics of the resistance transformation of electroplated copper. We concludethat there is a correlation between dopant levels and resistance-transient kinetics; namely, thehigher the dopant level, the slower the transformation.

EXPERIMENTAL

A design-of-experiments (DOE) software package called BestDesign was used to identifythe plating parameters that define dopant content. BestDesign is a novel system for designingoptimum DOE matrix that minimizes the number of runs while maximizing accuracy of responsesurface estimation satisfying a variety of application specific constraints on the responses, inputsor both. Existing experimental runs are taken advantage of while designing the rest of the matrix.

SDopants are impurities in the plated film; additives are substanes added to the plating solution

to improve the properties of the plated film

2 Email: [email protected]

Electrochemical Society Proceedings Volume 99-9 111

Page 122: _sWni7aGN

It also finds the best process inputs that optimize multiple objectives or responses and processwindow sizes, resulting in optimum processes that are least affected by unwanted process orequipment variations. It is also capable of finding multiple solutions satisfying given constraints,as well as finding single global optimum without the trouble of local minimum. Arbitraryconstraints (linear or nonlinear) can be imposed while seeking the optimum solutions.

Parameters such as bath chemistry, current density, and level of agitation were variedover wide ranges. In order to save wafers and prevent extensive bath-chemistry modifications ina wafer-plating tool, we made use of a rotating wafer holder shown in Figure 1. Use of thisapparatus required less than I liter of plating solution while permitting accurate control of suchparameters as rotation speed and current density. Design of the wafer holder permitted electricalcontact to be made in the front of the wafer fragment. Typically wafer fragments 2 cm x 2 cm insize were cut and mounted with a circular area 0.5 inch in diameter exposed to the electrolyte.All runs were performed on wafer fragments covered with a sputter-deposited copper seed layer.The weight of the wafer fragment was measured before and after plating. A plating experimentwas characterized as successful if the Faradaic current efficiency was well in excess of 0.9.

Dopant levels in the plated copper film were determined by Secondary Ion MassSpectrometry (SIMS). SIMS profiles were measured with a Cameca ims-5f tool using 14.5 keVcesium primaries, negative ion detection, and sufficient mass resolution to separate S- from 02.Quantification was done using ion implant references of "3C, l"0, and 35C1 into copper with Sbeing in arbitrary units. A nominal copper density of 8.92 g/cc was used to convert to units ofparts per million by weight (ppmw).

Sheet resistance measurements were made close to the center of the wafer fragment usinga 4-point probe technique; transients were recorded at room temperature, although measurementsat higher temperatures were performed in most instances. Sheet resistance values werenormalized with respect to the value measured immediately (within 10 minutes) after plating.

Dopant dependence on bath age necessitated the preparation of baths with controlled age.In order to accomplish this, bath samples were obtained from IBM's semiconductor developmentsite at East Fishkill, New York, and mixed with fresh baths with the same composition.Typically aged baths had been in operation in excess of 1 year. Mixing ratios of 25 % by volumefresh bath + 75 % by volume aged bath, 50/50, and 75/25 were used together with 100 % freshand 100 % aged baths. Bath age was measured by HPLC [6].

RESULTS AND DISCUSSION

Results of the matrix experiments are shown in Figures 2,3, and 4. With a few exceptionsespecially at very low chloride concentrations (not shown here), the dependence of dopantcontent on a parameter was similar for all dopants. Rotation speed and additive concentrationwere more important in defining dopant content than current density. As shown in Figure 3, Ccontent decreased with current density especially at the higher rotation speeds, but increasedmuch more rapidly with rotation speed and additive concentration. The latter also played a keyrole in defining the CI content of the film. As shown in Figure 4, Cl content depends weakly onthe Cl concentration in the bath, but very strongly on the additive concentration. In order toverify the results of the DOE study, we performed experiments in which we varied rotation rateand additive concentration keeping other parameters such as deposition temperature andremaining bath chemistry constant. Results shown in the table below confirm the findings of the

112 Electrochemical Society Proceedings Vohlme 99-9

Page 123: _sWni7aGN

DOE experiments: as the additive concentration is doubled at constant rotation speed, dopantcontent approximately doubles. As rotation speed quadruples, dopant content approximatelydoubles in agreement with Levich theory [7 1 and mass transport controlled dopant incorporation.

Additive Rotation Carbon Chlorine OxygenConcentration Speed in Film in Film in Film

(arb. units) (rpm) (ppmw) (ppmw) (ppmw)1 85 16 11 262 85 36 19 532 350 60 29 923 350 101 42 132

Next we examined the role of bath age on dopant content. Bath samples from waferplating stations were withdrawn and mixed at different proportions with fresh baths of identicalcomposition as described before. Results of Figure 5 suggest that dopant incorporation doesNOT depend on bath age. Extensive use of baths therefore is not expected to cause performancedeterioration attributable to impurity incorporation. This result of course depends to a certainextent on the particular chemistry used as well as the level of bath maintenance and controlemployed.

Measurements of Rs transients were conducted in order to assess the effect of dopants /plating parameters on the kinetics of the transformation of electroplated copper [8]. Results areshown in Figure 6. For a constant bath temperature, the parameters that affect dopantincorporation the most are current density, rotation speed, and additive concentration. It is seenthat an increase in additive concentration and rotation speed leads to a delay in the resistancetransformation and to an increase in dopant content. Similarly, an increase in plating currentdensity causes an acceleration of the resistance transformation and a decrease in dopantincorporation. It is thus concluded that dopant content increase causes delays in the resistancetransformation of plated copper in accordance with the observations of Harper et al [8]. Resultsshown in Figs. 7 and 8 corresponding to different bath temperatures as well as plating from threedifferent commercial chemistries are consistent with this correlation.

Dopants Kinetics of Rs TransientIncrease with additive concentration Decrease with additive concentration

Increase with agitation Decrease with agitationDecrease with current density Increase with current density

In summary, we have determined that parameters such as level of agitation, additiveconcentration, and current density influence the dopant incorporation in plated copper in asystematic manner. Bath age does not have an effect on dopant amounts. The resistancedecrease of plated-copper films is slowed down by all parameters that cause an increase indopant levels.

Electrochemical Society Proceedings Volume 99-9 113

Page 124: _sWni7aGN

REFERENCES

1. P.C. Andricacos, C. Uzoh, J.O. Dukovic, J. Horkans, and H. Deligianni, IBM J. Res.Develop., 42, 567(1998).

2. P.C. Andricacos, Interface, 8(1), 32(1999).3. P.C. Andricacos, Interface, 7(1), 23(1998).4. P.C. Andricacos, C. Uzoh, J.O. Dukovic, J. Horkans, and H. Deligianni, in Advanced

Metallization Conference in 1998 (AMC 1998), C.S. Sandhu, H. Koerner, M. Murakami, Y.Yasuda, N. Kobayashi, Editors, p. 29, Materials Research Society, Warrendale, PA (1999).

5. P.C. Andricacos, C. Uzoh, J.O. Dukovic, J. Horkans, and H. Deligianni, inElectrochemical Processing in ULSI Fabrication I and Interconnect and Contact Metallization:Materials, Processes, and Reliability, P.C. Andricacos, J.O. Dukovic, G.S. Mathad, G.M.Oleszek, H.S. Rathore, C. Reidsema Simpson, Editors, PV 98-6, p. 48, The ElectrochemicalSociety Proceedings Series, Pennington, NJ (1999).

6. J. Horkans, unpublished results.7. V.G. Levich, Physicochenmical Hydrodynamics, p. 297, Prentice Hall, Englewood

Cliffs (1962).8. C. Cabral Jr., P.C. Andricacos, L. Gignac, I.C. Noyan, K.P. Rodbell, T.M. Shaw, R.

Rosenberg, J.M.E. Harper, P.W. DeHaven, P.S. Locke, S. Malhotra, C. Uzoh, and S.J. Klepeis,in Advanced Metallization Conference in 1998 (AMC 1998), C.S. Sandhu, H. Koerner, M.Murakami, Y. Yasuda, N. Kobayashi, Editors, p. 81, Materials Research Society, Warrendale,PA (1999).

9. J. Harper, C. Cabral, Jr., P.C. Andricacos, L. Gignac, I.C. Noyan, K.P. Rodbell, andC.K. Hu, J. Apple. Phys., 86(5), 2516(1999).

114 Electrochemical Society Proceedings Volume 99-9

Page 125: _sWni7aGN

SE

0_

00

- CL

.. 0

Ecrl

Electrochemical Society Proceedings Volume 99-9 115

Page 126: _sWni7aGN

S. ... ,.....,.... .. ..., ..

• • .1.4£ - .,

.. . • ..... ......

~~~~~... ......... .... L• • • •

C1

"... ... .. 0

S.....[ " " o.... ...• : C)

172 .' :.:J

. . ... . ... . .

: .... 41 ...L .

1ad

116 lecrocemial Sciey Poceding Voume99-

Page 127: _sWni7aGN

10 .4,0- --

c~l N

0. a 44

0il"-" 1 44 444 40 -- 0 .4) 4-S-4-ICq

44 Cd C d d . . . . .. ) 0 44 -~

0 0

Electrochemical Society Proceedings Volume 99-9 117

Page 128: _sWni7aGN

Ca

-.. CD

0 Ca

C -1o D

.........

Ci

118EletrohemcalSocetyProeedngsVolme 9-

Page 129: _sWni7aGN

N N) 6,

o- 4-•o

x

C 0

o 4 ~o

mwdd i0 ne/Is •

r. . . . ,0 mm

t Co

o•

0 0

CC

0U

mwdd a m ,wdd /10•

Electrochemical Society Proceedings Volume 99-9 119

Page 130: _sWni7aGN

0 1 r0

Czt

0

00

U 4ý 0

-O 0f 0) 0n -OL)(oi C?!' P )SJPZP~JN ~ 1Atl~ VM ~ ~1 WO

(oq jz~q e~lui -dp~zp~j 0______ 0

12 ~ ~ ~0 Elcrce ia oit rceeig tI e9-

Page 131: _sWni7aGN

COoI U-0 U

CO- ~p~

0 ~ 0

1: >

- rraw

Ia,,

E-_c

ac 0 .0 t

__ -1 _ - '-

el

r* ~ ~ ~ in O n 0r o

(OLJ 101s U91l S PZ11 IO

Elcrohmia Socet 0rceig Voue9-2

Page 132: _sWni7aGN

ECD SEED LAYER FOR INLAID COPPER METALLIZATION

L. Chen and T. RitzdorfSemitool Inc., ECD Division

655 West Reserve Drive, Kalispell, MT,59901

ABSTRACT

A novel approach is presented in this paper for inlaid coppermetallization. Contrary to the traditional approach regarding seed layerapplication, an ultra-thin copper flash layer, serving as an adhesion layer,is deposited by a PVD process. This flash adhesion layer is conformallyenhanced from Semitool's specially formulated plating solutions byelectroplating. The ECD seed layer is then used to deposit copper from anacid copper sulfate plating bath. The advantage of depositing an ultra-thincopper flash adhesion layer and ECD seed layer, rather than a relativelythick PVD copper seed layer, is that pinching off of small trenches or viascan be avoided, while ensuring adequate sidewall coverage.

INTRODUCTION

Copper is going to replace aluminum as the material of choice for semiconductorinterconnects due to its low electrical resistance and high electromigration resistance (1-4). An inlaid interconnect is used for copper metallization in which the insulating

dielectric material is deposited first, trenches and vias are formed by patterning andselective dielectric etching, and then diffusion barrier and copper seed layer are depositedinto the trenches and vias (5).

Electrochemical deposition (ECD) has been found to be the most efficient methodto deposit copper for void-free fill, and gives the best electromigration resistanceperformance of the interconnect (6,7). The electrodeposition of copper is generallysuitable for applying copper to an electrically conductive copper seed layer, oftenprepared by either PVD or CVD. For better gap fill, conformal copper seed layer in thefeature is highly desirable. CVD generally provides good conformal coatings insidefeatures but with poor adhesion. PVD can readily deposit copper on the barrier layer withgood adhesion when compared to CVD processes. The disadvantages of PVD processes,however, are that they tend to leave thinner sidewalls and limited bottom coverage (non-conformal) as shown in Figure 1. Since the ECD process relies on the seed layer to carrycurrent from the top of the trench to the bottom, insufficient PVD copper seed layers tendto produce voids in the feature. To avoid this problem, the normal approach for PVDprocesses is to deposit a thicker seed layer (- 1000 to 2000 A) so sufficient sidewall andbottom coverage (-IOA) can be achieved. However, this approach will not be viable for

122 Electrochemical Society Proceedings Volume 99-9

Page 133: _sWni7aGN

more aggressive features because the upper portion of the feature is effectively closed offby the PVD seed layer deposition prior to the ECD process, thus creating center and/orbottom voids in the feature. Conventional wisdom indicates the eventual need of costlyCVD process to overcome these problems.

In this work, contrary to traditional thoughts regarding seed layer application, anovel approach was used for copper metallization as shown in Figure 2 (8). In thisapproach, an ultra-thin copper flash is first deposited by PVD, mainly for the purpose ofgood adhesion. This ultra thin adhesion layer is conformally enhanced from our speciallyformulated plating solutions by electroplating prior to the full deposition from an acidcopper sulfate plating bath. By depositing an ultra-thin layer, rather than a relativelythick one, pinching off of small trenches or vias can be avoided.

EXPERIMENTAL

All experiments were performed on 200mm wafers using Semitool's plating tool.Trenches with various geometries and aspect-ratios were patterned in silicon oxide coatedwafers. Titanium Nitride (TiN) or Tantalum (Ta) diffusion barriers with nominalthickness of 300 A were deposited on the trenches by vacuum techniques such as PVD orCVD. Unless specified differently, a PVD copper adhesion layer with a nominalthickness of 200A was deposited on top of the barrier by PVD techniques. This thin PVDcopper adhesion layer was electrochemically enhanced in Semitool's proprietary ECDseed plating solution prior to the full deposition from an acid copper sulfate bath.

Plating time for the ECD seed was determined by the thickness of desired totalcopper seed layer. Three different plating baths for ECD seed were examined forconformal plating. Some wafers were plated directly using the acid copper sulfate bathwithout the ECD seed enhancement and were compared to those processed with ECDseed enhancement.

Potential sweep measurements were obtained using an EG&G potentiostat (Model263). A three-electrode system was used in which a piece of wafer served as cathode, alarge area of platinum sheet as counter-electrode, and a platinum wire as referenceelectrode. Scanning Electron Microscope (SEM, Amray) and Focused Ion Beam (FIB,FEI Dual Beam 820 ) were used to examine the cross-sections of features after ECD seedand full-fill deposition. Chemical etching rate of PVD copper seed as a function ofimmersion time in the ECD seed plating solutions was obtained by measuring thethickness change using a four point probe station (CDE, RESMAP).

Electrochemnical Society Proceedings Volume 99-9 123

Page 134: _sWni7aGN

RESULTS AND DISCUSSION

ECD Seed Layer From Different Plating Solutions

Various ECD seed plating solutions were evaluated for conformal copperdeposition. Figure 3 compares results obtained from three ECD seed baths. Figure 3ashows the SEM cross-section of collimated PVD copper with a nominal thickness of1000A. The copper coverage inside the trenches was very limited and the step coveragewas estimated to be less than 5 percent.

Figure 3b shows the ECD seed copper deposit obtained from plating bathl.Smooth deposits were obtained on the top of trenches, However, large copper crystalswere observed on the sidewalls of the trenches. Apparently this bath cannot be used forECD seed process because these large crystals may cause voids.

Figures 2c and 2d show the SEM cross-sections after ECD seed deposition frombath2 and bath3, respectively. Conformal copper deposits were obtained and the stepcoverage for ECD seed process was found to be higher than 60%. This provides a greatimprovement for the total seed coverage (PVD copper plus ECD seed) within thetrenches and can significantly improve the gap fill from an acid copper sulfate bath.

Characterization of ECD Seed Plating Bath

Copper Direct Plating on Barrier Layer. The use of an ultra-thin copper flash adhesionlayer introduces its own problems. One of the most significant of these problems is thefact that an acid copper sulfate bath, the most commonly used plating solution for copperinterconnects, cannot be successfully used to fill trenches on such ultra-thin layers. Thisis because the high acid concentration bath normally attacks the copper at quite a highrate. In addition, copper oxide can readily form when exposed to an oxygen-containingenvironment and its removal in the acid copper sulfate bath can further reduce the copperseed coverage, particularly on the sidewall inside the feature where the proportion ofcopper oxide to metallic copper can be significant for a thin copper layer. The chemicalremoval of copper oxide may result in non-continuous coverage of copper on the barrierlayer. Such non-continuous seed can be a potential spot for voids during the acid copperplating. Another problem related to the ultra-thin copper adhesion layer is that the ultra-thin layer cannot uniformly cover the barrier and may have some spots which are notcoated by copper. Copper cannot be plated directly on the exposed barrier layer fromacid copper sulfate baths. Therefore, it is desirable for the copper deposit from the ECDseed bath to have relatively good adhesion to barrier layer.

To examine the adhesion of copper deposits to barrier layers, direct plating onbarrier layer was compared between ECD seed bath and an acid copper sulfate bath. Theacid copper sulfate bath normally produces powdered deposit with poor adhesion that canbe easily washed off with water. ECD seed bath provides a continuous, smooth copperdeposit with much better adhesion to barrier layers such as TiN, TaN, and WNx. Table 1

124 Electrochemical Society Proceedings Volume 99-9

Page 135: _sWni7aGN

summarizes the resistance change and the adhesion of plated copper seed as a function ofcopper seed thickness. The resistance was measured using a multimeter over a distanceof -lcm. As expected, thicker ECD copper seed resulted in lower resistance. Theadhesion of plated copper seed was strongly dependent on seed thickness. The ECD seedwith a thickness of less than 1050A passed the tape-pulling test while the one with 21 OOAfailed the test, indicating the adhesion is not good enough for thick copper. Figure 4shows a SEM cross-section of trenches which were plated with 700A ECD seed layer onTiN barrier followed by copper full fill from a standard copper sulfate bath.Delamination between the copper and barrier was observed One way to improveadhesion, as proposed in the paper, is to use an ultra-thin PVD adhesion layer prior to theECD seed layer deposition.

Chemical Etching Rate in ECD Seed Bath. Since a very thin PVD copper adhesion layeris used, the ECD seed bath should have a slow chemical etching rate on copper tominimize the thickness reduction of the original PVD copper layer. Figure 5 presents thechemical etching rate of a copper seed layer as a function of immersion time in the ECDseed bath. The wafer was immersed in ECD seed bath for a predetermined time forchemical etching and then the thickness of the copper film was determined by using a 4-point-probe station. An etching rate of less than 1 A per minute was obtained for the ECDseed bath. This is at least 20 times slower than the acid copper sulfate baths, which weredetermined to etch at roughly 20A per minute. For clarity, the thickness change in an acidcopper sulfate bath is included in Figure 5 for comparison.

Conversion of Copper Oxide to Metallic Copper in ECD seed Bath. Copper oxide canform readily on PVD copper seed if the seed is exposed to an oxygen-containingenvironment prior to the ECD seed process. The oxide is normally removed in an acidcopper plating solution by a chemical dissolution process prior to the plating. For a thinseed layer, particularly on the sidewall of the feature, the removal of this oxide can leadto a significant reduction in the seed thickness. Thus, the ECD seed bath should notdissolve the copper oxide but convert the copper oxide to metallic copper to minimize thethickness reduction.

Figure 6 compares the potential sweeps obtained from our ECD seed bath. Thedotted curve was obtained on a copper deposit and the solid one on copper-oxide-covereddeposit. The copper oxide was formed by heating the copper deposit at 140'C for 10minutes in air. As seen from Figure 6 for copper deposit, one current peak was obtainedprior to the onset of hydrogen evolution and this peak can be related to copper platingfrom the ECD seed bath. For the oxide-covered deposit, two additional current peakswere obtained before the copper plating from the ECD seed bath. Since the onlydifference between these two samples is the existence of copper oxide, it is reasonable toassume that these two additional peaks are related to the conversion of copper oxide tometallic copper. This also eliminates the concern that there is any possible existence ofcopper oxide between the PVD adhesion layer and ECD seed layer.

Electrochemical Society Proceedings Volume 99-9 125

Page 136: _sWni7aGN

Uniform Deposition from ECD seed Bath. Electroplating relies on the seed layer to carrycurrent from the edge to the center of the wafer. Insufficient seed layer generally providesthick deposits at the edge and thin deposits at the center. Typically for a thick PVD seedlayer, uniformity is achieved by proper reactor design to compensate for seed layerresistance effects and the acid copper sulfate bath is optimized for gap fill and filmproperties such as film resistivity and electromigration resistance. However, for this verythin copper adhesion layer, a plating bath with low conductivity is beneficial because theeffect of PVD adhesion layer on deposition non-uniformity is less significant with alower conductivity plating solution. The conductivity for an acid copper sulfate bath wasfound to be around 500mS/cm while that for our ECD seed bath was -20mS/cm, morethan 10 times less conductive.

Figures 7 through 10 compare SEM cross-sections of trenches plated with the acidcopper sulfate bath and ECD seed bath. Figure 7 shows PVD adhesion layer at the center(a) and at the edge (b) of the wafer deposited by a long-throw PVD system. The targetthickness of PVD copper layer was 200A. The barrier was TiN with a thickness of 300A.Due to the very thin PVD copper layer, it is very difficult to distinguish the PVD copperfrom TiN barrier. Figure 8 shows the cross sections after plating 75 coulombs from theacid copper sulfate bath. No plating was obtained at the center of the wafer while apowder deposit was seen at the edge of the wafer. This indicates that the acid coppersulfate bath cannot be used to plate copper on this 200A adhesion layer. Figure 9compares the cross-section after plating 75 coulombs from an ECD seed bath. Uniformdeposits were obtained both at the center and edge of the wafer, indicating the advantageof using ECD seed on the thin PVD copper layer. In addition, the side and bottom stepcoverage was found to be over 60%, much higher than for PVD processes.

Figure 10 shows SEM cross-sections after plating 75 coulombs ECD seed copperand, in this case, a PVD adhesion layer with a nominal thickness of 1OA was used.Similar to those in Figure 9 with a 200A PVD layer, a uniform deposit across the waferwas obtained. This demonstrates the capability of the ECD process on a very thin PVDadhesion layer.

It should be mentioned that the adhesion of the copper deposit to a very thin PVDcopper layer passed all the tape-pulling tests.

Full-Fill With Standard Copper Sulfate Bath After ECD seed Process

Full fill of features was carried out on some of the wafers after ECD seed. Figure11 compares cross sections for trenches (0.25l., 4:1 AR) with 200A PVD copper. Figure1 a was plated directly from an acid copper sulfate bath without our ECD seed and

Figure 1 lb was plated with ECD seed. As expected, bottom-voids were observed in thetrenches without ECD seed and complete void-free fill was obtained after ECD seed,indicating the need for ECD seed with a very thin copper layer.

126 Electrochemical Society Proceedings Volume 99-9

Page 137: _sWni7aGN

The ECD seed process was also examined with via wafers. Figure 12a shows aconformal ECD seed layer on vias with an originally 200A PVD copper adhesion layer.Excellent step coverage was achieved by the ECD seed process. This ECD seed can beused for void-free filling from the acid copper sulfate plating solution as shown in Figure12b for vias with 0.3.tm, 4:1 aspect ratio. Note that the IMP seed layer was only 200Athick.

Figure 13 shows the effect of ECD seed thickness on via fill. A nominal PVDcopper thickness of 400A was used for this experiment and the via size was O.4A with 3:1aspect ratio. Figure 13a shows the FIB image of features plated directly from an acidcopper sulfate bath without any ECD seed. Large bottom-voids were observed in thevias, indicating insufficiency of the PVD copper coverage at the bottom of the vias.Plating 200A ECD seed reduced the bottom-voids as shown in Figure 13b. Void-free fillwas obtained when the ECD seed thickness was 400A or 800A and their correspondingcross-sections are shown in Figures 13 c & d.

It should be mentioned that our ECD seed bath can also be used to enhance thethin seed layer inside aggressive features even if the PVD copper thickness is more thanIOOOA. Figure 14 compares cross-sections of original IOO0A PVD seed, after 800A ECDseed, and void-free fill after the ECD seed. Bottom-voids were often observed for thistrench (0.211, 6:IAR) without the ECD seed enhancement. Figurel4b shows the ECDseed layer and copper coverage in the feature was significantly increased. Figure 14 cpresents the void-free fill after the ECD seed process.

CONCLUSIONS

A process has been developed using Semitool's patent-pending ECD seed layerdeposition. This process is capable of depositing a copper film on very thin PVD copperflash layers that are used to provide adhesion for the ECD seed. The proprietarychemistry was developed so as not to etch the copper adhesion layer, and it is able toconvert copper oxide to copper metal. Submicron trenches and vias have beensuccessfully filled after the ECD seed process.

The ECD seed layer process is useful in extending the inlaid copper metallizationprocess beyond the limit of PVD seed layers. This process will allow the semiconductorindustry to use current low cost copper deposition processes, even as device geometriescontinues to shrink.

ACKNOWLEDGEMENTS

The authors wish to thank the engineers and technicians of Semitool'sElectrochemical Deposition Division for their support and encouragement on this work.Special thanks are due to Laura Rashid and Mike Funk for taking the SEM and FIBimages.

Electrochemical Society Proceedings Volume 99-9 127

Page 138: _sWni7aGN

REFERENCES

1. P. Murarka, in "Metallization: Theory and Practice for VLSI and ULSr', P. 3,Butterworth-Heinemann, Stoneham, MA (1993).

2. D. Edelstein, et al, in "Full copper wiring in a sub-0.25 Pm CMOS ULSItechnology", Proc. IEEE IEDM, pp. 773-776 (1997).

3. S. Venkatesan, et al, "A high performance 1.8V, 0.20 pjm CMOS technology withcopper metallization", Proc. IEEE IEDM, pp. 769-772 (1997).

4. P. Singer, Semiconductor International, 20(13,November),67(1997).5. P. Singer, Semiconductor International, 20(9,August),79(1997).6. Nguyen, Y. Ono, D. R. Evans, Y. Senzaki, M. Kobayashi, L. J. Charneski, B. D.

Ulrich and S. T. Hsu, in" Interconnect and Contact Metallization", Eds. H. S. Rathore,G. S. Mathad, C. Plougonven and C. C. Schuckert, PV 97-31, The ElectrochemicalSociety Inc., Pennington, NJ.

7. C. Ryu, et al. "Electromigration of Submicron Damascene Copper Interconnects",1998 Symposium on VLSI Technology, June 8-11, 1998.

8. L. Chen, US patent (Filed in Jan.1998).

Table 1. Dependence of copper seed resistance and adhesion on ECD seed thickness (theECD seed was directly plated on TiN barrier layer)

Plated Copper Thickness Resistance Tape Test(A) P()0 130 N/A

175 87 Passed525 18 Passed700 11 Passed1050 4 Passed2100 2 Failed

PVD Cu Seedlayer Barrier layer ECD Cu SeedlayerS)J7 • Barrere layer

4 ..-... .f ,<

Figure 1: A schematic representation for Figure 2: Semitool's ECD seednon-conformal PVD seed layer. deposition process.

128 Electrochemical Society Proceedings Volume 99-9

Page 139: _sWni7aGN

(a) 1000A PVD copper before ECD seed (c) After ECD seed in bath2

(b) After ECD seed in bathl (d) After ECD seed in bath3

Figure 3:Comparison of copper deposits plated from different ECD Seed baths.

Figure 4: SEM cross-section of trenches (pI i, 2:IAR) filled with 700A ECD seed on TiN

barrier followed by standard copper full fill.

Electrochemical Society Proceedings Volume 99-9 129

Page 140: _sWni7aGN

18001 4 0_•" - • -_ _" _ _-_- d , E C d C u F ilm

0- Oxide-covoru Cu Flm"

1200

1000

000 -Auo Coppen bath (20O/ran) "

-ECh Seed Cuon latid fonte (otted5400 Cu P p

7 0neco

200 250OA TON 1500A C.

0 10 20 30 40 N0 80 Potential (V)Etching Time (min)

Figure 5: Comparison of etching rates of Figure 6: Potential sweeps obtained with1 500A PVD copper in the acid copper bath ECD Seed bath on plated copper (dottedand ECD seed bath line) and on copper oxide (solid)

(a) Center (b) Edge

Figure 7: 200A PVD copper adhesion layer at the center (a) and edge (b) of wafer fortrenches (0.25gt, 4:1AR)

(a) Center (b) EdgeFigure 8: Plated 75 coulombs from the acid copper sulfate bath on trenches (0.25/am, 4:1AR.) with 200A PVD copper layer.

130 Electrochemical Society Proceedings Volume 99-9

Page 141: _sWni7aGN

1 L II I

(a) Center (b) Edge

Figure 9: Plated 75 Coulombs from ECD seed bath on trenches (0.25 pm, 4:1 AR.) with

200A PVD copper layer.

(a) Center (b) Edge

Figure 10: Plated 75 Coulombs from ECD seed bath on trenches (0.25ýtm, 4:1 AR.) with

1 OOA PVD copper layer.

(a) Without ECD seed (b) With ECD seed

Figure 11: Comparison of gap-fill for trenches (0.25 jim, 4:1 AR) with 200A PVD copper

layer.

Electrochemical Society Proceedings Volume 99-9 131

Page 142: _sWni7aGN

(a) ECD seed profile on vias (b) Void-free fill after ECD seed

Figure 12: Cross sections of vias (0.3lim, 4:1 AR.) with 200A IMP copper layer: (a) afterplating 75 coulombs ECD seed and (b) after full-fill on the enhanced ECD seed layer.

(a) No ECD seed enhancement (c) With 400A ECD seed

(b) With 200A ECD seed (d) With 800A ECD seedFigure 13: Comparison of the Gap-Fill using ECD Seed on 400A PVD copper for vias(0.4prm, 3:1 AR).

132 Electrochemical Society Proceedings Volume 99-9

Page 143: _sWni7aGN

(a) I(0)(A VVD

(b) After 800A ECD Seed

(c) Full-fill after ELCD seedFigure 14: Comparison of the gap-fill using ECD seed on IOOOA PVD seed trenches

Electrochemical Society Proceedings Volume 99-9 133

Page 144: _sWni7aGN

Thermodynamics of Faceting on the Submicron Scale

in Copper Electroplating

Q. Wu and D. Barkey

Department of Chemical Engineering

University of New HampshireDurham, NH 03824

Copper single crystal electrodes with orienta-tions of (100) and (110) were imaged by atomicforce microscopy during copper deposition in acidsulfate solution with and without chloride. At lowoverpotentials, facets appear only in the presenceof chloride. The roughening and faceting transi-tions observed as potential was varied, and thestabilization of facets and terrace edges by chlo-ride are analyzed in thermodynamic terms.

Introduction

The atoms on the surface of a copper crystal immersed in a plating bathare mobile at ambient temperature and will tend toward an equilibrium config-uration by galvanic action and by surface diffusion. On the macroscopic scale,this configuration may be faceted and contain regions of singular flatness. Al-ternatively, the surface may be rounded, with a topography smoothed out by anearly isotropic surface tension. 1,2 Corresponding to these macrotopographiesare distinct microscopic configurations, the singular surface and the micro-scopically rough surface. Facets give way to smoothly rounded features as thetemperature is raised above the local roughening threshold. 3'4

At ambient temperature in vacuum, the equilibrium shape of copper isfaceted, and even viscinal faces roughen only at elevated temperatures. Cu(110)has been shown to remain singular at least to 900 K 5, and Cu(100) andCu(lll) to at least 770 K6 . Using helium scattering, Villain et al7 found aroughening temperature T, of 431 K for Cu(113), 356 K for Cu(115) and 315K for Cu(117), while Fabre et al found Tr=380 K for Cu(1l5). The interpre-tation of these measurements has since been questioned, and X-Ray scattering,9 LEED10 and recent He scattering" measurements suggest higher transitiontemperatures. Hoogeman et a112 report direct observation of a rougheing tran-sition at 465 K on Ag(115) by STM. At the same time, facets are not always

134 Electrochemical Society Proceedings Volume 99-9

Page 145: _sWni7aGN

observed on copper surfaces in sulfate solution, whereas they can be producedeasily if chloride is added to the solution. These observations suggest that im-mersion in solution lowers the roughening temperature of copper surfaces byadsorption or by inclusion of contaminants10 ' 13, and that the singular surfacesare restored by addition of chloride, which raises Tr. There is already experi-mental evidence that the Cu(100) face is reversibly stabilized by chloride,14- 16

and Vogt et al have identified this stabilization as a thermodynamic effect. 14' 15

In this paper, we advance a thermodynamic interpretation of the effectof chloride on copper surfaces in plating solutions. We have pursued thisinterpretation experimentally by observation of faceting on the sub-micronscale on low-index surfaces of copper single crystals. In the two sections thatfollow, relevant portions of the theory of equilibrium roughness and its relationto macroscopic faceting are presented. We consider how adsorbed chloridemay stabilize the Cu(100) surface at equilibrium and relate this mechanismto simple models of thermal roughening. AFM experiments on copper platingon low-index copper crystal electrodes axe then described and related to thetheory.

Macroscopic Description

Immersion of a copper crystal in an electrolyte solution containing themetal ion fixes the electrochemical potential p of the metal, defined as thepartial derivative of the total Gibbs free energy G of the solid phase withrespect to the number of mols n of metal.

OG= On

G, WOG8 [2= n_ + -5-[]On On

Subscript o refers to the bulk phase and subscript s to the surface. Thefirst term is the chemical potential of the bulk metal pro. The second term isobtained by integration of a, the surface excess free energy per unit area, overthe metal-solution interface.

IL= po +0 ds

Because the chemical potential of the metal has a single value, the secondterm can be expressed as a local constraint on the curvature K.1,2 For a twodimensional crystal,

S=/to + tu(O + -•), [3]

P=o + KV4 [4]

Electrochemical Society Proceedings Volume 99-9 135

Page 146: _sWni7aGN

where v is the molar volume and 0 the local surface orientation. The sum ofa and its second derivative with respect to orientation is the surface stiffness

According to Eq. [41, the electrochemical potential of a macroscopic orplanar electrode, for which K is either small or zero, is independent of surfaceorientation because addition or removal of material changes only the quantityof metal in the bulk and not the surface shape or area. For sufficiently smallcrystals or surface features, the curvature is appreciable, and the equilibriumpotential is shifted from the bulk value by a capillary potential represented bythe second term on the right hand side of Eq. 14].

To obtain the equilibrium shape, Eq. [4] is written in terms of surfaceorientation 0 and a position coordinate I defined as distance along the surface.

K = -l = k

The characteristics of the equilibrium surface shape are determined by the de-pendence of a on 0.1 Along close packed orientations at low temperature, thesurface stiffness approaches infinity, and the curvature approaches zero, form-ing facets. Along these singular orientations, a negative curvature (convex)produces a negative capillary potential, and the protrusion retreats to forma flat interface. A positive curvature (concave) produces a positive capillarypotential, and the surface advances to form a fiat interface. For orientationswith finite positive 1P, Eq. [5] can be satisfied by a smooth convex or planarsurface. Orientations with negative stiffness are unstable and do not appear inthe equilibrium shape. For finite shapes, these directions form sharp corners,whereas planar surfaces of unstable orientation decompose to a hill and valleystructure.17 Similar remarks apply to terrace edges. An excess free energy perunit length may be defined, and from its dependence on orientation, the edgestiffness can be determined. Faceted terrace edges should be observed whenthe edge stiffness is infinite.

The stability and equilibrium curvature of a given orientation are func-tions of %P and not of a alone. Adsorbates reduce a on any surface to whichthey spontaneously attach. However, to produce infinite stiffness and facets,adsorption must be narrowly focused on particular orientations. The forma-tion of such ordered adlayers has been well documented for chloride on theCu(100) 2 1 - 2 5 and Cu(111)21,26,27 surfaces.

Microscopic Description

As the temperature of a surface is raised above the roughening temper-ature Tr, its stiffness is reduced and it no longer appears as a facet in theequilibrium shape. On the microscopic level, this corresponds to a shift fromthe low entropy, low energy singular surface toward the high entropy surfacepopulated by islands and adatoms. 18- 20 At ambient temperature, low-indexcopper surfaces are below the roughening temperature in vacuum. However, in

136 Electrochemical Society Proceedings Volume 99-9

Page 147: _sWni7aGN

solution adsorbed species may modify the energetics of the surface. One possi-ble result is chemical roughening or a lowering of T, to ambient temperaturesby specific interaction of solvent or additives with the metal or by inclusionof contaminants in the metal matrix.10, 13 To illustrate how adsorbates mayaffect the energetics of the interface, We consider results for three simplifiedmodels of the interface: a solid on solid modelss,", an island-edge model20,and a terrace-ledge-kink model7 .

In the solid-on-solid model, the energy penalty for placement of an adatomon the surface is added to the product of the temperature and the configura-tional entropy of the radomuly distributed adatoms, and this expression forthe surface excess free energy is then minimized. The transition to a roughsurface is gradual and occurs at approximately

Ur L.1 [6]2 v

Lo is the binding energy of an atom in the bulk, 771 the number of nearestneighbors in the layer below and v the number of nearest neighbors in the bulk.In the island model, a partition function based on the energy of formation ofedges is computed. The edge free energy vanishes, and islands of all sizesproliferate at temperatures above

kTr = n [7)

J is a coupling constant that gives the energy cost of a step change in thesurface height. For a vicinal surface, roughening may occur by proliferation ofkinks at a temperature given implicitly by

W., WoU (I-) 2 [8]

Wn is an energy of interaction between steps, and W,, is the energy of kinkformation.

According to these models, the roughening temperature will be reduced byimmersion in solution if adsorption occurs preferentially at high-coordinationsites. Such adsorption reduces the energy penalty L,, J, or Wo, for formationof adatoms, steps or kinks. Conversely, the roughening temperature wouldbe raised, and faceting restored, if adsorption were to increase L,, J. or W,.This is the case for the VF X v2_ chlorine overlayer on the Cu(100) surface asshown in Fig. (1). The key assumption is that a chlorine atom may occupythe four-fold Cu hollow site only if the four adjacent hollow sites are empty.Fig. (la) shows that addition of an adatom expels one chlorine atom whileaddition of a dimer expels four. The situation for formation of steps is shownin Figs. (1b) and (1c). Vogt et a115 show this type of step arrangement as wellas a second type in which chlorine atoms occupy positions at the edge where

Electrochemical Society Proceedings Volume 99-9 137

Page 148: _sWni7aGN

two adjacent four-fold sites are occupied by copper. Fig. (lb) shows formationof a step along the (110) direction which is the close packed direction of thecopper surface lattice. Formation of a step requires expulsion of chlorine fromthe surface because the adlayer rows on either side of the step are further apartthan rows on the same level. Fig. (Ic) shows the same effect for a step facetedalong the (100) direction. Expulsion of the extra chlorine atoms imposes anadditional energy cost to adatom or step formation by effectively increasingthe number of broken bonds, and it raises T,.

The stability of terrace edges depends on the energetics of kink formation.Fig. (1d) shows formation of kinks on an edge oriented in the (110) direction.Formation of the kink pair expels a chlorine atom from the upper terrace, butcreates a space for it on the lower terrace. Hence there is a no net expulsionof chlorine, and no extra energy cost for kink formation. As a result, this edgedirection should be rough and not appear at equilibrium. Formation of a kinkon an edge oriented in the (100) direction, however, does require expulsion ofchlorine. As shown in Fig. (le), no space on the lower terrace is created for theatom expelled from the upper terrace. Kink formation on this edge requiresadditional energy for removal of chlorine. Therefore, it should be stiff andappear in the equlibrium form. The stabilization of (100) terrace edges hasbeen noted by prevous investigators, who observed that this corresponds tothe close-packed direction of the overlayer.14- 16 We are presenting a differentinterpretation. The close packed direction of the adlayer should be controllingif the energetics of the surface are dominated by chlorine-chlorine interactions.In the model advanced here, the energetics are dominated by the copper-chlorine interaction.

Experiment

Deposits were formed in dilute cupric sulfate to avoid rapid attak of thesubstrate by cupric ion. The basic solution was 0.01 M CuSO4 / 1.0 M H 2S04.0.1mM or 2.0 mM chloride as HCl was added to two of the solutions. Twosolutions without added chloride were prepared, one with reagent grade ma-terials and another with Aesar Puratronic cupric sulfate and sulfuric acid.All of the solutions were made with demineralized water which was doublydistilled and passed through a Nanopure II filtration system. Copper singlecrystal disks of orientation 100 and 110 were obtained from Monocrystals In-corporated. They were polished with 0.05 pm alumina on an irrigated wheeland then electropolished in orthophosphoric acid. After polishing, the sampleswere rinsed sequentially in 10 % nitric acid, 10 % sulfuric acid and water.

The surfaces were imaged with a Digital Instruments Nanoscope E AFMin both deflection and height mode in a fluid cell. Electrolyte was allowed toflow slowly through the cell by gravity from a reservoir. The counter electrodewas placed in the upstream reservoir, and a Hg/HgSO4 reference electrodewas placed in a downstream receiver. A constant potential was applied to theworking electrode with a PARC Model 362 potentiostat. The open-circuit po-tentials varied between -410 and -430 mV versus the reference. In the followingsection, working electrode potentials are reported versus open circuit.

138 Electrochemical Society Proceedings Volume 99-9

Page 149: _sWni7aGN

Results

In high-purity chloride-free solution (Fig. (2)) at a low overpotential of 20mV, the main surface features produced on the Cu(100) surface were squareswith edges facing the (100) direction. The height of the step edges was twoto five nanometers. At 100-300 mV, the deposit did not produce a squaregeometry. Instead, the main features were rough nodules whose edges showedno preferred orientation. At 400-500 mV, flat regions of the (100) orientationreappeared. In reagent solution (Fig. (3)), deposits on the (100) surface formedin the range from 20 to 100 mV were strongly anisotropic. The main featureswere truncated rectangular pyramids with edges parallel to the (100) direction.From 200 to 300 mV, layer growth was produced with isotropic edges and stepheights of two to five nanometers. At 500 mV, the layers were flat with edgesalong both the (100) and (110) directions and step heights greater than 20monolayers. Deposits formed in 0.1 mM HCI (Fig. (4)) were similar exceptthat truncated pyramids were produced at 200 to 300 mV. These grew bysuccessive nucleation of layers with step heights of two to five nanometers.The edges were oriented in the (100) direction. In 2 mM HCI (Fig. (5)) , layergrowth was observed at overpotentials above 150 mV, and at 300 mV, spiralgrowth with steps of a few nanometers appeared. Below 150 mV, the surfacewas dark and rough, probably because of precipitated CuCI.

On the Cu(110) surface in high-purity solution (Fig. (6a-c)), depositsshowed little relation to the substrate orientation, although some anisotropywas visible at 400 mV. In reagent solution (Fig. (6d-f)), ridges formed alongthe (100) direction at 10 mV. At 100 mV, the surface was nearly isotropic. At200 to 300 millivolts, the surface was dominated by truncated tetragonal pyra-mids with edges at an angle of 45 with the (100) direction. In 0.1 mM HCI(Fig. (7a-c)), the surface was dominated by ridges extending in the (100) di-rection and interupted by (111) planes. In 2 mM HC1 (Fig. (7d-f)), the ridgeswere bounded by facets on the (210) and (111) planes. This is similar to theshape of depressions observed by Markovac in dissolution in sulfate solution. 28

In all cases, deposits formed at 600 mV, near the onset of hydrogen evolution,were rough,-probably as a result of three dimensional nucleation and kineticroughening.2

Discussion

The Cu(100) surface appears to undergo faceting/roughening transitions insulfate solution as the concentration of chloride and the potential are varied. Inthe absence of chloride, the Cu(100) surface is rough at low overpotential. Athigh overpotential, the singular surface reappears, suggesting that adsorptionof sulfate at low overpotential and its expulsion at high overpotential playsa role in the transition.3° Chloride stabilizes the Cu(100) surface as well asterrace edges oriented in the (100) direction on this surface. This observationis consistent with the roughening models discussed in the introduction. Thechloride overlayer imposes an energy penalty for addition of adatoms and thecreation of steps. It also suppresses formation of kinks in the stable (100) edgebut not in the unstable (110) edge.

Electrochemical Society Proceedings Volume 99-9 139

Page 150: _sWni7aGN

The observation of an ambient temperature roughening transition can beused to interpret brightening in a thermodynamic context. A macroscopicallysmooth, thermodynamically rough surface is bright, whereas a microfacetedsurface is not. If brighteners are specifically adsorbed at high coordinationsites, they will promote thermal roughening. Another possibility is that incor-poration of the brightener or one of its components provides the rougheningmechanism. 10' 13 In either case, the faceted growth induced by chloride aloneis incompatible with bright plating, and this effect is probably overwhelmedby other additives in practical bright plating.

Conclusion

Copper immersed in solution may undergo adsorbate-induced roughen-ing/faceting transitions at ambient temperatures. Immersion in CuSO4 /H 2S0 4solution eliminates facets at low overpotentials. The reappearance of facets athigh overpotentials may be accompanied by expulsion of specifically adsorbedsulfate, suggesting that this specie plays a role in roughening. Specifically ad-sorbed chloride stiffens the Cu(100) surface and restores the singular interface.Chloride also stiffens (100) edges, but not (110) edges, on the Cu(100) surface.Our results support the conclusion of Vogt et al that faceting of the Cu(100)surface in chloride solution is a thermodynamic effect. 14 ,15

Acknowledgements:

This work was supported by the National Science Foundation under Gr. Nos. CTS-9306837 and CTS-9622634

References

1. C. Herring, Phys. Rev., 82, 87 (1951).2. C.Herring in Structure and Prooperties of Solid Surfaces, R. Comer and

C.S. Smith eds., University of Chicago Press (1953).3. J.C. Heyraud and J.J. Metois, Surf. Sci., 128, 334 (1983).4. J.C. Heyraud and J.3. Metois, J. Crys. Growth, 82, 269 (1987).5. P. Zeppenfeld, K. Kern, R. David and G. Comsa, Phys. Rev. Lett., 62, 63

(1989).6. J. Lapujoulade, J. Perreau and A. Kara, Surf. Sci., 129, 59 (1983).7. J. Villain, D.R. Grempel and J. Lapujoulade, J. Phys. F, 15, 809 (1985).8. F. Fabre, D. Gorse, J. Lapujoulade, and B. Salanon, Europhys. Lett., 3,

737 (1987).9. K.S. Liang, E.B. Sirota, K.L. D'Amico, G.J. Hughes and S.K. Sinha,

Phys. Rev. Lett., 59, 2447 (1987).

10. J. Wollschlager, E.Z. Luo and M. Henzler, Phys. Rev. B, 44, 44 (1991).11. H.J. Ernst, R. Folkerts and L. Schwenger, Phys. Rev. B, 52, 52 (1995).12. M.S. Hoogeman, M.A.J. Klik, D.C. Schlosser, L. Kuipers and J.W.M. Frenken,

Phys. Rev. Lett., 82, 1728 (1999).

140 Electrochemical Society Proceedings Volume 99-9

Page 151: _sWni7aGN

13. B.E. Sundquist, Acta. Metall., 12, 585 (1964).

14. M.R. Vogt, A. Lachenwitzer, O.M. Magnussen and R.J. Behm. Surf. Sci.,399, 49 (1998).

15. M.R. Vogt, F.A. Moller, C.M. Schilz, O.M. Magnussen and R.J. Behm.Surf. Sci., 367, L33 (1996).

16. T.P. Moffat, Mat. Res. Soc. Proc., 451, 75 (1997).17. W.W. Mullins, Phil. Mag., 6, 1313 (1961)18. W.K. Burton, N. Cabrera and F.C. Frank, Trans. Roy. Soc. London, A243,

299 (1951).19. D.P. Woodruff, The Solid-Liquid Interface, Cambridge University Press,

Cambridge (1973).20. A. Zangwill, Physics at Surfaces, Cambridge University Press, Cambridge

(1988).

21. J.L. Stickney, C.B. Ehlers and B.W. Gregory, Langmuir, 4, 1368 (1988).22. I. Villegas, C.B. Ehlers and J.L. Stickney, J. Electrochem. Soc., 137, 3143

(1990).23. C.B. Ehlers and J.L. Stickney, Surf. Sci., 239, 85 (1990).24. C.B. Ehlers, I. Villegas and J.L. Stickney, J. Electroanal. Chem., 284, 403

(1990).25. J.L. Stickney, I. Villegas and C.B. Ehlers, J. Am. Chem. Soc., 111, 6473

(1989).26. D.W. Suggs and A.J. Bard, J. Am. Chem. Soc., 116, 10725 (1994)27. J.L. Stickney and C.B. Ehlers, J. Vac. Sci. Technol., A7, 1801 (1988).

28. V. Markovac, J. Electrochem. Soc., 119, 1461 (1972).

29. W.U. Schmidt, R.C. Alkire and A.A. Gewirth, J. Electrochem. Soc., 143,3122 (1996).

30. G.M. Brown and G.A. Hope, J. Electroanal. Chem., 382, 179 (1995).

Electrochemical Society Proceedings Volume 99-9 141

Page 152: _sWni7aGN

aC

b c

e

Figure 1. Cu(IO0) surface with chlorine overlayer. The lower copper layer isshown in light gray, the upper copper layer in dark gray and chlorine in white.a. Adatoms, b. terrace edge, (110) direction, c. terrace edge, (100) direction, d.kinks on the (110) edge, e. kinks on the (100) edge.

142 Electrochemical Society Proceedings Volume 99-9

Page 153: _sWni7aGN

a b

c d

z,.00

e -d

Figure 2. AFM deflection images of Cu(100) in high-purity solution.

Electrochemnical Society Proceedings Volume 99-9 143

Page 154: _sWni7aGN

a b

I Md

ef

Figure 3. AFM deflection images of Cu(100) in reagent solution.

144 Electrochemical Society Proceedings Volume 99-9

Page 155: _sWni7aGN

a b

c d

Figure 4. AFM deflection images of Cu(IOO) in 0.1mM CI- solution.

Electrochemical Society Proceedings Volume 99-9 145

Page 156: _sWni7aGN

i1 II

1.00

c d

I~w 0

Figure 5. AFM deflection images of Cu(100) in 2.0mM Cl- solution.

146 Electrochemical Society Proceedings Volume 99-9

Page 157: _sWni7aGN

a b

C d

e f

Figure 6. AFM deflection images of Cu(1 10) in high-purity solution (a-c)

andin reagent solution (d-e).

Electrochemnical Society Proceedings VoIlume 99-9 147

Page 158: _sWni7aGN

a b

Figure 7. AFM deflection images of Cu(1 10) in 0.1 rMlv chloridesolution (a-c) and in 2.0 oM chloride solution (d-e).

148 Electrochemical Society Proceedings Volume 99-9

Page 159: _sWni7aGN

Deposition of Copper on TiN From Pyrophosphate Solution

John G. Long, Aleksandar Radisic, Peter M. Hoffmann and Peter C. Searson

Department on Materials Science and Engineering

Johns Hopkins UniversityBaltimore, MD 21218

AbstractIn this paper, we report on the electrochemical deposition of copper on a30 nm TiN barrier film from pyrophosphate solution. We show thatdeposition occurs through the instantaneous nucleation of hemisphericalclusters followed by diffusion-limited growth over a wide potential range.

In this potential regime, the nucleus density increases exponentially withapplied potential.

IntroductionCopper deposition onto most diffusion barrier materials occurs through Volmer-

Weber island growth [1,2]. In order to electrochemically deposit continuous thin films itis essential to develop a fundamental understanding of the mechanism of nucleation andgrowth as a function of solution chemistry and applied potential. In this paper we reporton the deposition of Cu on unpatterned TiN surfaces from pyrophosphate solution.

ExperimentalThe substrates for deposition were prepared by sputter deposition of 30 nm TiN on n-

Si(100), N, = 1 x 10"' cm 3 (Wacker Siltronic, AG). The TiN layer was rf sputtered atroom temperature for about 1 minute (V, = 620 V). In all cases ohmic contacts weremade to the back side of the silicon wafer using InGa eutectic. Since the n-Si/TiNcontact is ohmic, this method avoids limitations associated with the sheet resistance of

the TiN layer. The aqueous 50 mM Cu(II) solution was prepared from 25 mMCu2P2O7"3H 20 with 0.2 M K4 P20 7 . The pH of the solution was adjusted to pH 8.5 withpyrophosphoric acid (H 4P 20 7 ). From the equilibrium constants, we determine that > 99%of the Cu(II) is present in the form of Cu(P 20 7)

6-.

The experiments were performed under ambient conditions using a conventionalthree-electrode cell with a Ag/AgCI (3 M NaC1) reference electrode connected via aLuggin capillary and a platinum gauze counter electrode. All potentials are given withrespect to the reference electrode (0.22 V vs. NHE).

Results and DiscussionFigure 1 shows current-potential curves for TiN in 0.25 M KaP 20 7, with and without

50 mM Cu(II) at a scan rate of 10 mV s-1 . In the 50 mM1 Cu(II) solution, the open-circuit

Electrochemical Society Proceedings Volume 99-9 149

Page 160: _sWni7aGN

potential was 0.11 V, and the first cycle was initiated from this potential. The onset of

Cu(II) reduction on the first scan occurs at about -0.5 V, followed by a small peak at-0.75 V and a characteristic diffusion-limited growth peak at -0.95 V. After thedeposition peak, the current again increases at a potential of about -1.2 V due tohydrogen evolution resulting from the reduction of water at copper clusters on the TiNsurface. The reverse scan in the 50 mM Cu(II) solution shows a steady-state, diffusionlimited deposition current density of about 2 mA cm-2 over a wide potential range. Atpotentials positive to -0.25 V, a stripping peak is observed corresponding to the removalof about 630 equivalent monolayers of copper (assuming 100% Faradaic efficiency).

On the second cycle, the onset for copper deposition is shifted to about -0.3 V. Sincethe copper deposited during the first cycle is not completely stripped from the surface, the

0.2 V shift in the deposition peak indicates that a nucleation overpotential is required forthe deposition of copper onto TiN. Subsequent scans are essentially equivalent to thesecond sweep and suggest that the that the deposition and dissolution of copper onTiN/Cu is a quasireversible process. Similar features have been reported for copperdeposition from borate solutions [2].

The mechanism of nucleation and growth was determined by analysis of depositioncurrent transients as a function of potential. Figure 2 shows a series of current transientsfor copper deposition on TiN from 50 mM Cu(lI) solution for potential steps from theopen-circuit potential to deposition potentials in the range from -0.9 V to -1.5 V plottedon a semi-log plot. The nucleation and growth process is characterized by a current peakwhere the deposition current first increases due to the nucleation of copper clusters andthree-dimensional diffusion-controlled growth, and then decreases as the diffusion zonesoverlap resulting in one-dimensional diffusion-controlled growth to a planar surface [3-6j. The deposition transients are characterized by a maximum current, i..,, that occurs attime tmax.

After the current maximum (t > t.ax), the transient deposition current decreases withtm2 . From plots of i2 vs. t, the diffusion coefficient for Cu(P 20 7 )6 was determined to bebetween 1 x 10-6 and 2 x 10.6 cm 2 S-I over the potential range from -0.9 V to -1.5 V. Thisvalue is somewhat smaller than the value of 6 x 10.6 cm 2 s- for Cu 2÷ [71 due tocomplexation of the copper ions. These results confirm that at long times in themeasured potential range, linear diffusion to a planar surface is the rate limiting step inthe deposition process. At longer times (typically t > 3t,,,x) the transients exhibit a smallsecond peak possibly due to renucleation on the existing clusters.

Figure 3 shows selected deposition transients replotted in dimensionless form. Alsoshown are the growth laws for diffusion-limited growth of 3D hemispherical clusters.The time-dependent deposition current density (normalized to the geometric surface area)for instantaneous nucleation followed by three dimensional diffusion-limited growth isgiven by [3,4]:

150 Electrochemical Society Proceedings Volume 99-9

Page 161: _sWni7aGN

1/2 1/22 L1 exp N 81coV)t)]1

where c. is the bulk concentration, N. is the nucleus density, and V is the molar volume

of the deposit. For progressive nucleation, the time-dependent deposition current density

is given by:

i~)=zRcD 12 1 exp(4 kND(21t 3cOV /2 t2)](2i 7t E 1/2 t /2 L P- (2

where k is the (first order) nucleation rate constant.

The normalized current density for instantaneous nucleation followed by diffusion

limited growth is given by:

i2 = 1.942tmax _exp(_l1.2564 t__ (3• =1942F 1 {3}

1max L tmax

For progressive nucleation, the normalized deposition current is given by:

S2= tma t 2 ]2 1.2254 m -exp - 2 .3 3 6 7 {4}

'max t . tmax

From Figure 3 it can be seen that the deposition transients in the potential range from

-1.1 V to -1.3 V follow the theoretical growth law for instantaneous nucleation followed

by diffusion limited growth. At more positive potentials the transients follow the

instantaneous nucleation growth law at short times but then deviate at longer times due to

the second peak. At potentials negative to -1.4 V, the deposition current at long times is

larger than predicted by the instantaneous nucleation model due to water reduction on the

copper clusters.

According to the model for instantaneous nucleation followed by three dimensional

diffusion limited growth [3,4], t_ and i.., are given by:

tmx= 1.2564 / 5

max - NoirD(87cOV)I/ 2 (51

imax = 0.6382zFc0D(8itc0V) )No 16

Electrochemical Society Proceedings Volume 99-9 151

Page 162: _sWni7aGN

Figure 4 shows the dependence of t... and i,.. on the deposition potential. The slopesof the linear regions in the two plots are aU/alogt... = 240 mV/decade and aU/alog(-i_,,)= -447 mV/decade. Assuming that the nucleus density No is the only potential dependentparameter in equations {5) and (6) then we obtain aU/alogi_, = 2 alU/alogtm.,. From thevalues of the slopes we obtain a coefficient of 1.87 indicating that the potentialdependencies of t,,, and imax are determined by the potential dependence of N0.

Equations (51 and (6} can be combined to give the following expression for thenucleus density:

/ -1/2( "2

N0 =0.65 1 ) zFc0 2(7)

8c 0VJ Himaxtmax

Figure 5 shows the potential dependence of the nucleus density obtained fromanalysis of the current transients according to equation (71. The exponential dependenceof the nucleus density on potential suggests thermal activation of nucleation sites,consistent with classical nucleation models [5,8] where No - exp(-eAU/kT).

Analysis of deposition transients shows that deposition of copper on TiN from 50

mM copper (II) pyrophosphate solution proceeds through instantaneous nucleation ofthree dimensional hemispherical clusters and diffusion limited growth. Determination ofthe diffusion coefficient from the current maximum and analysis of the current decayusing the Cotrell equation yielded values of 1 x 10.6 to 2 x 10.6 cm2

s-', slightly lowerthan the value for Cu2 * ions due to the presence of the pyrophosphate ligand. The

potential dependence of i,,, and tin,a suggest that the nucleus density is the only potentialdependent parameter.

AcknowledgementsThis work was supported by SRC and the National Science Foundation under grant

CTS-9732782.

References1. G. Oskam, J. G. Long, A. Natarajan, and P. C. Searson, J. Phys. D: Appl. Phys., 31,

1927 (1998).

2. G. Oskam, P. M. Vereecken, and P. C. Searson, J. Electrochem. Soc., 146, 1436(1998).

3. G. Gunawardena, G. J. Hills, I. Montenegro, and B. R. Scharifker, J. Electroanal.Chem., 138, 225 (1982).

4. B. R. Scharifker, and G. J. Hills, Electrochim. Acta, 28, 879 (1983).5. E. Budevski, G. Staikov, and W. J. Lorenz, Electrochemical Phase Formation and

Growth, VCH, Weinheim (1996).

152 Electrochemical Society Proceedings Volume 99-9

Page 163: _sWni7aGN

6. Southampton Electrochemistry Group, Instrumental Methods in Electrochemisty,Ellis Horwood, New York, (1990).

7. T. I. Quickenden and Q. Xu, J. Electrochein. Soc., 143, 1248 (1996).

8. M. Volmer, Kinetics of Phase Formation, Steinkopff, Dresden (1939).

0.015

0.01

0.005

0

-0.005 b 2

-1.5 -1 -0.5 0 0.5U (V vs. Ag/AgCI)

Figure 1. Current-potential curves for TiN in 0.25 M K4P20 7 with (a) 0 and (b) 50 nM

Cu(II) at a scan rate of 10 mV s-'. The first scan (1) was initiated at the open-circuit

potential (-0.1 V).

0

-0.02 . ... . .......5 ... v, ,,_ ...

0.01 0.1 1 10 100

Time (s)

Figure 2. Current transients for the deposition of copper on TiN at (from top): -0.9 V,

-0.95 V, -1.00 V, -1.05 V, -1.10 V, -1.15 V, -1.20 V, -1.25 V, -1.30 V, -1.35 V, -1.40 V,

-1.45 V, and -1.50 V

Electrochemical Society Proceedings Volume 99-9 153

Page 164: _sWni7aGN

0.8 0.8

F 0.6 0.6

0.4 0.4

0.2_ 0.2

-0.95V -105V

0 1 2 3 0 1 2 3

1 -I - 1 I

0.8 0.8

E 0.6 0.6

0.4 0.4

0.2 0.2

-1.1-115Vo C0 1 2 3 0 1 2 3

11

0.8 0.8-

E 0.6 0.6-.-,,

0.4 0. 4

0.2 0.2(-1.2V I j-1.3V

0 C,0 1 2 3 0 1 2 3

t/tmax t/tmax

Figure 3. Reduced parameter plots for selected transients for the deposition of copper at

-0.95 V, -1.05 V, -1.10 V, -1.15 V, -1.20 V, and -1.30 V. Also shown are the theoretical

curve for instantaneous (dashed line) and progressive (solid line) nucleation.

154 Electrochemical Society Proceedings Volume 99-9

Page 165: _sWni7aGN

10"1 I , 100

10l-2 10l

1T 103 17

1 0 -4 I 0.1-1.5 -1.3 -1.1 -0.9 -1.5 -1.3 -1.1 -0.9

U (V vs. Ag/AgCI) U (V vs. Ag/AgCI)

Figure 4. Potential dependence of t... and ia,,, obtained from the current transients

plotted versus the deposition potential.

108 I ,

S107o 8_ 000

z 0106 0

00

105

-1.5 -1.3 -1.1 -0.9

Potential (V vs. Ag/AgCl)

Figure 5. Nucleus density determined from deposition transients plotted versus the

deposition potential.

Electrochemical Society Proceedings Volume 99-9 155

Page 166: _sWni7aGN

ELECTROCHEMICAL STUDY OF COPPER DEPOSITIONON SILICON SURFACES IN HF SOLUTIONS

1. Teerlinckl, W.P. Gomes , K. Strubbe2 , P.W. Mertens' and M.M. Heyns'IIMEC, Kapeldreef 75, B-3001 Leuven, Belgium2Universiteit Gent. Laboratorium voor Fysische Chemie, Krijgslaan 281, B-9000

Gent, Belgium

We studied the electrochemical reduction of copper ions at n-type and p-type Sielectrodes in I M HF solutions. From voltammetric measturements it is foundthat in I M HF [F+ 0.1 M H2S0j and in I M HF - 0.1 M HCI solutions thereduction of copper ions occurs by hole injection. In I M [IF + I M HCIsolutions the reduction occurs by electron capture from the conduction band.

INTRODUCTIONThe mechanism of an electrochemical reaction at semiconductor electrodes depends uponthe position of the redox Fermi level in solution with respect to the position of thebandedges of the semiconductor. In this study we investigated the reduction of copperions on Si surfaces in HF solutions and we examined the effect of adding HCI to the HFsolutions.

EXPERIMENTALSi samples were cut from n-type (N, = 2.7-5x 10'" cm-3) and p-type (NA = 4.3-6.5x 10''cm-3) Cz Si(100) wafers. The samples were cleaned by immersion in a H2SO 4/H202(volume ration 4/1) solution at 100°C followed by a 0.5% HF dip at room temperature.This procedure results in an oxide-free, hydrogen-terminated, ultra-clean Si surface.1

Ohmic contacts on the backside of the samples were made by applying a Ga-In alloy. TheSi electrode surface exposed to the solution (0.28 cm 2 ) was defined using a Viton washerin a PCTFE holder. The electrochemical experiments were performed using aconventional three-electrode cell containing a platinum counter electrode and anAg/AgCI reference electrode. All potentials are given with respect to the Ag/AgCIelectrode. Prior to the measurements, high-purity N2 was bubbled through the solution inorder to remove dissolved oxygen. During the measurements an N 2 blanket wasmaintained above the solution. All electrochemical experiments were carried out indarkness. We studied the reduction mechanism of copper ions in the following solutions:I M HFE + 0.1 M HSO.1, IM HF + 0.1 M HC1 and I M HF + I M IICI. Copper was addedin the ItF/H 2S0 4 and HF/HCI solutions as CuSO 4 and CuCI 2, respectively.

RESULTS AND DISCUSSIONFigure 1 shows current-potential curves obtained at n-type (a) and p-type Si (b) in a I MH4F + 0.1 NI lI 2S0 4 with (full line) or without (dashed line) 5x l0-4 1\ CuSO4 . At n-typeSi. in the absence of copper, the onset of hydrogen evolution is observed at about -0.8 V.Under anodic polarization only a very low anodic saturation current is measured, due tothe absence of holes required for the anodic oxidation of Si. 2 The voltammogramrecorded in the copper containing solution shows a cathodic current peak in the forwardscan, attributed to the reduction of copper ions. At more negative potentials the cathodiccurrent increases exponentially due to the reduction of protons at the Si electrodepartially covered with Cu. The presence of copper ions in the solution also results in asignificant increase of the anodic current at n-type. At p-type Si, in the absence of copperions, only a very small cathodic current is measured, since no conduction band electrons

156 Electrochemical Society Proceedings Volume 99-9

Page 167: _sWni7aGN

are avai able 1or proton reduction. I however, in the presence of copper ions in thie solutiona reduction current is clearly observed at p-type Si. This result shows that the reduction ofcopper ions occurs by a valence band reduction mechanism, i.e. hole injection. The firststep of the anodic dissolution of Si requires the presence of valence band holes at the Sisurface, resulting in the formation of electron deficient surface bonds.2 After this initialhole capture, electrons are thermally excited to the conduction band, while a fluorine ionbonds to the surface Si atomn. This electron injection results in an increased anodic currentmeasured at n-type Si in the presence of copper ions in the solution.Cyclic voltammograms obtained in a 1 M HF + 0.1 M HCI solution with (full line) orwithout (dashed line) 5x 10-4 M CuCl1 obtained at n-type and p-type Si are shown inFiguitre 2(a) and 2(b), respectively. Also in this solution the reduction of copper ions isfound to occur by hole injection, resulting in a cathodic current at p-type Si and anincreased anodic current at n-type Si.Figure 3(a) and 3(b) show cyclic voltammograms obtained in a I N\ HF + I M 1tC1solution with (full line) or without (dashed line) 5x 10-4 M CuCI 2 obtained at n-type and p-type Si, respectively. At ti-type Si. a reduction current attributed to the reduction ofcopper ions is still observed. I lowever, we only observe a very small cathodic current atp-type Si in copper containing solutions. This shows that in a I NI IIF + 1 I- HC solutionthe reduction of copper ions occurs largely by electron capture from the conduction band.To estimate the position of the bandedges we performed Mott-Schottky measurements.Figure 4 shows the results obtained in a I M HF + 0.1 M H 2SO4 solution With or without5x 10-4 M CuSO4 and Figure 5 shows the results in I M HF + 0.1I M HCI with or without5x1(- 4 NI CuCI 2. The addition of HCI is found to have no effect on the position of thebandedges. The bandedges estimated from the results are Ecb = -0.8 eV and E,, = 0.3 eV.The presence of copper in the solution has no effect on the flat band potential for p-typeSi. For n-type Si however we observe a shift of 160 mV to more negative potentials. Inthese solutions the copper reduction occurs by hole injection. Under depletion conditionsthe holes injected into the n-type by the copper ions remain at the surface. This results inan increased etching of the Si surface and therefor in an increased surface concentrationof Si-F bonds. Due to the highly polar nature of this bond this results in an increasednegative charge at the Si surface resulting in a negative shift of the bandedges. At p-typeSi under depletion the injected holes are driven towards the bulk of the substrate.fherefor no shift of the flat band potential is expected for p-type Si.Figure 6 shows Mott-Schottky measurements in I M HF + I M HCI solutions with orwithout 5x]04 M CNICI2. It is seen that the addition of I M HCI has no effect on theposition of the Si bandedges. Upon addition of 5x 0-4 M CuCI2 there is also no shift ofthe flatband potential of n-type Si. This follows from the fact that the reduction of copperions in this solution occurs by electron capture from the conduction band and not by holeinjection.From the Mlott-Schottky measurements it follows that the change of the reductionmechanism Cor copper ions from a valence band to a conduction band mechanism by theaddition of I M 1-CI can not be attributed to a shift of the position of the bandedges of theSi. Therefor NNe suggest that the addition of I M HCI results in the formation of cupricand cuprous chloride species resulting in a shift of the redox Fermi level.

REFERENCESNIl. Nieuris ei el.. Proc. ECS Fall meeting 1993 (ECS, Inc., Pennington, 1994) p. 518.

- F.S. Kooij and D. Vanmaekelbergh, J. Electrochemn. Soc., 144, 1296 (1997).

Electrochemical Society Proceedings Volume 99-9 157

Page 168: _sWni7aGN

0.10.1 (a) (b)

0.05

0 - - --- - - - - - - - - - - -

/E

;i 0 --E

-0.1 -0.05

-0.2 -0.1-1 2 -0.8 -0.4 0 04 -1.2 -0.9 -0.6 -0.3 0

U vs Ag/AgCI (V) U vs Ag/AgCI (V)

Figure 1. Current-potential curve for (a) n-type and (b) p-type Si in darkness in a 1 M HF+ 0.1 NI 112SO4 with (full line) or without (dashed line) 0.5 mM CuSO 4 .

0.05 0.01(a) (b)

E 0

-0.05 -0.01

-0.1 -0.02-1.2 -0.8 -0.4 0 0.4 -1.2 -0.8 -0.4 0

U vs AgIAgCI (V) U vs Ag/AgCI (V)

Figure 2. Current-potential curve for (a) n-type and (b) p-type Si in darkness in a I M HF+ 0.1 M HCI with (full line) or without (dashed line) 0.5 mM CuCI2.

0.1 0.005(a) (b)

0 00---- -- -- -- -- -- -- -- -----

-0.1 -0.005

-0.2 -0.01-1.2 -0.8 -0.4 0 0.4 -1.2 -0.9 -0.6 -0.3 0

U vs Ag/AgCI (V) U vs Ag/AgCI (V)

Figure 3. Current-potential curve for (a) n-type and (b) p-type Si in darkness in a I M HF+- I M HCI with (full line) or without (dashed line) 0.5 mM CnCI2.

158 Electrochemical Society Proceedings Volume 99-9

Page 169: _sWni7aGN

8 4

6 3

Sp-Si _ "-Si

'E 'E4 2

on-Si 0

o p-Si U,

2 1I.

o 0-14 -0.7 0 0.7 1 4 -1.5 -1 -0.5 0 05

U vs AgIAgCI (V) U vs Ag/AgCI (V)

Figure 4. Mott-Schottky plots of n-type Figure 5. Mott-Schottky plots of n-typeand p-type Si in 1 M IIF +0.1 M H2 S0 4 and p-type Si in 1 M HF + 0.1 M HCl withwith (open circles) or without (full (open circles) or without (full circles) 0.5circles) 0.5 mM CuSO4 . (measuring mM CuC12 (measuring frequentie 15 kHz).frequentie 15 kHz).

6

4 n-sl

E

b 2

0 ns-1.5 -075 0 075 1.5

U vs Ag/AgCI (V)

Figure 6. Mott-Schottky plots of n-typeand p-type Si in I M HF + 1 M HCI with(open circles) or without (full circles) 0.5mM CuLCI2 (measuring frequentie 15kHz).

Electrochemical Society Proceedings Volume 99-9 159

Page 170: _sWni7aGN

Charge exchange processes during metal depositionon silicon from fluoride solutions

P. Gorostiza, R. Diaz, F. Sanzi, J. R. MoranteDepartaments de Quimica Fisica i Electr6nica, Universitat de Barcelona.

Marti i Franquds, 1. Barcelona E-08028

P. AllongueCNRS UPR 15, Universit6 Pierre et Marie Curie.

Tour 22, Place Jussieu, 4. Paris F-75005

The deposition of platinum and nickel on silicon from fluoridesolutions at the open-circuit potential is studied under potentiostaticcontrol. The results are interpreted in terms of the coupling betweenthe anodic dissolution of silicon in fluoride media and the cathodicreactions, including metal deposition and hydrogen evolution.Platinum ions reduce to metallic Pt by injecting holes into the Sivalence band. Thus Pt ions act as an oxidizing agent for silicon, andresult in the simultaneous formation of photoluminescent poroussilicon under certain conditions. Nickel ions may exchange chargewith both the conduction and the valence band. The reduction of Niions competes with hydrogen evolution, and the deposition of Ni canonly be achieved at high pH where it is kinetically faster. The role ofsilicon surface states as reaction intermediates is discussed.

INTRODUCTION

The interest of metal deposition on silicon from fluoride solutions arisesfrom several areas: plating processes (usually as the activation step) [1], toolsfor silicon characterization (defect revealing, junction delineation) [2] or studiesof the damaging effects due to metallic contaminants in cleaning solutions [3].

Metal ions can be reduced and deposited on the silicon surface whenthey withdraw electrons from the substrate, but different effects can beexpected if the transfer of electrons is done with the conduction band (CB, freeelectrons) or from bonding levels (valence band, VB). Several factors must betaken into account to dilucidate the mechanism, namely the chemical potentialof the metal system in solution, the energy of silicon bandedges and the bandbending at a given pH, and the chemistry of the silicon surface in the solutionunder study. The experimental energy diagrams can be sketched to give an

5 Corresponding author. E-mail: [email protected]

160 Electrochemical Society Proceedings Volume 99-9

Page 171: _sWni7aGN

insight into the possible charge transfer processes. For example, platinum IIand IV levels in solution lie close to the silicon VB and thus hole exchangebetween them can be significant (figure 1, left). Ni2+/Ni redox energy level,however, is located within the silicon bandgap and it cannot in principleexchange ions directly with either of the bands (figure 1, right).

Fluoride solutions are known to etch the silicon oxide and even siliconitself, depending on pH and the availability of holes at the surface. In thesteady-state situation at the open circuit potential (OCP), the oxidation currentthrough the silicon surface is balanced with a cathodic current of the sameamount and opposite sign, such as to yield net zero current. Thus the OCP ofthe system is the potential leading to the same rate for the two reactions("mixed potential"). The cathodic current may be due to the reduction of protonsor water molecules (hydrogen evolution reaction, HER) or the reduction ofmetal ions if they are present in the solution. Actually a competition betweenboth cathodic reactions is established, and given a set of conditions, thereaction having faster kinetics will be the prevailing one. The two half-cellreactions usually occur at different sites of the surface, namely cathodic (metalnucleation) sites and anodic (substrate corrosion) sites. Results concerning thedeposition of Pt and Ni are presented, including the analysis of their coupledeffects with the silicon oxidation reaction.

p-Si electrolyte n-Si electrolyte p-Si

/ --500 -1000c

Conduction Bandedge

-0 -500- NEIFN'

M

Pt*41Pt° - 500 0-

Valence Bandedge

500

Potential PotentialE /mV vs SCE E ImV vs SCE

Figure 1: Energy diagrams showing the relative positions of the silicon bandgap and thechemical potential of platinum ions (left) and nickel ions (right) in fluoride solutions.

Electrochemical Society Proceedings Volume 99-9 161

Page 172: _sWni7aGN

EXPERIMENTAL

The silicon (100) substrates were cut from n- and p-type wafers(Siltronix) having a resistivity of 1 0.cm. Ohmic contacts were obtained bypainting the rear side with InGa alloy. Electrochemical measurements wereperformed in a teflon cell using the standard three-electrode configuration andin darkness unless otherwise specified. The potentiostat was a SolartronElectrochemical Interface 1287 and capacitance measurements wereperformed with a Solartron Frequency Response Analyzer 1255 at 25 KHz.Prior to the experiments, and in order to have a well-defined departure surface,samples were electropolished as described in [4]. In this way, reproduciblemeasurements of the Si flatband potential using the Mott-Schottky method canbe obtained. Concentrated HF was used to remove the Si oxide, and all othersolutions were freshly prepared from reagent-grade chemicals (Merck) andMilliQ water. Platinum deposition solutions were 2 M fluoride (pH=l) and 1 mMK2PtCl6. Nickel deposition solutions were 50 mM NiSO 4.6H2O in 5 M fluoride atpH<1 and pH=8 (prepared from concentrated HF and NH4F respectively).Samples were inspected by SEM (using either a Leica Stereoscan S-360 or aCambridge S-120 equipped with energy dispersive X-ray analysis), TEM(Philips CM-30) and tapping mode AFM (Nanoscope Ill).

RESULTS AND DISCUSSION

Platinum deposition

Due to the overlap between the Pt 4÷/Pt redox level in solution and the SiVB (figure 1, left), Pt ions can easily withdraw electrons from the VB (i.e. injectholes). This occurs even at the OCP and leads to Pt deposition. Wheneverholes are captured at the surface in the presence of fluoride ions in thesolution, the silicon will be simultaneously oxidized. The hole injection currentcan be measured as a cathodic plateau in the I-V plot of a p-type electrode.The value of the plateau (hole injection current) depends on the concentrationof Pt4* ions in solution and in the stirring conditions, as corresponds to adiffusion-controlled process [5]. It is well known that relatively low oxidationcurrents in fluoride media lead to the formation of porous silicon (PS), whereaslarger currents result in the condensation of an oxide at the silicon surface(electropolishing regime) [6]. In the conditions employed in the experiments,hole injection currents of a few hundreds of pA/cm 2 were obtained, while the PSregime spans 20 mA/cm 2 in a 2 M fluoride solution [7]. Thus the Si substrateundergoes an oxidative process in the PS regime simultaneous to (and as aresult of) the Pt deposition at the OCP. Figure 2 shows a TEM cross-section ofthe PS-like layer that is formed at the anodic sites around the deposited Ptnuclei. As a consequence of the PS formation, samples deposited in this way

162 Electrochernical Society Proceedings Volume 99-9

Page 173: _sWni7aGN

Figure 2: TEM cross-section showing two platinum nuclei deposited on n-type silicon.The nuclei are buried in the substrate and a porous layer has formed around,

display visible photoluminescence [7]. Increasing the hole injection current dueto Pt ions (by increasing Pt concentration or solution stirring) or decreasing thefluoride concentration can lead to the formation of oxides in the electropolishingregime. In a coarse approach, Pt reduction is spontaneous because it dependslittle on the band bending or the silicon type (there are always bonding electronsavailable at the surface) and therefore Pt deposition can be regarded as theinitiating step of the overall reaction. In addition, Pt reduction is kinetically fasterthan the HER as the cathodic reaction, because the H*/H 2 redox level is morenegative than Pt4*/Pt at this pH. This point is further developed in the case of Ni.

Nickel Deposition [8]

The Ni2 /Ni redox level lies far from both the CB and the VB, so that inprinciple there is no charge available for deposition. This is shown for pH<1 infigure 1 (right), and is in agreement with the fact that Ni could not be depositedfrom low-pH fluoride solutions neither at the OCP nor under negative bias.However, increasing solution pH up to 8 enabled Ni to deposit on the surfaceeither by hole injection or by electron extraction (figure 3), while the relativeposition of the Ni level and the Si bandedges remains essentially unchanged.Furthermore, voltammetry (stripping) measurements yield an OCP depositionrate ten times larger on n-Si (0.19 ML/s) than on p-Si (0.02 ML/s). Theappearance of n- and p-type samples is also quite different: when comparedwith solutions free of Ni ions (figure 4A), the n-Si substrate roughness is stronglyenhanced by Ni deposition (4B), whereas it is practically unchanged in p-Sieither in the dark (4C) or under illumination (4D).

Electrochemiical Society Proceedings Volume 99-9 163

Page 174: _sWni7aGN

•'5, 3 -psi illumination

a) 1

)-2

-10 5 -0.5 0.0

Potential E I V vs SCE

Figure 3: Voltammograms showing that holes are injected from nickel ions into the p-Si VB(lower), and that electrons can also be withdrawn from the CB under illumination (upper).

The reported results can be explained by considering the differentprocesses occurring at the OCP on the Si electrode immersed in a fluoridesolution. The Si etching reaction can be outlined as [9]:

Si-H -> Si" + H* + e (la)Si' + H20 --* Si-OH + H* + e (Ib)

Si-OH + 3HF (or 3H 20) - SiHF 3 (or SiH(OH3) (lc)

where the rate-determining step is (la) and the overall rate for steps (lab) ishighly pH-dependent: 0.03 nm/min at pH=l and 0.5 nm/min at pH=8 [9]. Si-Hbonds can be regarded as weakly acidic, since they are more dissociated asthe pH increases. The radical Si* represents a Si atom with one unpairedelectron and is also involved in the cathodic counter-reactions, namelyhydrogen evolution (HER):

2H÷ + Si' + e' Si-H + 1/H 2 (2a)

H20 + Si" + e' -- Si-H + OH' (2b)

and Ni deposition by either the VB or the CB:

Ni2÷ + Si" --> Si-Ni + 2h+ (3a)Ni2+ + Si" + 2e -> Si-Ni (3b)

164 Electrochemical Society Proceedings Volume 99-9

Page 175: _sWni7aGN

Figure 4: AFM images of the silicon surface after immersion in 5M fluoride solutions at pH=8for 20 min. (A) Blank solution; (B) 50 mM Ni

2*, n-Si in the dark; (C) 50 mM Ni

2*, p-Si in the dark;

(D) 50 mM Ni2*, p-Si under illumination. The substrate RMS roughness is indicated.

Therefore the anodic and cathodic reactions are coupled through theformation of Si" sites. The fact that Ni is deposited at pH=8 and not at pH<1 canbe explained within the framework of the above set of reactions. At pH<1 twofacts are against Ni deposition: (i) the Si dissolution rate is very small (<0.1nm/min), and (ii) dissolution is simply balanced by the HER. The kinetics of HERis actually faster than the reduction of Ni2+ ions since the redox potentialEo[Ni2 /Nil < Eo[H+/H 2]. In other words, the weak dissociation of Si-H bonds andthe strong concentration of protons at low pH favor the HER as cathodiccounter-reaction. The mixed potential is thus established without participation ofthe Ni2+ ions, which cannot even withdraw the bonding (VB) electrons of the Si-H bond (hole injection).

At pH=8, the situation depends on the type of substrate. In the case of p-Si, the mixed potential is defined by dissolution and the Ni VB deposition(reaction 3a). This is supported by the fact that the hole injection rate measuredfrom figure 3 (equivalent deposition rate 0.03 ML/s) is closely related to theexperimental determination (0.02 ML/s). This small deposition rate is consistentwith the AFM images, showing a surface rather homogeneous, probably

Electrochemnical Society Proceedings Volume 99-9 165

Page 176: _sWni7aGN

with the AFM images, showing a surface rather homogeneous, probablycovered by a very thin Ni layer. If Ni deposition was also occurring through theVB process at n-Si, the same rate of deposition should be expected at n- andp-Si electrodes. The increased deposition rate at n-Si must therefore beattributed to the CB process as counter reaction at the OCP. Indeed, thedensity of electrons at the surface of n-Si (calculated from the band bending) isabout 108 times larger than in p-Si. On n-Si the mixed potential is thereforemainly defined by the dissolution (anodic process) and Ni CB deposition(cathodic process, reaction 3b). The HER does not interplay because it iskinetically slower: at pH=8, Eo[Ni 2+/Ni] > E0 [H+/H 2]. The rate of deposition (0.19ML/s) is about 10 times the nominal dissolution rate of Si in the correspondingsolution (0.5 nm/min [9] is equivalent to 0.026 ML/s), which explains theroughness increase after immersion in the Ni solution (figure 2AB). The imagesuggests indeed that the dissolution of n-Si is enhanced by the presence of Niions. This confirms the coupling between metal deposition and dissolution,through the formation of Si' sites (see above). The process is seeminglyautocatalytic.

CONCLUSIONS

An electrochemical study of platinum and nickel deposition on siliconfrom fluoride solutions at the open circuit potential is presented. In the steady-state situation, the silicon oxidation current is balanced with a cathodic currentsuch as to yield net zero current. In the case of platinum, the prevailingcathodic process is platinum deposition by hole injection into the valence band.In nickel solutions, a competition is established between nickel reduction andhydrogen evolution: at pH=8 metal deposition is the prevailing reaction, eitherthrough a valence band process on p-type silicon or through a conduction bandprocess on n-type. On the contrary, at pH<1 the hydrogen evolution reaction iskinetically faster and nickel deposition is not observed. The anodic andcathodic processes are coupled through the formation of silicon surface states.

REFERENCES

(1] C. H. Ting, M. Paunovic, J. Electrochem. Soc. 136 (1989) 456.[2] P. Gorostiza, J. Servat, F. Sanz, J. R. Morante, in Defect Recognition andImage Processing in Semiconductors, A. R Mickelson, editor. lOP Publishing,Bristol UK 1996, p.293.[3] X. Cheng, G. Li, E. A. Kneer, B. Vermeire, H. G. Parks, S. Raghavan, J. S.Jeon, J. Electrochem. Soc. 145 (1998) 352.[4] P. Allongue, C. H. de Villeneuve, L. Pinsard, M. C. Bernard, Appl. Phys.Lett. 67 (1995) 941.

166 Electrochemical Society Proceedings Volume 99-9

Page 177: _sWni7aGN

[5] H. Gerischer, M. Lubke, J. Electrochem. Soc. 135 (1988) 2782.[6] F. Ozanam, J. N. Chazalviel, J. Electron Spectrosc. 64-65 (1993) 395.[7] P. Gorostiza, R. Diaz, M. A. Kulandainathan, F. Sanz, J. R. Morante, J.Electroanal. Chem. in press.[8] P. Gorostiza, M. A. Kulandainathan, R. Diaz, F. Sanz, P. Allongue, J. R.Morante, J. Electrochem. Soc. submitted.[9] P. Allongue, V. Kieling, H. Gerischer, Electrochimica Acta, 40 (1995) 1353.

Electrochemical Society Proceedings Volume 99-9 167

Page 178: _sWni7aGN

EVALUATION OF EFFECTS OF HEAT TREATMENT ONELECTROLESS DEPOSITED COPPER

Kai Yu Liu, Wang Ling Goh and Man Siu TseDivision of Microelectronics, School of Electrical & Electronic Engineering,

Nanyang Technological University, Nanyang Avenue, Singapore 639798

ABSTRACT

Copper (Cu) has been actively pursued as the most promising candidate forreplacing the current Aluminum (Al) metallization for submicron deviceinterconnection because of its higher electrical conductivity and better resistance toelectromigration. In this work, Cu was deposited on A]/Cu/Ti seeding layer byelectroless plating method from formaldehyde-based solution with EDTA as acomplexing agent. The effect of heat treatment on the electroless plated Cu film underinert, oxidizing and vacuum ambient conditions was studied. The temperature of theheating ambient was varied from 200'C to 400'C. The microstructure of electrolessdeposited Cu film was observed using both the SEM and AFM. The X-ray diffraction(XRD) analysis, revealing an increase of the intensity ratio 1(111)/1(200) of the (111)and (100) X-ray peaks, indicated a growth of the (111) crystallographic orientation forthe electroless deposited Cu film with heat treatment. The EDX analysis also showeda change in the element concentration of the electroless Cu sample before and afterheat treatment. This is due to an inter-diffusion of Cu to the seeding layers.

INTRODUCTION

As the ULSI device dimensions approach the submicron region, the current Al-based interconnect materials face more problems in integrated circuits, such aselectromigration and delay time. Cu is a potential substitute for Al metallization dueto its higher conductivity and better resistance to electromigration. Cu can bedeposited by various means such as PVD, CVD and plating (electro- or electrolessplating). Electroless Cu deposition is a potential process for Cu metallization due toits high selectivity, low processing temperature, low cost and good filling capability[1]. The obstacle to the widespread application of Cu technology is however, itsoxidation rate. Cu oxidizes at a significant rate for temperature of as low as 150'C,forming a non-protective surface. Studies on the phenomenon of Cu oxidationreviewed that the low temperature (<2501C) oxidation process follows an inverselogarithmic rate law, which is a mixture of both parabolic and cubic behavior forintermediate temperature; and a domination of parabolic rate at high temperature [2].

It was reported that the presence of a strong (111) crystallographic structure is oneof the important parameters that affect the electromigration performance of theinterconnect lines [3,4]. Thermal annealing is an integral processing step in waferfabrication and the heat treatment can modify the crystal microstructure and theelectrical properties of electroless deposited Cu.

The objective of this work is to compare the oxidation behavior of electrolessdeposited Cu at different annealing conditions. The variations of the microstructuresof electroless deposited Cu films were studied using both Scanning Electron

168 Electrochemical Society Proceedings Volume 99-9

Page 179: _sWni7aGN

Microscope (SEM) and Atomic Force Microscope (AFM). The variation of thecrystallinity was analyzed using X-Ray Diffraction Spectroscopy (XRD). The elementconcentration of the annealed electroless plated films was investigated using EnergyDispersive X-Ray Spectroscopy (EDX).

EXPERIMENT

In our study, a three-layered Al/Cu/Ti film was employed as the seeding layer forelectroless Cu deposition process. These metal films were deposited using theelectron-beam evaporation technique and the substrates employed were thermallyoxidized <100> silicon wafers. Ti is employed as the first layer, to serve as abarrier/adhesion promotion layer since Ti adheres well to most dielectric substratesand can prevent Cu diffusion into SiP 2. The second layer, Cu is the best homogenouscatalyst for electroless Cu deposition. The last layer, Al is a sacrificial layer to preventCu oxidation before immersing into the electroless deposition solution.

The electroless deposition solution consisted of 3 g/l of CuSO 4 to function asoxidant; 8 g/l of EDTA to serve as a complexing agent, to prevent Cu precipitation inthe solution; and 4 ml/1 of HCHO to work as a reductant. The electroless bath wasmaintained at 651C with a pH value of 12.6. The electroless plated Cu film was about1 prm thick for all the samples employed in this study.

The Cu film samples were treated in inert (nitrogen), oxidizing (oxygen) andvacuum ambient at temperatures ranging from 2001C to 400*C and the details arerepresented in Table 1. The surface microstructure of the annealed Cu film wasanalyzed using SEM and the surface morphology was observed via AFM. The crystalstructures before and after thermal annealing were investigated by X-ray diffractionanalysis. The resistivity of the Cu films was measured using four-point probe.

Table 1 Different oxidation conditions for electroless deposited copper films.

Ambient Annealing Temperature (QC) Time (min)Vacuum 200, 300 30Inert/N 2 200, 300, 350, 400 25Oxidizing/0 2 200, 300 25

RESULTS AND DISCUSSION

Fig. 1 and Fig. 2 are the SEM micrographs of as-deposited and annealedelectroless deposited Cu films after annealing for 25 minutes at 3000C in inert(nitrogen) ambient. It can be seen clearly in Fig. 2 that the grain sizes had increasedand the grain boundaries reduced after the heat treatment.

The surface roughness of electroless deposited Cu films can be improved bythermal annealing. In our study, the roughness of as-deposited Cu was 125 A for a 1gm thick film. The roughness reduced to 109 A after annealing for 25 minutes at2001C in nitrogen. When the as-deposited Cu film was annealed at 300 0C, theroughness reduced to 106 A. Similar results had been observed for other annealing

Electrochemical Society Proceedings Volume 99-9 169

Page 180: _sWni7aGN

ambient when the annealing temperatures were less than 350'C. When the annealingtemperature was higher than 350 0C, the roughness and adhesion to base materialworsen because of the surface thermal stress and inter-diffusion of the Cu film withthe underlying seeding layers. The surface color also becomes black and opaque. Fig.3 is the AFM micrograph of electroless deposited Cu. The as deposited Cu film afterannealing for 25 minutes at 300TC in nitrogen is shown in Fig. 4.

The X-ray diffraction graph of Fig. 5 shows a distinctive polycrystalline structureof the as-deposited electroless plated Cu film without preferred grain orientation. Asillustrated in Figure 6 and 7, significant variations in crystal structures were observedafter thermal annealing in vacuum (10-6 Torr) for 30 min. at 200TC and 300°Crespectively. The Cu(ill) crystal peak increased tremendously as the annealingtemperature increased, indicating a solid-state recrystallization of the as-depositedelectroless plated Cu with annealing temperature. The ratio of crystal orientation1(111)/1(200) increased by 10 to 20 percent after thermal annealing, signifying astrengthening of the (111) peak.

The crystal texture of the metal film is an important parameter that determineselectromigration performance. It was reported that the presence of a strong (111) fibertexture could result in a more reliable interconnect structure [3]. Electromigrationperformance of damascene-Cu interconnects formed by PVD method could beimproved by controlling the film texture to (111) [4]. The texture control would beespecially influencing in the electromigration behavior of dual-damascene forinterconnection. A simple thermal annealing step of 200TC to 300TC is sufficient toincrease the (111) crystal orientation, hence improving electromigration. Such thermalannealing step can be easily integrated into a dual Damascene-Cu process flow suchas the dielectric deposition process.

Fig. 8 and 9 are XRD graphs of as-deposited Cu film after annealing for 25minutes at 300TC in nitrogen and oxygen ambient, respectively, revealing strongintensities of Cu2O (111) and CuO (111) peaks. The high temperature heat treatmentsof the Cu films in oxidizing ambient resulted in the formation of both Cu20 and CuO.Only weak intensities of Cu2O (111) and CuO (111) peaks appeared after annealing at300TC in vacuum ambient.

Fig. 10 is an EDX spectra of as-deposited Cu after annealing for 25 minutes at300TC in N2 ambient. Only Cu, Si, Ti, 0 elements were obtained and no other elementwas detected. The percentage of Cu element in the as deposited Cu films, as measuredby EDX, reduced from 79.30% to 75.73% and 70.68% after annealing in N2 ambientfor 25 min at 200TC and 300°C respectively. This is due to the increased inconcentration of oxygen elements, from 6.71% to 11.09% and 15.96%, respectively,after the high temperature heat treatments.

The measured resistivities of as-deposited electroless Cu films were in the rangeof 1.9 ýtL-cm to 3.0 ftQ-cm. The higher resistivity, when compared to that of the bulkmaterial, was due to the effects of film morphology, especially the grain boundary andthe loose film structure. These irregularities contributed to the scattering of electroncarriers, giving rise to the observed higher resistivities. Approximately 5 to 10 percentreductions in resistivity had been observed when the Cu film was annealed at 200'Cin both vacuum and nitrogen ambient. Such improvement was an outcome of thetremendous reduction in grain boundary scattering due to grain growth after thermalannealing and the reduction of surface scattering due to reduction in surfaceroughness.

170 Electrochemical Society Proceedings Volume 99-9

Page 181: _sWni7aGN

CONCLUSIONS

The quality of electroless deposited Cu after heat treatment was studied usingAFM, XRD and SEMIEDX. The surface morphology of electroless Cu was muchsmoother after heat treatment. The oxidation products, Cu 20 and CuO increasedsignificantly with increased in annealing temperature, in both nitrogen and oxygenambient. The copper oxides are believed to have formed in the heated N2 ambient dueto the adsorbed moisture layer on the Cu film surface. Heat treatment in vacuum is amore effective method for preventing oxidation of Cu film. At temperature greaterthan 350'C, the adhesion of electroless Cu to the base material becomes poor. Theresistivity of electroless deposited Cu reduces after annealing at 200 0C due to thereduction of grain boundary scattering and surface scattering. However, at 300'C inan oxygen ambient, the increased in oxidation products, Cu20 and CuO causes theresistivity of electroless deposited Cu film to increase.

ACKNOWLEDGEMENT

The authors would like to thank Mr. Ang K. S. for performing the SEM/EDXanalyses.

REFERENCES1. V.M. Dubin, Y.S. Diamand, B. Zhao, et al, J. Electrochem.Soc.,Vol. 144, No.3,

p.898, (1997).

2. A. Ronnquist and H. Fisher, J. Inst. Met. 89 (1960-61) 65.

3. D. P. Field, J. E. Sanchez, Jr, et al, J. Apple. Phys. 82(5), p. 2383, (1997).

4. H.Onoda, et al, MRS Symp. Proc., April, (1998).

Electrochemical Society Proceedings Volume 99-9 171

Page 182: _sWni7aGN

Fig. 1. SEM micrograph of electroless deposited Cu.

Fig. 2. SEM micrograph of electroless deposited Cuafter annealing for 25 min at 300'C in inert/N2environment.

172 Electrochemical Society Proceedings Volume 99-9

Page 183: _sWni7aGN

Fig.3. AFM micrograph of electroless deposited Cu with a scansize 5pam x 5pm.

Fig.4. AFM micrograph of electroless deposited Cu afterannealing with a scan size 5pm x 5pm.

Electrochemical Society Proceedings Volume 99-9 173

Page 184: _sWni7aGN

1400

1200 (

1000

800

600 (200)

400

200-

0 1 -- 1 . , , , - I

20 40 60 80 100 120 1402-Thela

Fig.5. XRD of electroless deposited Cu film.

1600 "

1400

1200

.,.1000C800

600

400

200

20 40 60 80 100 120 1402-Theta

Fig.6. XRD of electroless deposited Cu after annealing at200'C for 30 min in vacuum (10-6 Torr).

2400,

2000•

1600-

1200

S800

400

01-- -,- 1- 1. I . •, .

20 40 60 80 100 120 140

2-Theta

Fig.7. XRD of electroless deposited Cu after annealingat 300TC for 30 min in vacuum (10-6 Torr).

174 Electrochemical Society Proceedings Volume 99-9

Page 185: _sWni7aGN

2SO Cu (111)

200

>,s

=r

;o

2-Theta

Fig.8. XRD graph of as-deposited Cu after annealing for 25 minat 300NC in N2 ambient.

100. CU (111)

oS 0~

so.

40 -O

2-Theta

Fig.9. XRD graph of as-deposited Cu after annealing for 25 min at300NC in 02 ambient.

Electrochemical Society Proceedings Volume 99-9 175

Page 186: _sWni7aGN

3000-

2500-

2000-

15000

S1000

Soo500=

0 CU

Ti

00 5 10 15 20

Energy (KeY)

Fig.10. EDX spectra of as-deposited Cu after annealing for25 minutes at 300NC in N2 ambient.

176 Electrochemical Society Proceedings Volume 99-9

Page 187: _sWni7aGN

Cu ELECTROPLATING ON n-Si(111):PROPERTIES AND STRUCTURE OF n-Si/Cu JUNCTIONS

T. Zambelli, F. Pillier, and P. Allongue*

Laboratoire de Physique des Liquides et Electrochimie, CNRS-UPR15,

4 Place Jussien Tour 22, F-75252 Paris Cedex 05, France

Alkaline CuCN solutions were used for the first time to

electrodeposit homogeneous and adherent Cu films onto silicon.The obtained Cu/n-Si(111) junctions show a nearly perfect

rectifying behavior. The Schottky parameters (barrier height (DB =

630 mV; ideality factor n = 1.2) do not change importantly with

time. It is also demonstrated that highly adherent Ni films can be

plated onto n-Si(111) from an acidic Watts bath, if copper clusters

were elecrodeposited onto the silicon surface first.

INTRODUCTION

In ultra-large-scale integration structures, aluminum or an aluminum alloy is now

generally used as the interconnecting material. To overcome the limitations of

aluminum connections, copper wiring technology has been widely investigated inthe last years, since copper has a higher melting point and lower resistivity than

aluminum. Particular attention was given essentially to copper chemical vapor and

to copper electroless deposition (1). As far as electrochemical deposition is

concerned, studies were mainly carried out with acidic CuSO 4 solutions, occasionally

buffered with HF (2,3), or, more recently, with acidic CuCO 3.Cu(OH)2 solutions(4).Information on the mechanism and the kinetic laws of deposition could be inferredfrom these experiments, but nothing about the electrical properties of the Cu/Si

junctions was reported. This is probably due to the fact that such Cu films are not

sufficiently adherent.

In this report, we demonstrate that robust electroplated Cu/n-Si(111) junctions witha nearly perfect diode behavior may be grown from alkaline CuCN solutions (5).Results of investigations about aging of contacts in ambient are also presented.

* corresponding author: [email protected]

Electrochemical Society Proceedings Volume 99-9 177

Page 188: _sWni7aGN

Finally, a two step procedure to obtain very adherent Ni, Co and Fe films on n-Si is

illustrated

EXPERIMENTAL

8 x 8 mm 2 silicon samples were cleaved from 3" silicon wafers (SILTRONIX, n-type,

1-10 Qcm, < 0.5' miscut). After thermal oxidation (1100 'C, - 100 nm of oxidethickness), the samples were ultrasonicated in methanol, immersed for 30 min in

bidistilled water (BW) mixed with 4% Labwash 12 (Prolabo) and finally etched for 1

min in 40% HF to remove the silicon oxide and produce an H-terminated surface.Deposition was performed either from the High Efficiency (HE) or the Strike CuCNsolutions. Both solutions consist in a mixture of NaCN and CuCN and Rochelle salt

(potassium sodium tartrate) in NaOH (pH 13-14, see Table I).

Table I: Composition of the CuCN solutions.

High Efficiency Cu Strike

CuCN 0.8 M 0.3 M

NaCN 1.9 M I M

Rochelle salt 0.2 M 0.1 M

NaOH 0.1 - 2M 0.2 M

Reagent grade chemicals were utilized. Electrodeposition was carried out in a

conventional three-electrode cell under potentiostatic control with a mercury sulfateelectrode as reference (in the following, all potentials are quoted versus this

reference) and a platinum wire as counter electrode. Solutions were stirred anddeoxygenated by bubbling nitrogen. Backside ohmic contacts of Si samples wereachieved with an InGa eutectic. The i-U characteristics of the solid state junctions

were measured in air.

RESULTS AND DISCUSSION

Figure 1 shows a typical voltammogram for an H-nSi(111) surface in the CuCN HE

solution (dashed line) and the corresponding supporting electrolyte (no CuCN

added, solid line). In the supporting solution, the cathodic current observed forpotentials U < -2.1 V is the reduction of molecular water according to the reaction1120 + e- -> 1/2H2 + OH-. In the copper solution, the cathodic wave appearing for U< -1.75 V. It is interpreted as the beginning of Cu deposition since it is absent in theblank solution. The anodic peak at -1.2 V (also absent in the Cu free solution)

detected on the positive going potential sweep corresponds to the stripping of the Cu

deposit.

178 Electrochemical Society Proceedings Volume 99-9

Page 189: _sWni7aGN

150

0~ ~ ~ ...... .... ................

E

-150

-300

-2,4 2,1 -i '8 -1,5 1,2 -0,9

U (V)

Fig. 1: Voltammogram of an n-type H-Si(111) surface in the 0.5 M high efficiency

CuCN solution in 0.1 M NaOH. Solid line: without CuCN; dashed line: with

CuCN. Scan rate: 20 mV/s.

After plating, the first test of deposit was controlling the mechanical adhesion of Cu

layers using the adhesive tape test. All films passed successfully this test whereas

films obtained from an acid CuSO 4 solution never passed the same test. The excellent

mechanical properties of Cu films deposited from alkaline cyanide solutions is

therefore presumably related to some specific interactions between the CN ions and

the Si surface as recently observed for the electrodeposition of gold on n-Si from

KAu(CN) 2 solutions (6).

10

n-Si/Cu contacts n .anl X×

S0,1 nn=. x×E

- XE0,101 .......... XM % 0 xxx

IE-3-180 -120 -60 0 60 120 180 240

Bias (mV)

Fig. 2: Electrical characteristics of an electroplated n Si(111)/Cu contact (Vd = -1.75

V, td = 300 s) measured immediately after preparation (squares) and after 23days (crosses).

Electrochemical Society Proceedings Volume 99-9 179

Page 190: _sWni7aGN

Junctions obtained by Cu plating at Vd = -1.75 V in the HE solution are perfect diode(Fig. 2, squares): the direct current is an exponential law at positive bias over two

decades, until ohmic losses become non negligible at large current density. The

reverse current at negative bias rapidly saturates. Using the expression i, = A**T2

exp(-q 0 i-V/kT) [exp(qV/nkT) - 1] (7) to analyze the exponential branch of thecharacteristics, where symbols have there usual meaning, a barrier height (DBi-V = 632± 3 mV and an ideality factor n = 1.28 +- 0.04 were found. It was also checked that the

value of (DB derived from the saturation current i, = A**T2 exp(-q DBi-V/kT) was

consistent with the above determination. Using capacitance measurements (Mott-C-V i-V

Schottky method)6 q)B is found to be typically 60 mV greater than 'B . This small

difference is a further indication of the abruptness and chemical homogeneity of the

interface. These characteristics are comparable to those reported for contacts

prepared by physical methods (8).

The dependence of the electrical properties on pH and relative concentration of the

CuCN solution was also investigated. Diluting the HE solution from 0.5 to 0.05 M

enhanced ftB by a small amount (640 ± 15 mV) leaving n almost unchanged (i.e., its

position and its value of minimum). On the other hand, keeping the concentrationsof NaCN and CuCN fixed and increasing that of NaOH led to a shift of the onset of

the diode/resistance transition. For a I M NaOH solution, the transition occurred at -

1.85 V instead of -1.95 V. With regard to the Rochelle salt concentration, usually

present in the commercial baths, no significant effect could be discerned, not even in

the complete absence of this component. Employing the Strike solution, diodes wereachieved with lower 0•B (600 ± 10 mV) and with higher n (best value 1.8 ± 0.1). Sincethese junctions were clearly of lesser quality, the systematic study of the effect of the

solution concentration and pH was not pursued. We only noted, however, that, inthis case, the Rochelle salt was indispensable to obtain adherent films.

Table II: Aging of Schottky junctions.

day Alkaline copper

(B n

0 621 1.221 662 1.21

2 671 1.17

3 694 1.24

4 685 1.29

10 688 1.2720 683 1.32

30 682 1.35

180 Electrochemical Society Proceedings Volume 99-9

Page 191: _sWni7aGN

After succeeding in producing Schottky junctions of high quality, their aging at

ambient was investigated by repeating barrier height measurements (see Table II).

The Schottky parameters of twelve rectifying junctions prepared under the same

conditions (Vd = -1.75 V, td = 300 s) were followed over one month. They behaved inthe same way: 0,BIN increased by - 50 mV after one day and stabilized around 682 ±

6 mV after 20 days (Fig. 2, crosses is an example of result) and the difference A = (DBC-V i-V

- B = 60 mV remained unchanged over the same period. The values of nremained essentially unchanged, and ranged between 1.3 and 1.4.

a) b)(B EF - - -

E Eredox Eredox

ýU

n-Si CU film CuSO4 ,aq n-Si CuSO4 ,aq

Fig. 3: Energy diagram a) of a Cu/n-Si (111) junction and b) of a bare n-Si(111) incontact with a CuSO4 solution (pH 2).

One obvious interpretation of above results is oxidation at the Si/Cu interface

through voids in the Cu layer. As preliminary test to clarify whether these

observations may be asssigned to copper and/or silicon oxidation, the porosity of the

Cu films was inspected by immersing a Cu/Si junction into an acidic solution of

CuS0 4 (pH 2) with increasing HF content. Initially, the open circuit potential (OCP)

of the silicon covered by the Cu film was - 0.38V, which is equal to the rest potential

of a clean Cu wire. This indicated that the junction n-Si/Cu/CuSO4 solution was atequilibrium (Fig. 3A). Addition of a small amount of HF, up to 2%/, however, induced

a rapid shift of the OCP of the n-Si/Cu electrode, the value being intermediate

between that of the Cu wire and that of the bare n-Si electrode in contact with the

CLIS0 4 solution (Fig. 3B, the rest potential of bare n-Si is - 0.64 V). Since HF is known

to dissolve Si oxide, the negative shift of the OCP means that HF actually reaches the

Si surface, i.e. that Cu films are not ideally compact. Porosity of the Cu films is also

Electrochemnical Society Proceedinigs Volumne 99-9 181

Page 192: _sWni7aGN

consistent with the increase of 4 B with time, which we therefore attribute to

oxidation at the Si/Cu interface.

50 rn1

Fig. 4: Cross section TEM image of a Cu layer electroplated onto n-Si(lll).

Figure 4 shows an XTEM view of a Cu/n-Si(l1) contact. The copper layer is

constituted of nm cristallites, with no preferential orientation. Rings with dots were

found by electron diffraction. The image evidences a sharp interface between silicon

and copper, in agreement with electrical measurements. Despite the XTEM samplepreparation, we note that the film is still attached to the silicon. This is a further

proof of its adhesion.

Fig. 5: In plane TEM image of Cu clusters electroplated onto n-Si(111).

The excellent adherence of Cu layers gives the opportunity of preparing

electroplated adherent films of various metals onto n-Si(111), using a two step

process in which Cu clusters are first grown as precursors and then, the metal of

interest is plated. Figure 5 shows an in plane TEM view of Cu clusters

electrodeposited on n-Si(111) (Vd = -1.75 V, td = 40 s). They represent the minioiuniquantity of copper necessary to obtain nickel films from a modified Watts bath (pH -

3). Nickel was electrocristallized at Vd = - 1.30 V and we emphasize that it was not

possible to achieve Ni deposition on n-Si(111) at this potential without the presence

of Cu clusters. Ni films are also very adherent and they successfully passed the

182 Electrochemical Society Proceedings Volume 99-9

Page 193: _sWni7aGN

adhesive tape test. Moreover, the obtained contacts behave as nearly ideal Schottkyi-V

diodes (Fig. 6) with (DB of 635 ± 5 mV and n of 1.08 ± 0.04. Experiments with cobaltand iron solutions also at pH - 3 are currently in progress.

n-Si/Cu/Ni contacts

e 0

z~0,101 o1O0

•_0,01 e°o e.ooooooo °•

U IE-3

-200 -100 0 100 200Bias (mV)

Fig. 6: Electrical characteristics of a n-Si(111)/Cu/Ni contact (Cu: Vd -1.75 V, td =

40 s, Ni: Vd = -1.30 V, td = 5 min) measured immediately after preparation.

CONCLUSIONS

In summary, this study shows the great possibility of generating Cu/n-Si junctions

with a nearly perfect rectifying behavior from CuCN solutions. Diode characteristics

are comparable to those reported for contacts prepared by physical methods and are

not appreciably subject to modification with time. The second promising point is the

high adherence of Cu films, which was exploited to electrodeposit adherent Ni films

from a modified Watts bath. This two step procedure seems to solve the major

difficulty encountered upon growing thick metal layers onto H-Si surfaces from

acidic solutions and enables to prepare stable electrical junctions with defined

electrical properties.

ACKNOWLEDGMENTS

This work is part of the QUEST-Project MEL ARI 23274 supported by the European

Community.

REFERENCES

1. see, for example, the review article Copper metallization in Industry, MRS Bulletin

Vol. XIX, No. 8 (1994).

Electrochemical Society Proceedings Volume 99-9 183

Page 194: _sWni7aGN

2. S. G. dos Santos F°, L. F. 0. Martins, P.C.T. D'Ajello, A. A. Pasa, and C. H.

Hasenack, Microeletronic Engineering, 33, 59 (1997).

3. G. Li, E. A. Kneer, B. Vermeire, H. G. Parks, S. Raghavan, and J. S. Jean, J.

Electrochem. Soc., 141, 241 (1998).

4. G. Oskam, J. G. Long, A. Natarajan, and P. C. Searson, Appl. Phys., 31, 1927 (1998).

5. R. H. Atkinson, in Modern Electroplating, 3rd ed., F.H. Lowenheim, Editor, , p. 165,

John Wiley & Sons, 3rd Edition, New York (1973).

6. G. Oskam, D. van Heerden, and P.C. Searson, Appl. Phys. Lett. 73, 3241 (1998).

7. E.H. Rhoderick, in Metal-Semiconductor Contacts, P. Hammond and D. Walsh,

Editors, p. 7, Clarendon Press, Oxford (1980).

8. S.M. Sze, Phiysics of Serniconductor Devices, 2nd ed., p. 291, John Wiley & Sons, New

York (1973).

184 Electrochemical Society Proceedings Volume 99-9

Page 195: _sWni7aGN

THE USE OF COPPER BASED BACKMETAL SCHEMES AS A LOW STRESSAND LOW THERMAL RESISTANCE ALTERNATIVE FOR USE IN THIN

SUBSTRATE POWER DEVICEST. Grebs, R. S. Ridley, Sr., *J. Spindler, J. Cumbo and J. Lauffer

Harris Corporation, Semiconductor Division125 Crestwood Road, Mountaintop, PA 18707

ABSTRACT

In this study, a tantalum and copper backside metalization scheme' wasdemonstrated to be an effective alternative solderable metalization schemefor thin substrate power devices. The conventional solderable backmetalscheme is comprised of some combination of Ag, Al, Au, Cr, Ni or Tifilms, all of which have significantly large stress as compared to Ta/Cu.The alternative metal stack of Ta/Cu demonstrated lower stress levels thusreducing wafer warpage and therefore reducing wafer breakage andhandling issues. This alternative backmetal process for powersemiconductor devices was developed using physical vapor deposition(PVD) of the barrier/contact layer tantalum, followed by PVD of theconducting layer copper on the backside of a thinned silicon wafer. TheTa/Cu film stack also possesses excellent electrical properties, thermalconductivity and lower processing costs, all of which are required formanufacturing power discrete devices.

INTRODUCTION

In power semiconductor device manufacturing, especially in a U-shaped trench MOS(UMOS) structure" or double-diffused MOS (DMOS), seen in Figures 1 and 2respectively, the backside of the silicon wafer is usually metalized to form a drainterminal. In power devices were current flows both laterally and vertically, the resistancefrom drain to source (Rds0 n) is made up of several resistive components in series asshown in Figure 2'. The optimization of each of these resistive components is importantin producing competitive devices. In the past, backside metal films were only required tohave the properties of low ohmic contact resistance to silicon, high thermal conductivity,and be a reliable and solderable film stack to optimize packaging and deviceperformance. In this study, the focus has been shifted to include optimization of waferwarpage. Since wafer warpage has been shown to be proportional to wafer size andthickness'v, the increase of wafer size from 4" to 8" in an attempt to improvemanufacturing costs and obtain higher utilization of equipment makes the issue anengineering priority. The effect of the backmetal stress on wafer bow is also dramatic,

" Currently with Eastman Kodak Co., 1999 Lake Ave., Rochester, NY 14650.

Electrochemical Society Proceedings Volume 99-9 185

Page 196: _sWni7aGN

particularly as wafer diameters increase and wafer thicknesses decrease; trends whichcannot be avoided in power device manufacturing.

Power device backmetal schemes must have minimum tensile or compressivestress since wafers are typically back thinned to between 4 - 14 mils. After which, thethinned wafers are then processed through automated equipment. The additive stressesthat are characteristic of the blanket backside metal films have a significant affect on theoverall stress induced on a wafer and therefore causes a high level of wafer warpage andbreakage especially in thinned larger diameter wafers. The extent to which a wafer isthinned is mainly dependent on technology and application. Wafers are typically backthinned to reduce the substrate's contribution to the overall operational resistance (RdSoo)of the device as shown in Figure 2. Also, most device packaging options require themetal film stack to be solderable so that the die can be solder mounted onto a lead frame.Furthermore, the total combined thickness of the die and backmetal is becoming thinnerdue to new smaller package height requirements.

A theoretical study of the most important characteristic of backmetal schemes forpower devices such as contact resistance, thermal conductivity, resistivity and barrierheight all suggest the Ta/Cu has equivalent or superior characteristics' in comparison tothe power device industry standard backmetal scheme Ti/Ni/Ag (shown in Table I). Thiscomparison can also be applied to the cost associated with using each metalizationscheme in device manufacturing. Since the cost of Cu is generally lower than the cost ofeither a Ti, Ni, or Ag, it is likely to lower the backmetal deposition process cost. Theactual raw material cost of the dual metal scheme Ta/Cu is 47% lower than that of thetypical tri-metal scheme. Using a standard four chamber Novellus sputtering system withparallel processing the Ta/Cu stack has an approximate 75% increase in wafer throughputper hour based on sputter rates and wafer process limitations. Furthermore, since thecopper deposition in this study occurs at the end of processing no special handling orisolation of the wafers is required, which is typical when copper is used in topsidemetalization schemes. All of these factors can be translated into lower cycle time, higherthroughput, higher yield, and thus lead to a lower utilization cost..

EXPERIMENTAL PROCEDURE

In this study n-type, arsenic doped, <100>, 6"and 8"prime silicon substrates wereused in unit step experiments. The devices were typically fabricated on n-type <100>silicon with an epitaxial layer. Both unit step and fully processed device wafers wereback thinned from 30 to 14 mils using a standard mechanical grind process and chemicalstress relief process. Subsequently, a native oxide removal step was performed on thebackside of the wafers. Metalization of the wafers was then carried out in the Novellusapplications laboratory on a multi-chamber Novellus M2000 PVD tool resulting in an-50 mn thick tantalum layer and copper layers of various thicknesses. The tantalum wasdeposited under the following conditions: chuck temperature 150C, argon flow 35 sccm,power 3 KW. Copper was deposited on top of the tantalum film in the same Novellus

186 Electrochemical Society Proceedings Volume 99-9

Page 197: _sWni7aGN

M2000 under the following conditions: chuck temperature 150C, argon flow 40 sccm,and power 3.5 KW. A second group of pilot and device wafers with the standardsputtered Ti/Ni/Ag backmetal stack were also prepared for comparison. All wafers weremeasured for stress, warpage and bow using the Tencor FLX-2320 thin film stressmeasurement tool, which uses a radius of curvature measurement technique. Somewafers were analyzed using SEM and TEM to investigate the physical properties of filmsas well as their interfaces characteristics. The samples were also tested for compatibilitywith current soldering and packaging methods. A solder wetting balance test was used toevaluate the effectiveness of the film in terms of solderability and de-wetting. Moreextensive solder methods were used to examine metal film stack diffusion characteristicsduring the soldering process. In this process the device wafers receive an automatedcircuit probe testing followed by the standard plastic package assembly operations.Electrical testing was performed and results were compared to the control cell.

ELECTRICAL PROPERTIES

The primary function of the backmetal system in power devices is to provide anexcellent solderable contact to the wafer backside, which also serves as the drain contact.The extent to which any metal system will perform in this regard is measured by certainkey electrical & physical parameters. The key electrical properties associated with eachelement commonly used in semiconductor metalization processes are gathered and shownin Table I. Most of the typical backmetal schemes used consist of at least two of thesemetal layers. However the layer in contact with the silicon determines the contactresistance. For low on-resistance power devices, the metal contact to the silicon isrequired to have a low barrier height, where barrier height is proportional to contactresistance. A comparison of barrier heights shows that titanium is the optimum metal forachieving lowest theoretical contact resistance (Roto, with tantalum being the nextlowest. In the standard backmetal scheme Ti is used and one would expect to observe ashift in contact resistance when using tantalum. However, this slight shift in contactresistance does not significantly change the total backside resistance Ro. Moreover, theresistance attributed to any variation of metal films, R.,,. has minimal impact on totalbackside resistance R,,.,. Since in most cases the total backside resistance 1. isdominated by the resistance of the substrate R,,,o, thinning the substrate is necessary toremove as much silicon as possible. However, when wafers are thinned researchers haveshown that they are susceptible to bow, stress and warpage especially with blanketbackside metalization.

THIN WAFER STRESS AND WARPAGE

In this study, the overall stress in the Ta/Cu film stack is seen to be appreciablyless than the overall stress in the Ti/Ni/Ag metal stack. Figure 3 shows the bowmeasurement of two identical 200mm wafers, one with the Ta/Cu backimetal stack and

Electrochemical Society Proceedings Volume 99-9 187

Page 198: _sWni7aGN

the other with the standard Ti/Ni/Ag backmetal stack. The measurement of bow isassumed to be directly proportional to the amount of stress in the backmetal stack. Thewafer prior to backmetal deposition is under compressive stress and exhibits positivebow. The backmetal films investigated are known to cause tensile stress and exhibitnegative bow, therefore it follows that wafer bow is proportional to the backmetal stress.This proportionality is observed by examining the net effect on wafer bow afterbackmetal deposition which is that it becomes more positive. In this case, positive bow isrelative to the placement of the wafer when measuring bow, which is always with thefront or device side up. The initial tests were run at a wafer thickness of 14 mils.Realizing that the trend is toward thinner die, and thus thinner wafers, the benefit from aless stressful backmetal film becomes obvious when looking beyond 14 mils. Figure 4shows the trend in wafer bow versus wafer thickness, where the bow is mainly caused bythe stress from the deposited backmetal layers. Shown is the actual measured bow for thestandard Ti/Ni/Ag backmetal on wafers at a thickness ranging from 6 to 14 mils. Atheoretical curve is applied using a principle derived from a previous study by M. Griefand J. Steele Jr.•, where the bow is said to be inversely proportional to the square of thesubstrate thickness. The theoretical curve is normalized to the standard bow value at 14mils and then projected outward. The Ta/Cu curve is based on the actual measured bowof the wafer at 14 mils, projected to a thickness of 6 mils using the same relationshipdescribed above. The apparent benefit from the low stress Ta/Cu backmetal stack isenormous, especially when considering thinner substrates. Future studies will investigatestress characteristics of Ta/Cu films ranging in thickness, on thinner substrates.

PHYSICAL ANALYSIS

In discrete power semiconductor devices the backside silicon surface isintentionally roughened to promote good metal adhesion and provide increased metalcontact area. As discussed earlier this backside contact area and metal films used areimportant in achieving the lowest possible resistance ron,, and r respectively which isa requirement for backside contacted devices. This roughened surface increases therequirements for metal deposition tools to provide a continuous and conformal filmcoating over the backside topography. SEM and TEM analyses were performed onwafers with simulated device processing, revealing 50nm of continuous tantalum metalfilm. The tantalum layer covered a 200nm (rms) roughened backside silicon surface. Nocopper was observed in the bulk silicon, which also confirms that the tantalum protectionbarrier was adequate since copper diffuses into silicon at a rapid rate (4E-02 cm 2/sec @23°C). SIMS analysis also confirmed the tantalum barrier integrity, exhibiting sharpelemental transitions between the films with no evidence of copper detected in the bulksilicon.

188 Electrochemical Society Proceedings Volume 99-9

Page 199: _sWni7aGN

THERMAL AND SOLDERING ISSUES

In discrete power semiconductor devices, heat is internally generated within thedevice. This heat must be thermally transferred away from the device as efficiently aspossible to prevent device degradation. The heat is transferred out of the silicon by threemethods, which are conduction, convection or radiation. Backmetal aides in the removalof heat via the conduction method, while convection and radiation effects are minimal.Both copper and silver possess excellent thermal conductivity properties that arebeneficial for heat dissipation. Another key factor to be considered is device solderabilityto a package, which also strongly influences the heat dissipation. Device solderability toa package is controlled by several factors but most importantly the top layer of thebackmetal stack and its thickness. To improve heat dissipation, one has to optimize thetop backmetal layer, which should possess excellent thermal conductivity and lowdissolution into a tin based solder. In this investigation, both the copper and silver areshown to possess these characteristics. It is important to understand the key componentsof dissolution for copper and silver during the solder process. The key componentsinclude time and temperature of soldering as well as tin content of solder because theydetermine the thickness necessary for proper adhesion. The liquid-solid diffusion rateinformation for solder scavenging of copper and silver is very limited in the literature, soestimations have been made. The rate of dissolution of copper and silver into a PbSn orPbSnAg solder, seen in Table II, is highly dependent on the percentage of tin present inthe solder. Higher tin levels result in faster diffusion into the solder. The copper andsilver backmetal thicknesses need to be kept above a minimum value to avoid diffusionof the entire layer into the solder, especially in cases where the next layer underneath isnonsolderable. In this study, the minimum suggested copper and silver thicknesses werechosen to be compatible with tin based solder that was reflowed for - 90 seconds. Also,some additional top layer backmetal thickness was added as a safety factor to protectagainst solid state diffusion that can cause exposure of the non-solderable metal duringthis high temperature solder operation. An examination of both the copper and silverdissolution rates into tin based solder, seen in Table II, shows that silver is approximately1.5 times the rate of copper at a typical soldering temperature of 375'C". Since the dietop layer backmetal diffuses into the solder, tin's ability to scavenge the backmetal willdecrease and the rate of diffusion of backmetal into the solder will decrease. This effectis very difficult to calculate, therefore the diffusion rate figures are a constant-rateapproximation only.

PARAMETRIC RESULTS

The three key areas examined were fallout after packaging and electrical testing ofpackaged devices, where Vsd and Rdson were the key electrical parameters evaluated.Vsd is a measure of voltage drop across a P-N junction, (source to drain) or the bodydiode of the device. This measurement is effected by the epitaxial layer, substrate,

Electrochemical Society Proceedings Volume 99-9 189

Page 200: _sWni7aGN

surface concentration of the P/P+ region of the body diode as well as the backside (drain)metal contact resistance. As seen in Figure 2, Rdson is defined as a sum of the resistorswithin a power device of which the backmetal is one of these resistors. First, devicesfrom both the Ta/Cu and control group (Ti/Ni/Ag) were packaged and subsequentlyelectrical parametric tests were performed. The packaging yield is associated withsoldering the device onto a lead frame, wire bonding of the device and encapsulating thedie into a finished molded package. As observed in Figure 5, the Ta/Cu cell had a 99%yield and the Ti/Ni/Ag cell yielded 100%, which is statistically indifferent. Theindifference in the packaging yield indicates that the Ta/Cu metal scheme is a viablealternative without altering the current packaging process.

Power discrete devices typically function as a switch and as such most high-powerapplications require these devices to be low in resistance to minimize heat and currentload as well as optimize Vsd and Rdson. As with prior test, the Ta/Cu backmetal had nosignificant impact on Vsd or Rdson as compared to the control group. Since the Ta/Cuwas not examined for electrical benefits but for stress benefits, there is only a need for itto be equivalent to the standard metal scheme in the electrical and parametericperformance. These results confirm that since the metal schemes evaluated behave in asimilar manner, the bulk silicon resistance is the dominant factor in determining Vsd andRdson of the device.

CONCLUSION

This study has demonstrated that a tantalum and copper backside metalizationscheme is an effective alternative solderable metalization scheme for thin substrate powerdevices. The conventional solderable backmetal scheme typically comprised of Ti/Ni/Aghas significantly large stress which translates into large wafer bow as compared to theTa/Cu alternative. This alternative stack has demonstrated lower stress levels thusreducing wafer warpage and therefore reducing wafer breakage and handling issues. TheTa/Cu film stack also possesses lower metalization costs, versus a tr-metal scheme(Ti/Ni/Ag). The actual raw material cost of the dual metal scheme is 47% lower than thatof the tri-metal scheme. Using a standard four chamber Novellus M2000/M21 sputteringsystem with parallel processing the Ta/Cu stack has an approximate 75% increase inwafer throughput per hour based on sputter rates and wafer process limitations.

The electrical properties of the various back metal schemes examined showed aslight difference in the contact and metal resistance for standard verses the alternativebackmetal schemes. However, the slight shift in contact and metal resistance do notsignificantly change the total backside resistance Rk1. This is because the total backsideresistance Rt,, is dominated by the resistance of the substrate Rsilion, The dominance ofsubstrate resistance Ria,,_ is a significant the driving force for wafer thinning, howeverthinned wafers are susceptible to bow, stress, warpage and breakage especially withblanket backside metalization. Thinned wafers also have relatively rough back surfacesfor increased contact area however this can cause metal conformality issue. Upon

190 Electrochemical Society Proceedings Volume 99-9

Page 201: _sWni7aGN

examination the Ta layer acts as an excellent barrier for Cu and was shown to by SEMand TEM analysis to be very conformal.

The backmetal schemes investigated primarily remove heat via the conductionmethod. Both copper and silver possess excellent thermal conductivity properties, whichare beneficial for heat dissipation. Device solderability to a package, which also stronglyinfluences the heat dissipation, was not effected by the Ta/Cu metal scheme. The threekey parametric areas examined, which included packaging, Vsd and Rdson all showedthat the Ta/Cu metal scheme is a viable alternative without altering the current packagingprocess.

ACKNOWLEDGEMENTS

The authors would like to thank Steve Vahey, Don Pavinski and KC Wong for theirextensive support in soldering and packaging experiments. A special thanks is given toNovellus for their support in demonstrating Ta/Cu PVD metal deposition method.

REFERENCES

'T. Grebs, et al, US Patent invention disclosure, submitted December 23, 1998."B.J. Baliga, Power Semiconductor Devices, PWS Publishing Co., Boston MA, 1996.S. Benczkowski, Internal Harris Semiconductor Report, 1998.

'v M.K. Grief and J.A. Steele Jr., Proceedings of IEEE/CMPT Int'l ElectronicsManufacturing Technology Symposium, p.p. 190-194, 1996.' S.M. Sze, Physics of Semiconductor Devices, Wiley Publishing Co., New York, NY(1981)." E.H. Rhoderick and R.H. Williams, Metal- Semiconductor Contacts, Oxford PublishingCo., New York, NY (1988).

"S. Vahey, Internal Harris Semiconductor Report, 1998.

FIGURES AND TABLES

t ~Gatet

S -urase P Syue

Channel Channelregion N- region

N-4

Drain~

Figure 1 A cross-sectional view of a UMOS structured Power MOSFET.

Electrochemical Society Proceedings Volume 99-9 191

Page 202: _sWni7aGN

IRFE jf "Neck

N Epi - Rept

N+ Substrate R.ubstratoRdraln contact

Figure 2 A cross-sectional view of a typical DMOS structured powerMOSFET with the resistive components shown.

350 T ------

300 t.250,

200 --

0 -

Ta/Cu Ti/Ni/Ag

Fiigure 3 Bow measurement of standard vs. Ta/Cu backmetal scheme on14 mil thick, 200mm wafers.1600 oo1400

5 12001000 -"800600400200

0.

4 6 8 10 12 14 16Wafer Thickness Imils]

-i-- Theoretical * Std. Backmetal --a Ta/Cu .

Figure 4 Bow versus Wafer Thickness for various backmetal schemes.

192 Electrochemical Society Proceedings Volume 99-9

Page 203: _sWni7aGN

1 005 982 96

-o 94

92 U

0Z Final Test Rdson VsdYield

*_[ Ti/Ni/Ag o] Ta/Cu

Figure 5 Device yield and parametrics data versus backmetal scheme.

Thermal ElectricalConductivity Conductivity Resistivity

(cal-cm/sK-cm2) (106/ohm-cm) (10-

6ohm-cm) Barrier Height

Element @20-C @20-C @20-C (ev)

Al 0.570 0.372 ' 268070

Au -~ --- G-TF"U 0.940 0.599 1.669 0.580

Va 0.130 0401 12.346 0550

Ti 0.024 667 0.500

lack MeCtl Rcontaci RmeiaI R,111io Rtotai = Rcontact +

Schemes (ohm-cm1

) (ohm-em2) (ohm-cm

2) Rmetal+ R-11v o

(ohm-cm2

)Al- It-Ni 6.00L-04 2.07E-09 7.6214-05 6.766-04A-Ti-Niu 600E-04 2.76-09 7162E-05 6.76E-04

KI- iNiAg 6.00E-04 1.91 E-09 7.62E-05 6 76E-04

•a-Cu 6.00E-05 1.45E-I 0 7.62E-05 1.366-04

I a-Cu-Ag 60E-5 4.33E-10 70 60 ET'M -ar-u 1 -. V1E-05- 3.2--7E-I 0 -- 7.62E7T-5 8.-62E-05

Table I Behavior of backmetal film elements and resistance of variousbackmetal film schemes. {Note: calculations are based on Si =.003ohm-cm (2el9atom/cm3) @10 mil thickness}

Solder Alloy Approximate Diffusion Approximate DiffusionRates of Copper into solder Rates of Silver into solder

at 350-3750 C at 350-3750 C

PbSn, 100 A/sec n/a

PbSn5 Ag2.1 70 - 80 A/sec 200 - 300 A/sec

PbSn 2Ag2 5 50 - 70 A/sec 75 - 115 A/sec

Table II Copper and silver diffusion rates as a function of solder type

Electrochemical Society Proceedings Volume 99-9 193

Page 204: _sWni7aGN

Possibility of Direct Electrochemical Copper Deposition without seedlayer

H.P.Fung and C.C.Wan

Dept of Chemical Engineering Tsing-hua University,Hsin-chu,Taiwan

ABSTRACT

The possibility of applying copper deposition directly on top of TiN barrier via

electrochemical method was studied. Previous report of using contact displacement to

deposit copper was found chemically questionable. The copper deposition observed

could be due to reaction between cupric ion and silicon underneath through cracks in

the intermediate TiN layer.

INTRODUCTION

Copper interconnection via electrochemical means has received increasing

attention. Currently the most acceptable method is based on electrodeposition of

copper on top of a copper seed layer which has previously been deposited by CVD or

sputtering method(').

Other electrochemical methods have also been explored. For instance, it is

possible to deposit copper by electroless method with appropriate reducing agent(2 ).

Theoretically, the copper can be more uniformly deposited. However, it has its own

drawbacks. The bath is more complex and difficult to control, which means it is a

more expensive method. The deposits' property is in general not as good as that by

electrodeposition since it contains more contaminants and less desirable crystal

structures(3 ).

Dubin et.al. 4' mentioned a possible alternative. They proposed that it is possible

to deposit copper by displacement method, which is still based on electrochemical

principle.

According to their method, the wafer covered with a TiN or TaN barrier layer

will be dipped into an acidic copper sulfate solution containing NaF as an etching

promoter. Copper will immediately deposit on the barrier layer presumably due to a

displacement reaction between the cupric ion and the nitrid compound. This method

needs no external applied current or reducing chemical. In theory, it is better than

electroplating or electroless plating. However, very little information is available

regarding the mechanism of the actual reaction occurred or the subsequent technical

development based on this concept, although it is a fact that Si or Ti can be

chemically displaced by cupric ion.

So we carried out a study to investigate the reaction involved in this deposition

194 Electrochemical Society Proceedings Volume 99-9

Page 205: _sWni7aGN

process and the potential drawbacks which may be associated with this method.

EXPERIMENTAL

The wafer firstly covered with TiN barrier was dipped in a displacement solution,

which may contained cupric ions or palladium ions.

As for the subsequent copper electroplating, the electrolyte contained 75g/1

CuSO 4 - 5H 20 and 100g/I H2S0 4, the palladium contact displacement solution

contained PdCI2 I g/l and NHF • t4F 6 g/l. The copper contact displacement solution

contained CuSO4 • 5H20 I g/l and NH4F • HF lOg/I and the temperature was controlled

at 18-20'C.

The copper deposit was finally analyzed by SEM, XRD and AES methods. A

four-point probe was used to measure the film resistance.

RESULTS AND DISCUSSION

Copper deposition by contact displacement

Since we are interested in the mechanism of copper deposition by contact

displacement, we prepared three kinds of solution to ascertain the controlling factor.

a. CuSO4 • 5H 2 0 lg/l HF 10ml/l

b. HF 10ml/l

c. CuSO4 • 5H,O lg/I

Then wafer samples covered with TiN layer were dipped in each of the solution,

respectively. The samples came from two sources, designated as A and B.

Furthermore, we also dipped bare Si and TiN powder in the test solution for

comparison. The results is as follows,

Table I Test of Copper deposition by being dipped in three kinds of solutionA B Si TiN powder

(a) CuSOC.5H20 lg'l x 0 -0 0.24mg/Ig

HF 10 ml/l

(b) HF 10 ml/I x x × 0.00957mg/Ig

(c) CuSOC.5HO lg/l x x × 0.0102mg/Ig

o deposition x no deposition

0.24mg/Ig means copper contact was found to be 0.24mg per gram of TiN

Note: contact time 15 minutes

Obviously copper can be deposited by contact displacement on Si surface

directly, but the reaction needs the assistance of fluoride ion. In fact M.K.Leealreadyy observed this and proposed the following reaction,

Electrochemical Society Proceedings Volume 99-9 195

Page 206: _sWni7aGN

SiF62- + 4e I, Si + 6F- (E= -1.24V)

and Cu2> + 2e - o Cu (E= 0.34V)

So it is a spontaneous deposition, although the copper deposit showed poor

adhesion.

But silicon substrates covered with TiN showed different behavior. Firstly, the

copper deposit grew very slowly and difficult to observe because the TiN substrate's

color was also golden. However after being dipped in solution(a), the copper contact

was analyzed to be 0.24mg/g TiN, which is much slower than Si. In the case of

solution(b), the copper contact was found to be 0.00957mg/g. Since there was no

copper in solution(b)., the copper should be impurity originally contained in the TiN

powder. As for solution(c), there was only a minimal increase of copper content when

compared with solution(b). So presumably, copper cannot be deposited with CuSO 4

solution without F- ions. Sample A responded very slowly to solution (a) in contrast to

sample B. For sample A, there was a thick SiO, (1 00nm) layer beneath the TiN layer.

But for sample B, the TiN layer was directly in contact with the Si substrate. If the

copper deposition was due to reaction between TiN and cupric ion, there should not

be such a distinct difference between Sample A and Sample B. Furthermore, we

observed that the TiN layer was etched away by solution (b) as shown in Fig .

So we suspect that the so-called displacement reaction between TiN and Cu" is

really a reaction between Cu2' and the underneath Si when TiN is etched away.

SEM observation(Fig2) of the copper deposit also shows that the copper is not

uniformly distributed but dispersedly located. This again indicates that copper grows

through the crack of TiN layer. Fig 3 shows the TiN composition profile by AES near

the crack area and Fig 4 is the profile of the copper deposit. These two figures again

confirm that the copper deposit is not due to displacement reaction between TiN and

Cu2-.

A direct chemical analysis of the product after we dipped TiN powder in the

solution for copper contact displacement indicates TiN can react with CuSO4 solution

but very slowly. Apparently Cu2> ions can readily be displaced by Si and Ti instead of

TiN.

How other metallic ions behave in contact with those materials are of great

interest to us as shown in the follow table.

196 Electrochemical Society Proceedings Volume 99-9

Page 207: _sWni7aGN

Table 2 Some metallic ions behave in contact with those materials

M=Ig/I NHF • HF=6g/I Si Ti PVD TiN CVD TiN

Sr (E°=-2.888) × x x x

Mg (E7=-2.363) x x x x

AI (E"=-1.662) x x x x

Zn (E"=-0.7628) x 0 x x

Fe (E'=-0.4402) x 0 x x

Ni (E'=-0.25) x 0 x x

Sn (E"=-0.136) A 0 x x

Cu (E0=+0.337) 0 0 A A

Ag (E'=+0.7991) 0 0 0 0

Pd (E"=+0.987) 0 0 0 0

x =no reaction O=react perfectly A=react partially and slowly

Fig 5 is AES profiles of sample after reaction with PdCl,/NH 4F.HF solution. We

also found by AES analysis, there was 1.5% Pd remaining on the surface. This can

also be observed by X-ray mapping as shown in Fig 6. The copper electroplated on

top of the Pd layer actually show fairly good adhesion, which indicates good adhesion

between the Pd and the barrier layer after the contact displacement reaction. So

palladium may serve as a good adhesion promoter for copper plating on TiN.

CONCLUSIONS

The copper deposition observed between TiN barrier layer and acidic copper

solution containing F ions is actually due to reaction between the bare Si- material

and Cu2" through cracks in the TiN layer due to etching reaction by the fluoride ions.

But other metal ions such as palladium can indeed induce displacement reaction and

serve as a possible alternative for copper deposition without copper seed layer by

CVD or sputtering.

Electrochemical Society Proceedings Volume 99-9 197

Page 208: _sWni7aGN

REFERENCE

1.H.S. Rathore and D. Nguyen ,"Effect of Scaling of Interconnection" ,copper

metallization for Sub-Micron Integrated ,8,May,(1998).

2.Yosi Shacham-Diamand , Valery and Matthew Angyal, " Electroless copper

deposition for ULSI", thin solidfilm,262,93-103(1995).

3.C.H.Seah , S.Mridha and L.H.Chan. ,"Groeth morphhology of electroplated

copper",1EEE,98,157-159(1998).

4.Valery M.Dubin, Yosi Shacham-Diamand, "Selective and blanket electroless Cu

plating initiated by contact displacement for deep submicron via contact filling",

VMIC Conference, June,27-29,(1995).

5.M.K.Lee, J.J.Wang and H.D. Wang, "deposition of copper films on silicon from

cupric sulfate and hydrofluoric acid", J Electrochem. Soc.,144,May,1777-1779

(1997)

ACKNOWLEDGEMENT

The assistance by the Electronic Research & Service is sincerely appreciated.

198 Electrochemical Society Proceedings Volume 99-9

Page 209: _sWni7aGN

Fig 1. The TiN layer was etched away by solution (b) (HF 10 ml/l)

Fig 2 SEM observation of the copper deposit by contact displacement

AE5 Septh Profile PC lltereeting Se Joe 55 Seclee Sit Dele D res I A Sitt, Smi 08.00 P 4 1

File< reDpte(

Scale Facco- 1455 M Wcle Offset 271 • k•i •e Ei, 5,N i ]> 000D V

I -

- -- Ot\ ..- -

Ioi

1 055• TI . m

7

Wier 1.. (.0

Fig 3 The TiN composition profile by AES near the crack area

Electrochemical Society Proceedings Volume 99-9 199

Page 210: _sWni7aGN

AES toeth Protil PC Alten.ating t3 Jan 59 Spdes- SitH egion A Area. I Smtten lim: 04.50 .in

fWe: cul

Scale Factor: 2760,096 kilo Offuet OtOtO ; Ek: 5t 00 kv p; 0,0000 IA

to

CIt

Sp7

hii'" ' ho2

h O 00 30 4o 0 50 00 70 00b

Fig 4 The TiN composition profile by AES of the copper deposit

iES epith P~otite Pt atlonnatlna H ie 00 9toecime. Sit tegico:4 Aanealticuter time. 9SOS Bin

tile Oti3t

Siale Faitnr 009Otk¢/tle fseet: O.0th Ada| Ec 5 00 ii cI: 0 012 ui

I -

641h

72

o 0 o 2o 3o 3 4 0 50 60 70 8

SOIttL r tme tint

Fig 5 The TiN composition profile by AES of the palladium deposit

Fig 6 TiN surface dipped in palladium contact displacement solution by X-ray mapping

200 Electrochemnical Society Proceedings Volume 99-9

Page 211: _sWni7aGN

Modulated Reverse Electric Field Copper Metallization for High Density

Interconnect and Very Large Scale Integration Applications

JJ. Sun', E.J. Taylor', K.D. Leedy2, G.D. Via2, MJ. O'Keefe2, M.E. Inman', and C.D. Zhou'

1) Faraday Technology, Inc. 2) Air Force Research Laboratory315 Huls Drive Sensors Directorate, AFRL/SNDIClayton, Ohio 45315 WPAFB, Ohio 45433-7322

ABSTRACT

We are currently developing a copper electrochemical metallizationprocess for very large scale integration (VLSI) and high densityinterconnect (HDI) applications using a modulated reverse electric field(MREF) waveform utilizing a short cathodic duty cycle and a long anodicduty cycle. The key technical objectives for this research work are: 1)void-free copper metallization, 2) conformal copper deposition, 3) featurefilling copper deposition with minimal copper over plated, and 4) simple,easy to control plating bath chemistry. The results from our experimentalstudy show that by proper tuning of the MREF frequency and cathodic toanodic charge ratio, these objectives can be realized for features in therange of 0.5 gm to 100 gim.

INTRODUCTION

Metallization of plated through-holes (PTHs) for printed wiring boards (PWBs) isaccomplished by electrodeposition of copper. Electrodeposited copper is also the leadingcandidate for metallization of high density interconnects (HDIs) for multichip modules[&and very large scale integration (VLSI) applications(2 1. In both HDI and VLSIapplications, void-free copper electrodeposits and either conformal or via/trench fillingare required.

In plating of PTHs for the PWB industry, chemical additives such as "brighteners" and"levelers" are added to the plating bath to improve the throwing power and to yield afine-grained deposit. More recently, pulse reverse current (PRC) deposition inconjunction with additives has been reported for high rate copper electrodeposition ofPTHs 131. The PRC process consists of a long cathodic duty cycle followed by a shortanodic duty cycle and provides enhanced mechanical properties of the copperelectrodeposit 41. However,. there are considerable challenges for extension of PTHelectroplating processes to the smaller features used in HDI and VLSI applications.

Electrochemical Society Proceedings Volume 99-9 201

Page 212: _sWni7aGN

Specifically, the additive chemistries used in "conventional" and "high throw" baths aswell as PRC developed for PTHs do not provide acceptable results for HDI features inthe 40 to 100pm size range111 . For VLSI applications, the development of a masstransport controlled additive which results in "super-filling" of submicron trenches hasbeen reported1 51. The copper over-plate is removed subsequently by chemical mechanicalpolishing (CMP). However, control of the copper metallization process with additivesmay be problematic due to plating bath control issues and incorporation of impurities intothe deposit.

Work by Contolini and coworkers16- 71 and modeling by West and coworkers 181 suggest thefeasibility of PRC copper electrodeposition for VLSI applications. Woodman andcoworkers 141 reported PRC deposition of a lpm VLSI feature. While they were able to fillthe feature, there was considerable excess copper electrodeposit, which would requiresubstantial CMP. Since CMP generates 30 to 50 liters of waste slurry per 8 inch wafer,the waste disposal cost associated with copper CMP for VLSI applications issubstantial19 . For HDI applications, copper over-plate limits the line width and spacingwhich can be formed by subsequent etching1 °01 .

While electrodeposited copper represents considerable promise for HDI and VLSIapplications, simple insertion of the additive chemistry or PRC processes developed forPTHs application are not likely to be successful. Furthermore, while new additivechemistries may initially be successful, the extreme tolerances and associated controlissues, impurity incorporation, and waste associated with CMP prohibit the "chemistry-only" approach. By considering the fundamental differences associated with the PTHand HDI as well as VLSI applications, we have developed a modulated reverse electricfield process (MREF) for copper electrodeposition. In contrast to the long cathodic dutycycle-short anodic duty cycle used in the PRC process, the MREF process consists of ashort cathodic duty followed by a long anodic pulse. By "tuning" the frequency and thecathodic to anodic charge ratio (Qc/Qa), conformal and filling capability aredemonstrated for vias and trenches in the 0.5 to 100 pm size range.

MODULATED AND MODULATED REVERSE ELECTRIC FIELD

As shown in Figure 1, the MREF waveform consists of a cathodic peak current, Io, acathodic on time, t•, an anodic peak current, IL, an anodic on time, ta, and an off-time, to.The sum of the cathodic and anodic on-times and the off-time is the period of themodulation and the inverse of the period is the frequency of the modulation. The cathodicand anodic duty cycles are the ratios of the respective on-times to the MREF period. Theaverage current density or net Electrodeposition rate is given by:

Electrodeposition rate = IStcT - It5T (1)

202 Electrochemical Society Proceedings Volume 99-9

Page 213: _sWni7aGN

Just as there are infinite combinations of height, width, and length to obtain a givenvolume, in MREF, there are unlimited combinations of peak currents, duty cycles, andfrequencies to obtain a given electrodeposition rate. These additional parameters providethe potential for much greater process/product control versus DC plating.

Mass Transport in MREF

Mass transport in MREF is a combination of steady state and non-steady state diffusionprocesses. The mass transfer limited current density (i,) is related to the reactantconcentration gradient (Cb-C5) and to the diffusion layer thickness (8) by Nernst using thefollowing equation:

ie =-nFD (aC/dx)x=0 = -nFD[(Cb-Cs)/8] (2)

In steady state DC electrolysis, 8 is a time-invariant quantity for a given electrodegeometry and hydrodynamics. In MREF electrolysis, however, 8 varies from 0 at thebeginning of the MREF process to its steady state value when the Nemst diffusion layeris fully established. The corresponding diffusion limiting current density would then beequal to an infinite value at t = 0 and decreases to a steady state value of the DC limitingcurrent density. The advantage of MREF electrolysis is that the current can beinterrupted before 8 has a chance to reach the steady-state value. This allows the reactingions to diffuse back to the electrode surface and replenish the surface concentration to itsoriginal value before the next current interruption.

Therefore, the model of mass transport in a MREF waveform can be illustrated using asimple model of "duplex diffusion layer", which was developed by Ilb [I-1'] for pulseplating. As shown in Figure 2, the diffusion layer may be divided into two parts, apulsating diffusion layer of thickness 8p and a stationary diffusion layer. At the end of apulse, the pulsating diffusion layer thickness 8p (under low duty cycle) is given by:

8p = (2Dtoj 1/2 (3)

Therefore, very high instantaneous limiting current densities can be obtained with MREFelectrolysis as compared to DC electrolysis. The pulse on-time, ton, may be reduced byincreasing the frequency or decreasing the duty cycle.

Current Distribution in MREF

Metal distribution is determined by the current distribution. For HDI, VLSI, as well asPTH applications, an important determination of current distribution is macroprofile andmicroprofile. In a macroprofile (Figure 3a), the roughness of the surface is largecompared with the thickness of the diffusion layer, and the diffusion layer tends to followthe surface contour. In a microproffle (Figure 3b), the roughness of the surface is small

Electrochemical Society Proceedings Volume 99-9 203

Page 214: _sWni7aGN

compared with the thickness of the diffusion layer. In most "conventional" platingapplications, the substrate in question has large geometrical features andelectrodeposition is governed by a macroprofile.

For example, the thickness of the diffusion layer under conditions of moderate bathagitation is approximately 75pro (50 to 100pm). In PTHs applications, the dimensions ofthe PTH are large (approximately 325.m) compared to the thickness of the diffusionlayer. Therefore, the PTH case represents a macroprofile. As shown in Figure 4, underpulse conditions, the pulse diffusion layer becomes considerably smaller andelectrodeposition is still governed by a macroprofie. Under such conditions, the relativeinfluence of tertiary current distribution control (mass transport) is less compared toprimary current distribution control (geometrical)"I's. Consequently, for PTHapplications, pulse current yields a more non-uniform deposit. Pulse reverse waveformshave been developed for PTH plating consisting of a long cathodic duty cycle, i.e. "DC -like", followed by a short anodic duty cycle, i.e. "PC - like". In this case, the PTH"dogboning" generated during the forward cycle is preferentially removed during thereverse cycle.

For VLSI applications, the dimensions of surface features such as trenches are small(<1pm) compared to the thickness of the diffusion layer. Consequently, theelectrodeposition process is governed by a microprofile. As shown in Figure 5, underpulse conditions, the diffusion layer becomes considerably smaller and may convert amicroprofile to a macroprofile. In this case, pulse current yields better throwing power,provided the electrodeposition process remains under tertiary current distribution control.Consequently, the optimum MREF waveform for VLSI plating should consist of a shortforward duty cycle followed by a long reverse duty cycle 1141 . During the forward cyclethe electrodeposit is preferentially "thrown" into the trench feature while during thereverse cycle the over-plated electrodeposit is preferentially removed. In this manner,metallization of VLSI features is accomplished with minimal need for chemicalmechanical polishing (CMP).

For HDI applications, the dimension of surface features such as lines or vias areapproximately equivalent (25 to 100pm) to the diffusion layer thickness (as shown inFigure 6). However, in this case the width of the HDI is also on the order of the diffusionlayer thickness and the contour of the lines or vias are inaccessible to the diffusion layer.We designate this a special case -- a "hydrodynamically inaccessible microprofile".Consequently, the optimum MREF waveform for HDI plating should consist of a shortforward duty cycle followed by a long reverse duty cycle, with benefits analogous to theVLSI case t1 .

EXPERIMENTAL WORK

The experimental apparatus includes: 1) a rotating disk system (RDE) to mount a testwafer, control the rotating speed, and adjust the distance between the cathode and anode;

204 Electrochemical Society Proceedings Volume 99-9

Page 215: _sWni7aGN

2) a plating cell that consists of an inner cell and outer cell; 3) a pump; 4) a rectifier thatcan output direct current (DC), modulated, or modulated reverse electric fields; and 5) anoscilloscope.

Solutions of acid copper sulfate (containing only chloride and carrier) were used as thecopper electroplating bath. A piece of titanium mesh (diameter = 55 mm) coated withiridium oxide was used as an insoluble anode. The bath was pumped through the anodeto the cathode under 1 /min and controlled at 25 TC. The cathode rotating speed wasmaintained at 165 rpm. The copper electrodeposition tests were conducted underdifferent electric field waveforms with an average cathodic current density of 25 to 32ASF, which was controlled by the cell voltage. Samples were cross-sectioned with afocused Ion beam scanning electron microscope (FIB-SEM) to inspect both the quality ofthe copper deposits in the trenches or via-holes.

Silicon wafer test coupons were designed and fabricated by Case Western ReserveUniversity using 51mm diameter silicon wafers. The wafers were etched with trenches inthe size range of 0.5 to 10pom and then covered with an oxide layer. The wafer was dicedinto three 19 mm x 19 mm square devices. Each trench consisted of a 9 x 9 array of cells.The arrays were located at the center of the 6.35 mm x 6.35 mm active area in the centerof each 19 mm square device. Each trench in Device 1 was 5 pm long, 1 Pm wide, and 3pm deep. Device 2 had dimensions 2x that of Device 1, and Device 3 had dimensions 4xthat of Device 1. Finally a conductive seed layer of 200 A /1000 A Ti/Cu or Cr/Cu wassputtered on the chip surface.

For HDI applications, some 100 pm diameter via-holes with aspect ratios greater than 1were drilled into brass chip samples to evaluate the effect of MREF waveformparameters.

RESULTS DISCUSSION

Figures 7 and 8 show copper deposits in the 100 pm via-hole after DC and PC platingprocesses, respectively. Both the DC and PC cases exhibit poor throwing power as wellas void or key-hole defects. Although PC can Improve the throwing power, as previouslydemonstrated by Andricacos for gold plating in 50 pm features1 I, the problem of thevoids and copper over plate could not be solved In PC process. As expected from theabove discussion, the PRC waveform developed for PTH application, i.e. long cathodicduty cycle - short anodic duty cycle, exhibited even poorer throwing power than the DCor PC cases (Figure 9). Figure 10 shows the results from the same PRC waveform at ahigher frequency. In this case, better throwing power was achieved compared to lowfrequency. However, the dog-boning would result in voids in the deposits with slightlylonger plating time. The MREF data are presented in Figures 11 and 12, using thewaveform parameters with short cathodic duty cycle - long anodic duty cycle designed

Electrochemical Society Proceedings Volume 99-9 205

Page 216: _sWni7aGN

for microprofile plating. The MREF waveforms were able to achieve conformal as wellas trench filling with minimal copper over-plate. The throwing power data are presentedin Table 1. The results indicted that the MREF waveform can get higher throwing powerand void-free deposits compared to DC and PC.

Table 1. Comparison of Micro throwing Power

MREF Thickness Thickness QualityWaveform Ratio I Ratio II

I DC 3.2 5 VoidII PC 3.9 4 VoidIII MREF 1.2 1.05 Void-freeIV MREF 0.35 0.2 Void-free

Ratio I: Comer thickness to trench/via copper thickness,Ratio II: Surface copper thickness to trench/via copper thickness

Figure 13 shows that conformal copper deposits (without any dog-boning) can beobtained in a line (2 pm width x 4 pm pitch x 2 mm long) using the MREF (i.e. shortcathodic duty cycle and long anodic duty cycle).

Figures 14 and 15 show micrographs from FIB/SEM analyses of copper deposition in 0.5pm trenches using the MREF waveform. The surface copper film thickness can bereduced or nearly eliminated by decreasing of the charge ratio (Qc/Qa), as shown inFigure 16 and 17. Figure 18 shows the microstructure of the copper grain structure in thetrench under MREF waveform.

CONCLUSIONS

In summary, these results indicate that the MREF process alone, i.e. without complexadditive chemistries such as "brighteners or levelers", offers considerable promise formetallization of features in the size range relevant to HDI and VLSI applications. TheMREF process demonstrated void-free copper deposits and the ability to obtain bothconformal or via/trench filling with minimal copper over-plate. An important illustrativelesson is that the additive chemistry and/or PRC parameters used in PTH applicationsmay not be simply inserted into HDI and VLSI applications. In fact, attempts to use thePRC process for HDI applications has lead other researchers to conclude that theelectroplating conditions are difficult to determine1"71. However, with the understandingthat the HDI and VLSI applications are governed by a microprofile and ahydrodynamically inaccessible microprofile, respectively, the full potential of the MREFprocess can be realized.

ACKNOWLEDGMENT

206 Electrochemical Society Proceedings Volume 99-9

Page 217: _sWni7aGN

Financial support for this work was provided under Air Force Contract No. F33615-98-C-1273. The authors gratefully acknowledge Wright Patterson Air Force Research Labfor FIB-SEM analysis and Case Western Reserve University for trench samples.

REFERENCES

1. S. Castaldi and D. Fritz, in IPC Printed Circuits Expo, April 26-30, 19982. V.M. Dubin, C.H. Ting, and R. Cheung, in Proceedings of International VLSI

Multilevel Interconnect Conference, VMIC Catalog No. 97 IMSIC-107, p.69, SantaClara, CA, 1997

3. T. Pearson and J.K. Dennis, J. Applied Electrochemistry, 20, 196, 19904. A. Woodmen, M. Kimble, and E. Anderson, in Proceeding of the 1998 AESF/EPA

Conference, AESF Society, Jan.25-30, Orlando, FL.5. P. Andricacos, Interface, 8(1) 32-7, 19996. R.J. Contollni, S.T. Mayer, and A.F. Bernhardt, Solid State Technol., 40, 155, 19977. R.J. Contolini, A.F. Bernhardt, and S.T. Mayer, J. Electrochem. Soc., 141, 2503,

19948. A.C. West, C. Cheng, and B.C. Baker, 1. Electrochem. Soc., 145, 3070, 19989. B.M. Belongia, P.D. Haworth, J.C. Baygents and S. Raghavan, The Electrochemical

Society, Inc. Proceedings volume 98-7.10. G. Milad and D. Morrissey, in IPC 3rd Annual National Conference on HDIS 199811. N. Ibl, J. C. Puippe, and H. Angerer, Surface Technology, vol. 6, 287, 197812. N. Ibl, Surface Technology, vol. 10, 81, 198013. N. Ibl, Proceedings of the Second International Pulse Plating Symposium, AESF,

Florida, 198114. E.J. Taylor, C. Zhou, and J. Sun, "Pulse Reverse Electrodeposition for Metallization

and Planarization of Semiconductor Substrates", U.S. Patent Pending, filing date 14October, 1998

15. E.J. Taylor, C. Zhou, and J. Sun, "Electrodeposition of Metals in Small Recesses forManufacture of High Density Interconnects Using Modulated Electric Field ", filingdate, 29 January, 1999

16. P.C. Andricacos, H.Y. Cheh and H.B. Linford, Plating and Surface Finishing,September, 1977.

17. T. Fujinami, T. Kobayashi, A. Maniwa, and H. Honma, J. Surface Finishing Societyof Japan, Vol. 48 (6), 86, 1997

Electrochemical Society Proceedings Volume 99-9 207

Page 218: _sWni7aGN

Cathodic

(-) T

(+) t

Anodic

Figure 1. Rectangular Modulated Reverse Current Waveform

0 _

distance from the cathode

api thickness of the pulsating

diffusion layer

Thickness of the stationarydiffusion layer

Figure 2. Schematic Representation of Duplex Diffusion Layer

Diffusion layer3 • 3b >> It

Diffusion layer

Figure 3. (a) Macroprofile and (b) Microprofile

208 Electrochemical Society Proceedings Volume 99-9

Page 219: _sWni7aGN

8&= 75 Wm

Figure 4. Schematic of Effect of Pulse Current on the Diffusion Layer Thickness for aPTH (Drawing not to scale)

8&c•1= 75 ýtm 8&=• 75 pm

gm 7 M 75 im

Figure 5. Schematic of Effect of Pulse Figure 6. Schematic of Effect of PulseCurrent on the Diffusion Layer Thickness Current on the Diffusion Layer Thickness

for Silicon Wafer (Drawing not to scale). for an HDI (Drawing not to scale).

Figure 7. DC Plating Figure 8: PC Plating

Electrochemical Society Proceedings Volume 99-9 209

Page 220: _sWni7aGN

Figure 9. PRC Waveform Developed Figures 10. PRC Waveform withfor PTH Plating High Frequency

Figure 11. MREF for Conformal Deposition Figure 12. MREF for Via-Hole Filling

''ti

Figure 13. MREF Copper Conformal Deposits on 2 pr Trench

210 Electrochemical Society Proceedings Volume 99-9

Page 221: _sWni7aGN

Figure 14. MREF Copper Metallization Figure 15. MREF Copper Metallizationon 0.5 pm Trench on 0.5 pm Trench

Ji

Figure 16. MREF Copper Metallization Figure 17. MREF Copper Metallizationon 8 pm Groove on 8 gim Groove

Figure 18. Microstructure of Copper Film

Electrochemical Society Proceedings Volume 99-9 211

Page 222: _sWni7aGN

ELECTROCHEMICAL CODEPOSITION AND ELECTRICALCHARACTERIZATION OF A COPPER-ZINC ALLOY METALLIZATION

Ahila Krishnamoorthy*, David J. Duquette* and Shyam P. Murarka*** Materials Research Center, **Center for Industrial Innovation

Rensselaer Polytechnic Institute, Troy, NY 12180

ABSTRACT

The objective of this research work is to develop a highlyconductive copper alloy based diffusion barrier for coppermetallization. The criteria for selection was that minimal increase inresistivity resulted on addition of one atomic percent of secondelement to copper. The copper-1 at.% zinc alloy conforms to thiscriteria and hence was selected as a candidate material for furtherstudy. Pure copper can easily be electroplated from simple acidcopper baths, but the alloys of copper are more difficult when thedeposition potential of individual elements is widely separated as inthe present case. A Cu-Zn alloy can be deposited from bathscontaining coordinating agents. Having established that a Cu-Znalloy can be successfully electroplated, an alloy of composition Cu-3.5%Zn was sputter deposited to develop an MOS capacitor andelectrical testing was performed on as-sputtered and annealedsamples. The bias temperature stability tests indicate that the alloypossesses promising diffusion barrier properties.

INTRODUCTION

Scaling of ULSI circuits to ever smaller dimensions demands an increasingnumber of wiring levels with finer lines. For well known reasons (1-3), coppermetallization will replace AI(Cu) in future interconnects. The transition from aluminumto copper as the conductor started with IBM's announcement in September 1997 (4).However, copper is not free of shortcomings; the most important being its rapid diffusionin silicon (5,6). Hence, a diffusion barrier is mandatory whenever copper is deposited onsilicon or silicon oxide. What makes copper more attractive is that it can be easilyelectroplated. But to electroplate, one needs a conducting layer; a requirement that isnot fully satisfied by the commonly employed barriers. In addition, conventional barrierstend to increase the overall line resistivity. Any material which can function as a barrieragainst copper diffusion that does not increase the resistivity will be an attractivealternative to existing barriers. In the present work, a copper-zinc alloy diffusion barrierwas developed and evaluated by bias temperature stability tests.

212 Electrochemical Society Proceedings Volume 99-9

Page 223: _sWni7aGN

Background

Resistivity charts of different alloys of copper (with 1 at.% of alloying elementadded to the copper) were consulted (7). This addition, in the case of zinc to copper,

increases the resistivity of copper by only 0.25gtcm. Therefore Cu-Zn alloy wasselected.

The chemistry of copper electroplating is well known (8) and commercial platingsolutions are widely available. To achieve codeposition, both Cu and Zn should besimultaneously reduced to give rise to an alloy of required composition. Cu-Zn alloydeposition belongs to an irregular codeposition process, a situation in which the morenoble metal is obtained in a higher percentage and the less noble one in a lowerpercentage than is indicated by the metal ratio in the solution. The deposition potentialcan be manipulated and thus conditions can be created for codeposition of copper and Zn.The deposition potential is a function of the bath chemistry and hence by altering thebath composition, codeposition of a wide variety of compositions is possible.

EXPERIMENTAL DETAILS

The electrochemical measurements were carried out using a rotating diskelectrode at 200rpm. A calomel reference electrode (SCE) and a Pt counter electrodewere used. The test solution contained copper and zinc sulfate in various ratios,

ammonium sulfate as a supporting electrolyte, and ethylenediamine (ED) and ammoniato form coordinating complexes. The polarization experiments were performed on acopper rod to simulate the sputtered copper seed layer on a wafer, at a scan rate 2 mV/sin plating solutions. From these measurements, the desired range of potential for platingwas selected. Electroplating experiments were carried out using a Dynatronixmicroreverse pulse unit. The resistivity of the electroplated film was computed fromsheet resistance measured by a four point probe and the thickness determined by aprofilometer.

The wafers used in this work were p-type device quality wafers with 700nm of

thermal oxide. A copper seed layer of 30nm thickness, was deposited by sputtering at a

base pressure of 107Torr and an argon pressure of 5mTorr. The sputtered layer exhibited

a resistivity of 2.1[tfcm before annealing and 1.9ltf1cm after annealing at 250'C for

30min.

To test the electrical stability of the Cu-Zn alloy and Cu on silicon oxide, a 50nmgate oxide with an Al back contact on p-substrate was prepared. By sputtering through ashadow mask, metal oxide semiconductor (MOS) dots of 1.2mm diameter weredeveloped. The specimens were annealed at different temperatures and tested for biastemperature stability.

Electrochemical Society Proceedings Volume 99-9 213

Page 224: _sWni7aGN

RESULTS AND DISCUSSION

Cathodic Polarization

Potentiodynamic deposition of Zn, Cu-Zn and Cu was characterized for a widerange of solution compositions. Fig. 1 represents a typical example, where concentrationof Zn in solution was 0. 1M and the amount of copper was varied from O.02M to O.08M.Hydrogen liberation that occurred at steady state (point 'a' in Fig. 1) to a significantextent when Zn in the plating bath was high, was negligible when more than 5g/l ofcopper was present in solution. Calculated current efficiency from weight of copperdeposit was above 98%. Point 'b' represents the deposition/dissolution of Zn. Thesteady state region at potentials more positive to -0.5V (SCE) correspond toreduction/oxidation of copper deposit or copper rod (point 'c' in Fig. 1).

A plot of open circuit potential (OCP) of the copper (rod or deposit) as a functionof weight fraction, R (R = ZnSO4/ ZnSO 4 + CuSO4) in solution is given in Fig. 2.Although the solution composition was high in Zn, the deposit contained low zinc of theorder of I to 2% in the range of potential selected for plating. The desired compositionof the deposit was obtained by electroplating using a solution containing both elementsin a composition ratio of 35 g/l ZnSO 4:15 g/1 CuSO4 in an ammonia water mixture(pH = 10)

-0.5 c ,

-1.0U- a•

. -1.5 - 0.02M - - - 0,04M-----. 0.06M - 0.08M

-2 .0 1..-3.0 -2.0 -1.0 0.0 1.0 2.0

Log i, mA/cm2

Fig. 1 : Selection of composition by potentiodynamic polarization

Fig. 3 shows both the potentiodynamic trace of a copper rod in the selected platingsolution (35 g/l Zn-sulfate and 15 g/l copper sulfate) and the potentiostatic response inthe same solution, the latter being measured in Dynatronix pulse power supply where thepotential was set with respect to the OCP of anode (-0.382V vs SCE). When 0.9V wasset in Dynatronix power supply, it represented the potential between the anode and

214 Electrochemical Society Proceedings Volume 99-9

Page 225: _sWni7aGN

the cathode and the potential of the cathode was equal to -1.28V vs SCE. Platingbetween -1.OV and -1.2V vs SCE did not result in measurable or observable hydrogenevolution on the copper. More negative potentials were not attempted due to a largecurrent and a resultant rough deposit.

-0.3

-0 .4.0

. E -0.4324R -0.0588 E [3

©-0.6 - ' '

0.5 0.6 0.7 0.8 0.9 1

Fraction (R) of 'ZnSO4' in solution

Fig. 2 : Open circuit potential as a function of fraction of ZnSO4 in solution

1.15 -0 -2> -0o- potentiostatic >

--x-- potentiodynamicU 0.85 ........ 1.5

Solution : 35:15S0.55 - .S-1 E5I

0.25-0.5

-0.05

0.1 1 10 100Current density, mA/cm 2

Fig. 3 Potentiostatic and potentiodynamic response of copper in a plating solution thatcontains 35 g/1l zinc sulfate and 15 g/l copper sulfate in an ammonia-water mixture.

Plating was performed above the dotted line

Plating and Deposit Characterization

Resistivity measured as a function of the pulse peak potential with a pulse cycle of90ms forward 'on' and lOms 'off' (90/10) is shown in Fig. 4. The average resistivity inthe potential range selected was of the order of 2~Q cm. The higher resistivity at morenegative potentials was due to higher levels of Zn in the deposit, and that at more positivepotentials was due to more dissolution than deposition.

Electrochemical Society Proceedings Volume 99-9 215

Page 226: _sWni7aGN

Plating rate as a function of pulse cycle is plotted in Fig. 5 for various pulse peakpotentials. In the plating range, the pulse plating rate was not much different from that ofDC plating. The potentials more positive to -0.8V vs SCE were not considered forelectroplating as copper deposition rate was very low.

On the basis of foregoing results, an alloy of composition, Cu-3.5wt. % Zn, wasselected for electrical testing. An alloy target of Cu-5% Zn provides this depositcomposition on sputtering.

4

E As-platedQ Annealed

Plating potential (SCE), V

Fig. 4: Resistivity as a function of plating potential. Pulse used : Forward90/10; plating solution contains 35 g/l zinc sulfate and 15 g/l copper

sulfate in ammonia-water mixture

750 - Zn:Cu :: 30:20 0'-l.382V (SCE) B:-1.332V (SCE)D -l.282V (SCE) 0 -1.182V (SCE)O -0.982V (SCE) EI-0.882V (SCE)

a 500 [-0.832V (SCE) [I-0.782V (SCE)

" 250

0DC 90/10 90/20 90/30

Plating parameter

Fig. 5 : Plating rate represented as thickness of deposit obtained per rain. as afunction of pulse cycle at various pulse peak potentials. Plating solution contains

30 g/l zinc sulfate and 20 g/l copper sulfate in ammonia-water mixture

216 Electrochemical Society Proceedings Volume 99-9

Page 227: _sWni7aGN

Bias Temperature Stability

The metal oxide semiconductor with either copper or Cu-3.5%Zn as gate metal

and an oxide of 50nm thickness was fabricated. The samples were annealed at 250'C,

300'C, 350'C or 400'C for 30min. Each capacitor was tested at a bias temperature

aging (BTA) temperature of 200'C in steps of 30mrin and at a bias of 2MV/cm.

Fig. 6(a) and 6(b) superimpose C-V curves of the Cu-MOS and Cu-Zn-MOScapacitors respectively, in the unannealed condition, tested under 'no bias' and BTA. Inthe case of copper, the C-V curves moved back and forth at increasing times of biasing.In Cu-Zn alloy, after the first movement due to annealing of surface states, the curves

did not shift.

I1E-09 Copper No annealing

'9E-10--- No Bias

.A 6E-10 30minU -0-- 60min

U 3E-10 -0

1E-1 I

-10 -5 0 5 10Potential, V

1E-09 ll • Alloy- No annealing

S9E-10--o-- 30rain

t 6E-10 -0- 60minS• 90min

`U 3E-10 X 120min

lE-11

-10 -5 0 5 10Potential, V

Fig. 6 : C-V Plots of as-sputtered MOS capacitors on bias temperature aging(a) Cu (b) Cu-Zn

The shift of the C-V curves did not occur when the capacitors were annealed priorto testing as can be seen from Figs. 7(a) and 7(b). Although Cu-capacitors failed after

Electrochemical Society Proceedings Volume 99-9 217

Page 228: _sWni7aGN

30min. of biasing, the C-V curve did not indicate any change. Accordingly, C-Vmeasurements alone are not sufficient to measure failure.

8E-10 Pure copper

Anneal : 250C-30min

4E-10 -- No Bias

- BTS 30min

4E-12

-10 -5 0 5 10

Potential, V

IE-09 Cu-Zn alloyAnneal : 250 0C-30min

No Biasn 8E-10 -o-- BTS 30min

"cc --o- BTS 60min-a-- BTS 90min

S4E-10 x*BTS 120min

- BTS 150min

4E-11 ...........

-10 -5 0 5 10

Potential, V

Fig. 7 : C-V plots of annealed MOS capacitors on bias temperature aging(a) Cu (b) Cu-Zn

Figs. 8(a) and 8(b) show a comparison of leakage currents of copper and of theCu-Zn alloy as a function of annealing temperature, at +10V (extracted from I-V curves,not shown here). Relating the magnitude of leakage current and the maximum survivaltime before failure, it is clear that Cu-Zn alloy is a very promising candidate to provide abarrier for diffusion of copper.

I-V data can thus be used to detect the dielectric breakdown (indicated by leakagecurrent). At an annealing temperature of 250'C, copper fails after 30min. of biasingwhereas Cu-Zn capacitors did not fail until 150min. It can be inferred that copperdiffusion into the silicon substrate did not occur when the alloy was present as an

218 Electrochernical Society Proceedings Volume 99-9

Page 229: _sWni7aGN

intermediate layer. In addition, the leakage current measured after 150min of biasingwas at least 2 - 3 orders of magnitude smaller than that of copper. At all the conditionstested, the copper alloy experienced a longer time without leaking and exhibited anexcellent diffusion barrier tendency. It can be concluded that an alloy of Cu-3.5at.% Zncan function as a promising diffusion barrier to copper diffusion, without at the sametime, appreciably increasing the overall line resistivity.

I E-2 - Cu,+10V

IE-6 -

SLE-10

I 1E-14

AS 250 300 350Annealing Temperature, ° C

I E-2 EU No BTA 0r30rmin Cu-Zn alloy, +10VS1E-4 [60min E0 90mi

9 120main 0 150min

IE-8

U IE-10

AS 250 300 350Annealing Temperature, 0 C

Fig. 8: Leakage current as a function of annealing temperature. 'AS' stands for as-sputtered condition (a) Copper (b) Cu-Zn alloy. Legend is the same for both the Figures.

CONCLUSIONS

Cu-Zn films were found to provide an effective diffusion barrier capability tocopper diffusion into silicon as characterized using C-V and I-V measurements. Thealloy film was stable against copper diffusion until an annealing temperature of 400'C.This alloy did not increase the resistivity of copper above 2.5p. cm in the compositionalrange tested (0.1 to 3.5 wt. % of Zn), thus leading to a conclusion that it can replace highresistivity conventional barriers. Another attractive feature of this alloy is that it can beeasily electroplated. More investigation is needed to better characterize the diffusion ofcopper into the semiconductor and is planned for future.

Electrochemical Society Proceedings Volume 99-9 219

Page 230: _sWni7aGN

ACKNOWLEDGMENT

The authors acknowledge the financial support of Semitool, Inc, Kalispell, MT, and inparticular, the helpful comments of T. Ritzdorf and L. Graham.

REFERENCES

1. D. Edelstein, G.A. Sai-Halasz, and Y.-J. Mii, IBM Res. Develop., 39, 383 (1995)

2. P.C. Andricacos, The Electrochem. Soc., Interface, 32, Spring (1999)

3. P. Gwynne, IBM Research, 4, 17 (1997)

4. L. Zuckerman, "IBM to make smaller and faster chips - Second breakthrough in aweek has wide uses", The New York Times, Dl, Monday, September 22, 1997.

5. J.D. McBrayer, R.M. Swanson, and T.W. Sigmon, J. Electrochemical Soc., 133, 1242(1986)

6. A.G. Milnes, Deep Impurities in Semiconductors, Wiley, New York, (1973)

7. J. Harper, I.B.M., T.J. Watson Research Center, Yorktown Heights, NY, PrivateCommunication.

8. W.H. Safranek, The Properties of Electrodeposited Metals and Alloys, II Edition, TheAmerican Electroplaters and Surface Finishers Society, Orlando, Florida (1986)

220 Electrochemical Society Proceedings Volume 99-9

Page 231: _sWni7aGN

ELECTRODEPOSITION OF Cu, Co AND Ni ON (100) n-Si

A. A. Pasa, M. L. Munford, M. A. Flori*, E. M. Boldo, F. C. Bizetto, R. G. Delatorre,0. Zanchi, L. F. 0. Martins, M. L. Sartorelli and L. S. de Oliveira

Departamento de Ffsica, UFSC, P. 0. Box 476,CEP 88040-900, Florian6polis -SC-Brazil.

L. SeligmanCurso de P6s-Gradua~do em Eng. Mecanica, UFSC, Florian6polis -SC-Brazil.

W. SchwarzacherH. H. Wills Physics Laboratory, Tyndall Avenue, Bristol BS8 1TL, U.K.

ABSTRACT

In this work we investigated the electrodeposition of Cu, Co and Ni thinfilms on Si substrates. The main objective was to understand theelectrochemical aspects related to the preparation and the physicalproperties of nonmagnetic and magnetic thin layers electrodeposited on Si.The films were prepared under potentiostatic control from differentaqueous solutions containing basically the appropriate sulfate (CuSO 4,COSO 4 or NiSO4) and Na 2SO 4, with or without H3B0 3. Typically, thincompact metallic layers of Cu, Co and Ni with regular granularity wereobtained. Aspects related to the deposition process and deposited layerswere investigated by voltammetry, current transients, electrical andmagnetoresistive measurements, scanning electron microscopy, X-raydiffractometry, Rutherford backscattering and magneto-optical Kerr effect.

INTRODUCTION

The electrodeposition technique has a major advantage over other methods of thin filmproduction, namely, the possibility of performing deposition at normal conditions ofpressure and temperature, requiring relatively inexpensive equipment. Additionally,electrodeposition gained renewed attention, being considered a breakthrough the successof this technique on one of the most technologically advanced areas, namely, themanufacturing of chips (1). In this area, electrodeposition of Cu is being used for thefabrication of interconnects in ultra-large scale integration (ULSI) technology. The sametechnique is also being currently used in the preparation of metallic nanostructures (2).

It is our purpose in this work to present some interesting results obtained byelectrodepositing thin layers of Cu, Co and Ni directly onto Si substrates, i.e., without thepresence of a seed layer. As it is well known, semiconducting substrates can conductsufficiently well to allow direct electrodeposition. Different groups have already

. Departamento de Engenharia de Materiais, UNESC, Cricidima, SC, Brazil.

Electrochemical Society Proceedings Volume 99-9 221

Page 232: _sWni7aGN

demonstrated the feasibility of such technique (3-7). In particular, we draw attention tothe fact that also magnetic multilayers have been fabricated, showing a magnetoresistiveeffect of about 5% and a field sensitivity over 0.04%/Oe (8). Electrodeposition of thinfilms and multilayers directly on semiconductors is therefore a subject of fundamentaland practical significance. Such investigation could lead to the integration of an efficient,inexpensive and convenient method for fabricating thin layers with the silicontechnology.

Thin films of Cu, Co and Ni on Si were prepared from different aqueous electrolytescontaining sulfates of the respective metals as well as some supportingelectrolyte/additive. Voltammetry and current transients were used to analyze theelectrochemical aspects of the deposition. The electrodeposited layers were investigatedby scanning electron microscopy (SEM), Rutherford backscattering (RBS), magneto-optical Kerr effect (MOKE), X-ray diffractometry (XRD) as well as by electricalmeasurements.

EXPERIMENTAL

The substrates used in our experiments were single side polished, technical grade(100) oriented Si wafers, n doped for a resistivity of 1-7fl.cm. Electrical contact to eachsubstrate was achieved through a GaAl back contact. An adhesive tape was used to maskoff all the substrate except for the area on which deposition was desired. Each substratewas cleaned in a 5% HF solution and then immediately transferred to theelectrodeposition cell. In order to minimize chemical reactions between the substrate andthe constituents of the electrolyte, the time between immersion and application ofpotential control was kept to a minimum. All electrolytes, as well as the etching solutionsused to clean the samples prior to the electrochemical experiment, were prepared fromanalytical grade reagents and filtered deionized water with a resistivity of 18 MQ).cm. Athree-electrode cell was used, together with a computer-controlled potentiostat. Thepotentials were measured against a saturated calomel electrode (SCE), which was placedas close as possible to the Si surface to minimize the ohmic potential drop in theelectrolyte. The Pt foil counter electrode was placed directly opposite to the workingelectrode (substrate). RBS analyses were performed using a 3.OMV Tandetron ionimplanter at the Physics Institute of Universidade Federal do Rio Grande do Sul, PortoAlegre, Brazil.

RESULTS AND DISCUSSION

We will present some results obtained by electrodepositing thin films of Cu, Co andNi on silicon. Emphasis will be given to different aspects on each case, namely, themorphology and growth rate of copper thin layers, hydrogen evolution during cobaltdeposition and structure and electrical properties of nickel layers.

222 Electrochemical Society Proceedings Volume 99-9

Page 233: _sWni7aGN

0.0

E s.0.6

Z -1.0C

C

U -2.0

-0.76 -0.60 -0.25 0.00 0.25 0.60 0.76

E vs SCE (V)

Figure 1: Cyclic voltammograms (20mV/s) obtained with electrolyte containing 0.013MCuSO 4 and 0.5M Na2SO 4.

Cu Thin Films on Silicon

Figure 1 displays a typical voltammogram obtained using Si electrodes andelectrolytes containing copper sulfate and sodium sulfate. As a general feature, a largenucleation loop resulting from the reduction of copper ions on a foreign electrode isobserved. Concerning the morphology of the electrodeposited layers, electrolytescontaining two different concentrations of copper sulfate (0.013M and 0.104M) andsodium sulfate (0.5M) were investigated. The applied voltages for the potentiostaticdepositions were chosen from the voltammograms near the onset of the cathodic current.

Figure 2: SEM micrographs of deposits obtained with a solution containing 0.013MCuSO4 + 0.5M Na2SO 4 at -0.42V (300 seconds).

Electrochemical Society Proceedings Volume 99-9 223

Page 234: _sWni7aGN

Figure 2 shows a SEM micrograph of a layer, electrodeposited at -0.42V for 300seconds. This compact and granular structure is a representative result for filmselectrodeposited from both electrolytes. Analysis of the current transients, related to theinitial stages of the deposition, indicate an instantaneous nucleation process (7).

5000

4000 200a

1202000 804

0 2000 8

04

100 200 300 400

Channel

Figure 3: Series of RBS spectra of Cu thin films as a function of deposition time. Theelectrolyte used contained 0.104M CuSO4 and 0.5M Na2SO 4 and the appliedpotential was -0.5V.

In order to investigate the uniformity and the growth rate of copper thin films, RBSmeasurements where done on samples electrodeposited from electrolytes with differentconcentrations of copper ions and different deposition times. Figure 3 shows a sequenceof RBS spectra obtained from an electrolyte containing 0.104M of CuSO 4 and 0.5MNa 2SO 4, at a deposition potential of -0.5 V. Uniform layers with increasing thicknessesare clearly seen. For this electrolyte, Figure 4 shows that film thickness, as calculatedfrom the width of the RBS depth profiles, grows linearly with deposition time at a rate of22 A/s. On the other hand, a deposition of 2.5 A/s was obtained for the 0.013 M CuSO 4bath. These results are in good agreement with a factor of 8 in the relative Cu ionconcentration between both baths.

Figure 4 also shows the nominal thickness of the Cu layers, as calculated from theelectrodeposited charge. One observes an increasing disagreement between both curveswith deposition time. As for this system no hydrogen evolution is expected, the observeddiscrepancy between both curves can only be explained if one assumes a thickening ofsample edges with increasing deposition time. Whereas the electrodeposited chargereflects the overall process, yielding an average value for the film thickness, RBSmeasurements are performed locally, with a diameter beam of about 2mm directedtowards the center of the sample.

224 Electrochemical Society Proceedings Volume 99-9

Page 235: _sWni7aGN

7000

0 Electrodeposited charge

6000 0 RBS

0S5000

CG 4000

. 3000

2000

100050 100 150 200

Time (seconds)

Figure 4: Thickness of Cu thin films as function of deposition time, as calculated fromRBS measurements and from electrodeposited charge. Films were electrode-posited at -0.5V, from a 0. 104M CuSO4 + 0.5 M Na2SO 4 bath.

Co Thin Films on Silicon

Similarly to the Cu/Si system, studies concerning the composition of electrolyte, aswell as the adequate deposition potential and the possible influence of hydrogenevolution were conducted for the Co/Si system (9). Electrolytes containing cobalt sulfateand sodium sulfate, with and without boric acid were tested. As a general result,homogeneous, granular and compact layers were obtained for all solutions, irrespectiveof the presence of boric acid (7, 9). On the other hand, the metallic ion concentrationshowed a marked influence on the kinetics of film formation. Low concentratedelectrolytes induced a progressive nucleation mechanism, whereas for high concentratedbaths, an instantaneous nucleation mechanism was observed.

In order to characterize structurally and compositionally the electrodeposited Colayers, RBS measurements were performed on films obtained from two electrolytes withdifferent Co concentrations plus 0.5 M Na2 SO 4 and containing no boric acid. Depositionrates of 5.6 A/s and 28 A/s were observed, respectively, for electrolytes containing0.026M and 0. 104M CoSO4. The applied potential was -1.07 V for the less concentratedelectrolyte and -1.15 V for the more concentrated one (9). Co layers with good adherenceand thicknesses ranging from 100A up to 7,OOOA were obtained from both electrolytes.

Influence of hydrogen on the deposition process was evidenced in electrolytescontaining boric acid. In such electrolytes, application of very negative potentials caused,simultaneously, Co reduction as well as evolution of hydrogen. Film thicknesses obtainedby the RBS technique were used to determine the cobalt average current density cobalt,which was then compared with the average total current density itoteI, calculated from themeasured deposition current (7).

Electrochemical Society Proceedings Volume 99-9 225

Page 236: _sWni7aGN

12

I'

o•10

E roW.1

E

4

3

1,0 1,1 1,2 1,3 1.4

E vs. SCE (V)

Figure 5 Mean total and cobalt current densities as a function of the applied potential fora 0.104M COSO 4 + 0.5M Na2SO4 + 0.5M H3B0 3 electrolyte.

Figure 5 shows the dependence of itoral and icoball on the applied potential. As observed,for less negative applied potentials the influence of hydrogen evolution is negligible andthe efficiency of the process is higher than 93%. At more negative values the total currentincreases markedly due to hydrogen evolution. Figure 6 shows the dependence of itota1and icobal on the electrolyte cobalt concentration. Despite the dispersion on the Gcoba, data,both curves show a parallel behavior, indicating that the hydrogen partial current remainsconstant with increasing cobalt sulfate concentration. These results suggest that H2evolution and cobalt reduction are two independent processes. Moreover, hydrogenevolution seems to be inhibited for highly Co concentrated baths or for low appliedpotentials.

7-I.. 0

0

20 40 C 00.: .00 100 120

CoSO, Concentration (rM)

Figure 6: Mean total and cobalt current densities as a function of the cobalt sulphateconcentration for a deposition potential of-1. l V.

226 Electrochemical Society Proceedings Volume 99-9

Page 237: _sWni7aGN

0.004

0.002

(0C 0.000

0 2S.0 0 0 2

-0.004

-400 -200 0 200 400

Applied Field (0e)Figure 7 Kerr hysteresis loop of a cobalt film, electrodeposited from a bath containing

0.104M CoSO4 and 0.5M Na 2SO 4 , at -1.15V during 60s.

Figure 7 illustrates a MOKE-measurement performed on a 1000 A Co film with themagnetic field applied parallel to the film surface. The observed hysteresis indicate an in-

plane magnetization with a coercive field of about 90 Oe. No significant change in thehysteresis loop was observed by rotating the applied field relative to some fixed directionin the substrate plane, suggesting therefore the absence of in-plane anisotropies.

Ni Thin Films on Silicon

Ni thin films with metallic appearance as well as granular and compact morphologywere obtained from an aqueous electrolyte containing 1.0M NiSO4, 1.0M Na2SO4 and

0.5M H3BO 3. RBS measurements showed the layers to be uniform and yielded adeposition rate of 45A/s (7).

Figure 8 shows XRD spectra of Ni films electrodeposited on silicon for different

deposition times at -1.OV. The diffraction patterns correspond to a fcc structure, with alattice parameter of 3.516 A. One observes a systematic increase in the relative height ofthe (220)-peak with increasing thickness, which is indicative of texture formation.

The evolution of the XRD spectrum as a function of the deposition time (and also film

thickness) can be better visualized in Figure 9, which depicts the relative increase of theintensity corresponding to planes (200), (220) and (311). The orientation factor M, shown

in this figure, is defined as:

Mc(hkl)= [i (hkl)Pro ed1 Volue..9..22[I (hk1)/l (111)],CPDs 51

Electrochemical Society Proceedings VohLmre 99-9 227

Page 238: _sWni7aGN

where the intensity of the peak (hkl) is normalized with respect to the peak (11) andcompared to the a similar ratio obtained from the JCPDS data (10). From this figure it isclearly seen the tendency of the Ni-film of growing with a texture in the [2201-direction.

1000

4: A,, .. .2004

F4 0_ 1800

F0 JL I

2e

Figure 8 X-ray diffractograms for Ni thin films with different deposition times,electrodeposited on silicon at a deposition potential of -I.OV, from a 1.0 MNiSO 4, LOM Na 2SO 4 and 0.5M H3BO 3 electrolyte.

A 220

3.0 I 200

* 311

2.5

2.0

"1.5

1.0

0.5

50 100 150 200 250 300

time (a)

Figure 9 Orientation factor M(hkl) as a function of the deposition time for Ni filmsgrown on Si (100).

228 Electrochemical Society Proceedings Volume 99-9

Page 239: _sWni7aGN

Electrical measurements were performed in order to determine the electrical propertiesof the metal/semiconductor contact. Figure 10 shows a Mott-Schottky plot for anelectrochemically fabricated Ni/n-Si contact. The plot is linear between 0 and 2V for thethree different frequencies tested. From the intercept with the potential axis and the donordensity a barrier height of about 0.60eV was obtained. From current-voltage curves, as afunction of the deposition potential, values as high as 0.66eV for the Schottky barrier andideality factors of about 1.30 were determined. These barrier heights are in agreementwith reported values for junctions fabricated by vapor deposition of nickel layers onsilicon (11,12).

4X10" 0 1MHZA 0.7MHz

0 O.MHz

3010"

'b 2X1017

1X10"

0.0 0.0 -0.0 .1.0 -1.5 -2.0

Voltage (V)

Figure 10 Mott-Schottky plot, for three different frequencies, for the structure formedby the electrodeposition of a Ni thin film on top of a n-type Si substrate. TheNi film was prepared from an aqueous electrolyte containing I.OM NiSO 4,LOM Na 2SO 4 and 0.5M H 3B0 3 at a potential of-l.OV during 150 Seconds.

CONCLUSIONS

It was shown that thin films of Cu, Co and Ni could be successfully deposited onto Sisubstrates, without the need of a seed layer. For all three metals, uniform layers with acompact and granular morphology could be obtained. From RBS data the deposition ratesas well as the current efficiencies could be determined. For Co films it was shown thataddition of boric acid caused the evolution of hydrogen. On the other hand, it waspossible to improve the current efficiency of electrolytes containing boric acid byincreasing the concentration of cobalt sulfate in the bath. For Ni films electrodepositedfrom a highly concentrated sulfate electrolyte, it was observed the formation of texture inthe (220)-direction. Electric measurements performed on Ni/n-Si structures yieldedvalues for Schottky barriers which are comparable to the ones obtained for junctionsfabricated by vapor deposition.

Electrochemical Society Proceedings Volume 99-9 229

Page 240: _sWni7aGN

ACKNOWLEDGEMENTS

The authors would like to express their gratitude to Prof. Moni Behar, PhysicsInstitute, UFRGS, Porto Alegre, for providing RBS facilities and to Dr. A. M. Maliska,LabMAT/UFSC, for providing the microscopy facilities. Financial support from theBritish Council (UK), and the Brazilian agencies CNPq (PADCT III 62.0090/97-9 andRHAE 610021/99-0) and CAPES is also acknowledged.

REFERENCES

1. P. C. Andricacos, Interface, 8, 32 (1999).2. W. Schwarzacher, Interface, 8, 32 (1999).3. C. Wisniewski, I. Denicol6 and I. A. Hormmelgen, J. Electrochem. Soc., 142, 3889

(1995).4. S. G. dos Santos Filho, L. F. 0. Martins, P. C. T. D'Ajello, A. A. Pasa and C. M.

Hasenack, Microelectronic Engineering, 33, 65 (1997).5. L. J. Gao, P. Ma, K. M. Novogradecz and P. R. Norton, J. Apple. Phys, 81, 7595

(1998).6. G. Oskam, J. G. Long, A. Natarajan and P. C. Searson, J. Phys. D: Appl. Phys. 31

1927 (1998).7. A. A. Pasa and W. Schwarzacher, Phys. Stat. Sol. 173, 73 (1999).8. A. P. O'Keeffe, 0. I. Kasyutich, W. Schwarzacher, L. S. de Oliveira and A. A. Pasa,

Apple. Phys. Lett. 73, 1002 (1998).9. M. L. Munford, M. L. Sartorelli, P. C. T. D'Ajello, A. A. Pasa, L. Seligman, W.

Schwarzacher and S. G. dos Santos Filho, Manuscript under preparation.10. Joint Committee on Powder Diffraction Standards, card 04-0860, International

Center for Diffraction Data, Philadelphia (1995).11. E. H. Rhoderick and R. H. Willians, Metal-Semiconductor Contacts, p. 52, Oxford

University Press, Oxford (1978).12. S. M. Sze, Physics of Semiconductor Devices, p. 291, Wiley, New York (1981).

230 Electrochemical Society Proceedings Volume 99-9

Page 241: _sWni7aGN

X-Ray Photoelectron Spectroscopic Characterisation

of a Cu / p-GaAs interface

E.M.M Sutter, J.Vigneron and A.Etcheberry

IREM Institut Lavoisier UMR CNRS C 0173

University de Versailles ,45 av des Etats-Unis

78035 Versailles Cedex, France

Abstract

Electrodeposition of copper was performed on p-GaAs. XPS Studiesof the buried interfaces show that an interracial chemical reactionhappens. A copper- arsenic compound is detected.

Introduction

Copper metallization for submicron integrated circuits receives much attention.

Electrochemical deposition has a good chance of becoming the preferred method. It is

necessary to understand each stage of the growth and particularly to have information about

chemical evolution of interfaces to implement reproducible technology. The electrochemical

behaviour of Cu 2' depends on the semiconductor, which governs the nature of the electron

transfer. In this paper, we study the Cu / p-GaAs interface formation provided by

electrochemistry. Recent papers [1,2] report that electrical or optical transformation happen at

the interface. We try in this paper to determine if chemical transformations are also present.For

doing that we have performed XPS analysis of interfaces buried under thin (around 20nm)

copper layers deposited by electrochemistry.

Electrochemical Society Proceedings Volume 99-9 231

Page 242: _sWni7aGN

Experiments

The electrochemical measurements were performed on (100) oriented p-GaAs single

crystals. The surface was etched previously by mecanochemical polishing with Br 2MeOH,

rinsed thoroughly in MeOH and dried with Argon The electrochemical set up was a classical

three electrode configuration with a saturated mercurous sulphate electrode (MSE) as

reference. The X-Ray photoelectron spectroscopy measurements were performed on a VG

ESCALAB 220i-XL spectrometer. The X-Ray was a monochromatic Al Kot line. The spectra

were recorded with pass energy of 20 eV or 8 eV in a constant analyser energy mode. The

XPS peak areas were measured after substraction of the background using the Shirley's

method.

Results and Discussion

As shown in fig 1 two cathodic and anodic domains appear in the cyclic voltammograms

obtained in the dark. As soon as Cu2" is added to the solution a reduction current appears

below-0.5 V/MSE, and in the following reverse scan, a well defined anodic peak is detected

3-

2-Fj• Fig I

cyclic voltammogram on (100) p-GaAsinthe dark;V=20mV.sl; (a) IM H2SO 4;" .. (b) IM HI2SO4,+ 10-3M Cu S04

0 (a

(b)

4 --1 I I III

-10 -0.5 0.0ElV vsfMSE

centred around -0.3V/MSE.With various Cu 2÷ concentrations, the shape of the voltammogram

is always the same. Only the intensities of the electrochemical features change proportionally to

the Cu2 concentration. The cathodic current is associated with the Cu2* reduction according

to :

Cu 2÷+ 2 e---* Cu

232 Electrochemical Society Proceedings Volume 99-9

Page 243: _sWni7aGN

giving rise to a copper deposit on the surface. The anodic peak can be interpreted as the

electrochemical dissolution of the previously deposed Cu film according to:

Cu -_ Cu2'+2 e-

Electrochemical copper deposition is performed at constant potential, V=-0.85 V/MSE.

Cathodic charges between 3mC/cm2 and lOOmC/cm2 have been used in this work to vary the

thickness of the deposits. Electrodes were removed from the solution at the rest potential just

after the end of the growth. Coated electrodes were dried under N2 stream and transferred

toward the analysis chamber sheltered from air interaction in a glove box.

Cu coatings modify the AS3d responses of p-GaAs surfaces. A typical three bump signal was

recorded as shown in fig2.

I I I I

AS 3 d

Z Fig 2d Ab"- XPS signal of As3d core level; a)signal of an etched

surface or copper coated surface cleaned by severalminute treatment in 1 M HCI; b) signal coming froma buried interface obtained by copperelectrodeposition.

I I I

44 43 42 41 40Binding Energy / eV

The strength of the signal decreases with increasing thickness of the copper deposit. The latter

is determined by the potential, the time and the Cu2" concentration in solution. Due to the

copper deposit, the strength of the Ga3d signal falls too, but without any modification of its

spectral distribution. Another important observation is an increase of the As/Ga ratio compared

to that of clean GaAs. These two results show that the (copper / p-GaAs) interface is not

abrupt and that a thin interfacial layer is present. An accurate simulation of the As3d region can

be done (fig3) for the modified interfaces, using strong fit constraints demonstrating that a well

established chemical transformation involving arsenic is caused by the copper deposition. Each

spectrum is decomposed into two main contributions, each of them split in two components by

the spin orbit coupling of the 3d core level. The low binding energy contribution ( As3d Ga) Can

Electrochemical Society Proceedings Volume 99-9 233

Page 244: _sWni7aGN

be associated with the GaAs lattice response. The high energy contribution (AS3d) is

associated to a new chemical environment of the As interfacial atoms.

As3d

Fig.3Simulation of a As3d signal using twoS3a

contributions; (1) AsGa (dashed peaks);3d

(2)As M new contributions; the area ratio

is As3d* / As3d a = 1.63

44 43 42 41 40

Binding Energy/eV

Table I :fit parameters of fig3

Contribution 1 Contribution 2

3d 3/2 3d 5/2 3d 3/2 3d 5/2

Centre (eV) 41.82 41.15 42.65 41.95

Fwhm (eV) 0.67 0.67 0.8 0.8

A (eV) 0.67 0.7

Ratio 5/2 -3/2 1.5 1.5

The energy separation between the two contributions is always close to 0.9 eV (T0.05). The

ratio between the two contributions is in the range As*/As~a =0.25-4.5, with a majority of

values comprised between I and 1.5. A characteristic peak fit table is given in the table I

associated with the fig 3. The chemical bonding of the excess amount of As can be discussed

on the basis of two considerations. We can assume that the additional XPS contribution is

associated either only with elemental As or with a Cu-As compound. We note that no

contribution associated to oxide is detected, neither for arsenic nor for gallium. The energy

shift of 0.9 eV between the two As contributions is relatively strong. Elemental As on GaAs

generally gives rise to a shift in the +0.6-0.8 eV range. However, for anodic oxide, higher

shifts (0.8-1 eV) can be observed associated with additional small charging effect. So our

results suggest that the excess As is not present only as elemental As and they raise the

234 Electrochemical Society Proceedings Volume 99-9

Page 245: _sWni7aGN

question of bonding As and interracial copper. Analysis of copper signals, the Cu2p3/2 core level

and the CULMM Auger lines, supports this assumption for thick copper layers ( no As or Ga

signals detected). The copper signal for the Cu 2.3/ 2 is accurately centred at 933.05 eV with a

FWHM in the 0.85-0.9 eV range. The associated CULMM Auger lines have the specific features

of metallic copper with a principal maximum at 568.4 eV. For the XPS and Auger signals we

used these spectra as references. The reproducibility of the response on thick layers allows us

to check the handling procedure since no oxidation of copper occurs after its deposition on the

GaAs surface. When we looked at samples with thinner coating we observed a modification of

XPS and Auger copper signals. The Cu2p3/2 level slightly shifts positively in energy with a

FWHM enlargement that can reach 1.25 eV. More interesting are the modifications observed

on Auger lines for which positive shifts as large as I eV for the principle maximum and shape

line modification are observed as shown in fig.4.

I I I I I I

ra

Fig 4

Differentiate CULMM Auger line.a) thick copperr3layer; b) thin copper layer.

576 572 568 564Binding Energy/eV

An interesting point is that the amplitude of the modification is all the larger as the coating is

thinner. This suggests that for intermediate thickness, the copper signal should be the sum of a

copper metallic contribution and an inner one associated with modified buried copper at the

Cu-GaAs interface. Only a chemical binding between Cu and As can explain these correlated

Electrochemical Society Proceedings Volume 99-9 235

Page 246: _sWni7aGN

XPS and Auger modifications. Simulations of enlarged Cu2p3/21evels can be done using two

contributions. The low energy one is fixed as a pure metal and using this fitting procedure we

see that the ratio of areas divided by the elemental sensitivity factors fluctuates in the a.(ASI

aA,(Cu) =0.7 to 5 range. This suggests that no phase with a well established composition is

present. Experiments show that Cu -As phases rich or poor in As can be obtained. However,

the more common composition is around acu(AsV aAgC, equal to 1. In literature several

compounds are described among which the more common is Cu3As. So in our case the

situation is more complex because of the result of an interfacial reactivity that must involve

several steps. Nevertheless, in all cases limited arsenic enrichments and gallium losses are

present indicating that GaAs surface undergoes a chemical or more probably an

electrochemical instability through a predominant valence band process during the

electrodeposition of copper. We can consider that this surface decomposition comes as the

initial step at the beginning of the coating. This agrees with the lack of correlation between the

increase of the aA, aGa ratio and the copper thickness. Then the As enriched surface interacts at

ambient temperature with the inner part of the metallic copper layer. As the time between the

end of electrochemical deposit and the beginning of the XPS analysis is longer than five hours

we cannot give information about the kinetics of the interfacial transformation. We performed

an anodic oxidation of the coated samples previously analysed by XPS to verify that the

electrochemical behaviour of the surface analysed in UHV is not perturbed. Whatever the

initial coating conditions we observed in H2SO4 solution the same anodic peak whether or not

the sample had been analysed by XPS. The intensity of the peak depends on the previous

cathodic treatment as described elsewhere. When we analyse the surface after the anodic

oxidation, we observed that the surface has been cleared from most of the copper coating.

Nevertheless, a residual layer was present (<10% of the initial Cu signal) that can be

considered as a part of the buried modified interface.

Conclusion

In this work we have shown that p-GaAs coated by a copper layer undergoes a

complex chemical transformation. The phenomenon is only located at the interface. The study

of the As3d, Cu 2p and Auger signals coming from the buried interface shows that Cu-As bonds

are present in the interfacial layer. Over this interracial layer a pure copper layer can grow, The

236 Electrochemical Society Proceedings Volume 99-9

Page 247: _sWni7aGN

global system is stable over two weeks. The strong modification of As/Ga ratio demonstrated

that a first step happens with a limited surface decomposition during the copper coating of p-

GaAs. These observations agree with results of the literature that suggest preliminary

interfacial reorganisation. The interaction seems specific of p-type because at this time we have

never observed on n-GaAs the modifications of the interface composition described for p-type.

Finally we shown that the oxidation of the coated electrodes eliminated most of the Cu layer.

Nevertheless we have detected residual deposit of Cu and As on the p-GaAs surface. These

observations are in accordance with our previous optical results that suggested that recovery

of the GaAs surface is not complete in a lot of case.

Literature

P.M. Vereecken, K. Struble, W.P. GomesJ. Electrochem. Soc., 145 3075 (1998)

E.M.M. Sutter, I Gerard, A. EtcheberryJ. Electrochem. Soc.;(accepted for puplic.), (1999)

Electrochemical Society Proceedings Volume 99-9 237

Page 248: _sWni7aGN

Copper CMP Characterization by Atomic Force Profilometry

Larry M. Ge, Dean J. Dawson, and Tim Cunningham,Digital Instruments, Veeco Metrology Group, 112 Robin Hill Road, Santa Barbara, CA 93117, USA

ABSTRACTCharacterization of Chemical Mechanical Planarization (CMP) processes has become increasingly important in bothprocess development and production monitoring for deep sub-micron device manufacturing. The small feature sizesinvolved in these processes place stringent requirements upon CMP characterization and metrology equipment. Anew type of metrology tool, the Atomic Force Profiler (AFP), has been developed, combining AFM resolution andlong scan profiling capability. The AFP can be used to characterize CMP processes of dual damascene, shallowtrench isolation (STI), tungsten, and interlayer dielectric (ILD), providing measurements of dishing, erosion, plugrecess and line width and depth. To highlight the capability of this new technique, the AFP is used to characterize apost-CMP Cu Damascene processed sample. The sample studied is a 200mm wafer containing fine Cu-filled trenchtest structures with varying trench widths and pitch. The CMP process was applied after the trench filling. Bothlong-range profiling and high resolution AFM imaging were used to characterize dishing and erosion effects on thiswafer. In addition a second Cu sample with 0.22/am Cu filled trenches were measured at the two post-CMP stages.

Introduction isolated structure supports acoustic isolation panels,Characterization of Chemical Mechanical which reduce measurement noise induced by airborne

Planarization (CMP) processes has become vibration.increasingly important in both process developmentand production monitoring for deep sub-micron The AFP was developed to bring to bear twodevice manufacturing. CMP effects such as dishing, fundamental advantages that the AFM has over allerosion and plug recess have been measured by a stylus profilers. These are superior resolution and thecombination of stylus profilers, AFM's and other elimination of sample damage. To eliminate samplemetrology techniques. With the reduction in critical damage, the AFM sensor head is operated indimensions into the deep submicron range, traditional TappingMode, which provides extremely low tipstylus profilers cannot measure these smaller surface force, and eliminates lateral (dragging) forces on thefeatures due to insufficient resolution and the sample. In this mode, the silicon cantilever holdingdistortion of the feature being measured. A new the tip is driven to resonate at its fundamentalmetrology tool, the Atomic Force Profiler (AFP) was frequency (10s to 100s of kHz), only "tapping" thedeveloped which combines both long profile sample briefly and with minimal and almost purelycapability of the stylus profiler with the high normal-rather than lateral-force. It is the lateralresolution of an AFM. shear force generated by stylus profilers that typically

leads to sample damage. The low tip force of theInstrumentation AFP also contributes to high lateral and vertical

The AFP was used to make the resolution as sample distortion is reduced.measurements shown in this paper. The tool has Xand Y drives capable of positioning the sensor head at Sampling density is high enough for dieany point on a 300mm wafer with lgm repeatability, length profiles to be made with sufficient resolutionand a profile drive capable of executing linear to allow the user to zoom in and view fine features inprofiles up to 100mm long anywhere the same profile without re-measurement. Each AFPon the wafer (Figure 1). profile consists of up to 262k data points with a DSP

sampling rate of -20kHz. Thus, for example, aThe sensor head itself is an AFM 10mm profile will have a sample density of 26.2

specifically designed for highly repeatable metrology samples per micron (or 38nm spacing between datameasurements. In addition to its linear profiling points). Profiling speed can be up to 200ltm/sec forcapability, the AFP incorporates all of the the non-destructive measurement; the usable speedfunctionality of the APM, specifically its ability to range for a particular sample is determined byexecute high resolution raster scans up to 70pm x specifics of feature size and spacing. High resolution70pm square. Additionally, the AFP also has the is also achieved due to small tip geometry (5-10nm

238 Electrochemical Society Proceedings Volune 99-9

Page 249: _sWni7aGN

The Metrology AFM head incorporated in the Figure 3a clearly shows that the sample is over-AFP has been designed to produce the tight limits on axis polished (shown as a deeper recess) in the transition

orthogonality, flatness of the x,y plane, linearity, accuracy, regions between the upper dielectric and the Cu-filledand repeatability required for CMP and other demanding trench patterns. The additional recess over the averagemetrology applications. The proprietary design of the erosion of the Cu trench pattern is -30nm. High resolutionpiezo stages results in a very flat x,y scan and AFM imaging was used to identify and analyze structuresperpendicularity of < 0.1 degree between the axes. The in the Cu lines. Figure 4 shows that there is no clear trendhead can also be used in open loop mode for of erosion increasing with trench width for this sample.measurements for which a lower noise floor is required, Figure 4 also shows stronger erosion effect on the Cusuch as measurement of roughness on smooth surfaces. section with a line/space = I than that of line/space = V2.

This indicates that erosion depends strongly on the Cu line

The AFP step height repeatability is < 5A on a density rather than Cu line width.

14sm step height standard. Total indicated runout (TIR) is<10nm for a 10mm profile. The noise floor in closed loop Figure 5 is a 4,t.mx4ltm AFM image in themode is - 4.5A RMS in a clearroom with 76dBc acoustic transition area shown in Figure 3. In the image, the widernoise. In open loop mode, under the same conditions, the and higher lines with textures are Cu lines and thenoise floor is - 1.5 A RMS. smoother, lower areas are dielectric. The average height

of Cu lines relative to the dielectric is -5nm.Measurements and Results

The AFP was used to characterize post-CMP Cu Further examples of the high resolutionDamascene processed samples. The fist sample studied measurement capability of the AFP are given in Figure 6a,(Figures 2 to 5) is a 200mm wafer containing fine Cu- 6b, and 6c, where a sample with 0.22j.tm Cu lines arefilled trench test structures with varying trench widths and compared to two post-CMP stages. The images clearlypitch. The trenches were filled via an electroplating show that the Cu lines protrude above the field oxide. Theprocess. The CMP process was applied after the trench cross-section graphs show a reduction of average stepfilling, the excess Cu on top of the interlayer dielectric height from 10nm to 4nm. This suggests that thewas removed by CMP. Additionally a second Cu sample additional post-CMP 2 process step improves the localwith a 0.22,tsm design rule was measured (Figure 6). The flatness.measurements show the effect on 0.

22Mum Cu lines at two

different post-CMP stages. In this paper, measurement data performed onCu samples show both long scan high resolution profiling

Figure 2 shows a 21.5mm-long profile extending and AFM imaging detailing dishing and step heightover four test structure patterns within a single die. Each changes have been presented. These measurements are

test pattern has a different combination of trench, width made possible by the AFP's flexible dual mode

and space. All have the same line/space ratio of land the operation-long scan mode and 3D AFM imaging mode.line/space ratio increases in successive test structures fromleft to right in both profiler scans. The die-level dishingwas 0.5psm.

Figure 3 shows the zoomed (5.5mm in length)and leveled profile of the leftmost test pattern (with trenchwidth 0.51am and space at 0.5ltm) in Figure 2, showing the"ecessed Cu filled trench pattern in respect to the upperlielectric. The recess is due to the erosion effect of CMP.Srosion was measured to be in the range of 30-60nm forrench width 0.5-2!.m over the entire wafer. For Cu-filledrenches with line/space ratio = 1/2, the erosion increasesvith trench width.

Electrochemical Society Proceedings Volume 99-9 239

Page 250: _sWni7aGN

densor

ui0~CMelrologyOpts AFM head

chuck eand lede? sample

profile reference profile dive

positioning

Figure 3a. Zoomed 5.5mm-long scan oflocation indicated by the box in Figure 2.

Z-range is 200nm.Figure 1. Diagram showing the profiling stage (profile _______ is_ ______

drive) and Metrology AFM head on the AFP -

-Ipb

I ° Figure 3b. Zoomed profile (25pim) of Figure 3aI showing the transition between the upper dielectric

and lower Cu-filled trench patterns. Z range is 50nm.

22mm

Figure 2. 21.5mm-long profiler scan across a die 50 --- -....-showing four test patterns. Z-range is 1.0im. 50 - - * ---- -

S40 - a - B

j30 -' - -- --

20 ....

10 -

0

0 05 1 15 2 25

O Erosion (A) U E~roson (B)F

Figure 4. Graph of dishing versus trench width formeasured Cu sample with a line/space ratio of 1:1 (A)and 1:2 (B)

240 Electrochemical Society Proceedings Volume 99-9

Page 251: _sWni7aGN

-4.00 0

3.00

-2.00'0 1.00 2.00 3.00

Length Ijim3

-1.00 Figure 6b. Cross-section of post-CMP I Cu waferwith line height of approx. 10Onm

a0 1.00 2.00 3.00 4.00

Figure 5. A 4pm x 4pm AFM image of the recessed Cupatterns in Figure lb showing details of individual Culines. Polishing defects also appear in the imagehighlighting the ability of the AFP to image suchdefects.

TO 1.60 2.60 3.00Length [E.3

Figure 6c. Measurement of same site for post-CMP 2Cu line with reduced step height to approx. 4nm.

0 4.00 0lN 0 4.00 ONData type Height Data type Height2 range 40,00 nm Z range 20.00 nm

Figure 6a. AFM top view image 0.25pm lines withcorresponding cross-section shown in Figures 6b and6c. Left image is post-CMP, right image is post CMP2. Note the scratches on the image.

Electrochemical Society Proceedings Volume 99-9 241

Page 252: _sWni7aGN

ANODIC PROPERTIES AND SULFIDATION OF GaAs (100) AND InP (100)SEMICONDUCTORS

R. F. Elbahnasawy and J. G. McInerneyDepartment of Physics, National University of Ireland, University College Cork, Ireland

ABSTRACT

The anodic properties of n- and p-type GaAs (100) and InP (100) surfaces have beenstudied in H20 2, NH4OH, Na2S. and (NH4)2S. solutions. The technique investigated theanodic sulfidation conditions suitable for n- and p- type GaAs (100) and InP (100)surfaces in (NH 4)2Sx solution. The passivation produced chemically stable surfaces withgood surface quality and thickness possibly controlled. X-ray photoelectron spectroscopy(XPS), Auger electron spectroscopy (AES), atomic force microscopy (AFM) andsecondary ion mass spectroscopy (SIMS) have been used for surface characterization.The sulfide overlayer has proven to be durable against ambient oxidation for at least fourmonths, which seems promising for semiconductor device applications.

INTRODUCTION

The increasing importance of GaAs and InP semiconductors in the fabrication ofelectronic and optoelectronic devices and the need for understanding their surfaceproperties provided the major inspiration for this type of research.' Chemical treatmentsin sulfide, acidic and basic solutions have been utilized to improve these properties in therecent years.2'3 For example, chemical treatment of the AIGaAs/GaAs heterojunctionbipolar transistor in Na2 S and (NH4)2S. solutions has improved the ideality factor andproduced higher current gain.4'5 The Metal-Insulator-Semiconductor (MIS) structuresfabricated on (NH4)2Sx-treated GaAs have shown very low interface state density.6

Buried heterostructure (BH) laser treatments in (NH4 )2 Sx solution have shown a threetimes lower threshold compared to BH lasers without treatment.7 Finally, Kamiyama etal. have achieved an increase of 70% in the catastrophic optical damage level of A1GaInPvisible laser diodes by sulfur treatment. 8

In this study, the anodic processes were investigated in order to produce chemicallystable passivation and develop a method to control surface quality. The anodic propertiesand sulfidation of GaAs and InP (100) in (NH4)2S, Na2Sx, P2S5 and (NH 4)2S. solutionswere investigated using X-ray photoelectron spectroscopy (XPS), Auger electronspectroscopy (AES), secondary ion mass spectroscopy (SIMS) and atomic forcemicroscopy (AFM). The study provided data that would help understanding the roles ofthe hydroxyl group and sulfur species during the sulfidation processes of both GaAs andInP surfaces.

242 Electrochemical Society Proceedings Volume 99-9

Page 253: _sWni7aGN

EXPERIMENTAL ARRANGEMENT

The samples used were n- and p-type 0.6 - 6.5x10s 8 cm"3 GaAs (100) and InP (100)single crystal wafers with thickness 350 - 550 jim. The wafers were cut into 9x9 mm2 andheld using a vacuum pump for anodic treatment. Electrical contact was made byconnecting the isolated rear of the wafer to the anode of a potentiostat using silver paintand wiring through a glass tube (Figure 1). The exposed surface area of the samples was1.2 cm 2. The potentiostat was a German-made (Bank Electronik) Potentio-Galvano-Scan25V/2A Wenking PGS95 with PC-control and SPK-RP software. The electrochemicalcell consisted of the sample, which functioned as the anode (working electrode), AgCI-reference electrode and platinum standard gauze basket as a counter electrode. Beforeanodization, samples were degreased ultrasonically in both acetone and methanol (1minute each) followed by a DI water rinse. Electrodes were then aligned in a rectangular-shaped glass vessel for electrolysis in basically 3M (NH4)2Sý (x=5g S/100ml) solution.

X-ray photoelectron spectroscopy scans were performed using a VG-Microtech x-raysource (Al K,). The depth profiling was performed using Ar' bombardment at a millingrate of 2 nm/min. Secondary ion mass spectroscopy was also performed on a SIMSanalyzer (Cameca IMS-3f) with primary ion beam 50nA (14.5 keV) and a heavybombardment of cesium ions. Atomic force microscopy was recorded in contact mode,yielding the average deviation of the average height, Ra.

RESULTS AND DISCUSSION

Anodic Propertles and Passivation of n-tvye GaAs (100) lxl0' cm"3

This study demonstrated the effect of pH concentration on the behaviour of n-typeGaAs passivation, dissolution and surface quality. The anodic treatment in H20 2 andNH 4OH solutions (Figure 2 and Figure 3) did not show any surface dissolution or damageto surface quality at both low and high molarities. In anodic treatment in (NH4)2Ssolution, the achievement of durable passivation with good surface quality depended onmolarity, sulfur ion concentration and the position at the I-V sulfur characteristic peak.

Reducing molarity increases OH' concentration in the sulfide solution that coulderode the GaAs surface during anodic polarization. Equations 1, 2 and 3 show thereaction mechanism between aqueous (NH4)2S, solution with the GaAs substrate duringanodic sulfidation. The number of moles of electrons flowing through the external circuitper mole of semiconductor dissolved was 6 for GaAs.' 0

GaAs---Ga 3+ + AS 3+ + 6e (1)GaAs+ 10OH +6 h+---GaO3

3 +AsO2 +5H 20 (2)GaAs + 5 S2- + 6 h- GaS3 3- + ASS3 (3)

Experimentally, aqueous (NH 4 )2S solution should have high molarity and should besulfur saturated during the reverse anodic scan (starts at high anodic potential) to achieve

Electrochemical Society Proceedings Volume 99-9 243

Page 254: _sWni7aGN

chemically stable anodic sulfidation. Increasing molarity accommodates more sulfur ionsin the solution and saturating the solution with sulfur shifts the anodic reaction fromsurface oxidation and dissolution (equation 2) to depositing sulfide (equation 3). Theseprocesses were conditioned by turning the n-type GaAs effectively to p-type like (reverseanodic scan) in order to create a strong anodic interaction between GaAs substrate andsulfur ions in solution until the sulfide deposition took place at the interface. In the anodicsulfidation processes, XPS analysis has shown that:

(i) Although the anodic potential was high in the reverse scan for sulfur saturated(NH 4)2S solution (Figure 4, Region II) and for P2S5 saturated (NH 4)2S solution (Figure 5,Region II), the anodic treatment of n-type GaAs did not exceed the conventional dippingtreatment. No evidence of sulfidation has been recorded after DI water rinsing and blowdry in nitrogen.

(ii) At the sulfur characteristic peaks (Figure 4, Region I and Figure 5, Region I), theanodic passivation takes place in characteristic steps at which the thickness of the sulfideoverlayer can be controlled by either time or current density. The characteristic peakswere mainly dependent on the sulfur-ion concentration of the solution and werepositioned according to the anodic cell parameters and substrates doping concentration.However, it should be noted that anodic sulfidation in sulfur-saturated sodium sulfidesolution (Figure 6, Region I) left the surface severely eroded and damaged. Observationof GaAs surface quality after passivation was therefore the main task of this work. Thechemical stability and electronic properties of the GaAs surface were also examined afterpassivation. There were two factors found to be directly responsible for GaAs surfaceroughening. The first, anodic sulfidation place-exchange processes" in which the drivingforce, imposed by the high anodic potential displaced atoms from their lattice positions inorder to increase their coordination with the surrounding sulfur species. The second,being the thickness of the deposited overlayer, which is usually a function of currentdensity and/or depositing time.

Atomic force microscopy displayed the surface morphology for low, intermediateand high anodic current densities. The assessed surface roughness was 21, 44, 63 and 100nm corresponding to current densities of 5, 8, 12 and 14 mA/cm2 as shown in Figure 9.At higher current densities (12 and 14 mA/cm2, 2.8 V) surface morphology get rough(Figures 9(c) and 9(d)), probably because of the formation of mounds which grow andcoarsen with increasing thickness.

Surface characterizations including XPS, AES and SIMS have investigated thedeposited layer. XPS depth profiling revealed the atomic concentration of gallium,arsenic and sulfur. Both carbon and oxygen were also detected. SIMS depth profiling(Figure 7) detected continued presence of Ga, As, S, C and 0 for an approximateoverlayer depth 200 - 300 nm. The strong carbon and oxygen signals are probably due tothe high sensitivity of SIMS to light elements. The GaAs anodic sulfidation displayedhigh chemical stability against oxidation for at least four months and an hour exposure tothe Ar÷ laser (512 nm) at power density 5 mW/tm 2; this looks promising foroptoelectronic device applications. AES surface analysis (Figure 8) for n-type GaAstreatment in P2S5 saturated (NH4)2S solution (Figure 5) revealed Ga, S, C and 0. Neitherarsenic nor phosphorous were detected; in the case of arsenic, probably due to the high

244 Electrochemical Society Proceedings Volume 99-9

Page 255: _sWni7aGN

solubility of its compounds in the (NH4)2S solution and also in DI water during rinsing.Also no phosphorous has been detected by XPS and SIMS for the same procedure.

The XPS results suggest that the anodically grown layer consists of a mixedchemical phase region including Ga-As, Ga-S, As-S and possibly As/Ga-O bonds. 12.14

Anodic Propertles and Passivation of p-tvoe GaAs (100) 6x1018 cm 3

Because p-type GaAs (100) is a hole-rich material, biasing the surface with highanodic potential is not necessary and the reverse anodic scan could be excluded. Thesepresumptions were proved experimentally by passivating p-type GaAs (100). The anodicconditions for p-type GaAs (100) passivation in aqueous (NH4)2S, solution (x=5g S/100ml) gave the Dolphin-shaped graph (Figure 10). The anodic scan was 5 mV/s forward(0.0 to 0.7 V) and 2 mV/s reverse (0.7 to 0.0 V). This procedure left the surface wellpassivated and topographically homogeneous. AFM has assessed the surface roughness tobe 31 nm.

The potential span (Figure 10) was found to vary as the doping concentrationchanged. Long potential span is needed for low doping p-type GaAs. The SIMS depthprofiling (Figure 11) revealed the presence of Ga, As, S, C and 0 for an estimated depthof 250 nm. The passivated layer was shown to be chemically stable in ambient air forfour months. Ga, 0, C and S atomic concentrations have also been detected by AES. Thepassivated layer assumed to be gallium and arsenic sulfide, while defective carbon andGa/As oxides cannot be ruled out.

Similar anodic characterizations in alkaline, acidic and sulfide solutions have alsobeen performed. The characterizations were found to be consistent with n-type GaAsresults under the same experimental arrangements.

Anodic Propertles and Passivation of n-tvne InP (100) 3x10 8 em"3

With particular attention to excess interface electrons, an anodic procedure has beenperformed for n-type InP (100). The anodic voltammogram was similar to Figure 15. Nospecific characteristics have been observed and a stable overlayer of indium sulfide hasbeen formed. Equations 4, 5 and 6 show the reaction mechanism of aqueous (NH4)2Ssolution with the InP substrate during anodic sulfidation. Equation 5 is responsible for theanodic dissolution of InP in (NH4)2S solution when the hydroxyl group is dominating thesolution. Equation 6 is the one responsible for sulfidation and depositing sulfides.

InP- )-In3 ý + p 3+ + 6e (4)InP+90H- +6h+ ) InO2 + HP0 3

2- + 4 H20 (5)InP + 7 S2- + 6 h÷ InS3 3- + PS5

5 - (6)

Auger electron analysis (Figure 12) showed strong peaks for atomic concentrationsof S and In. Weak C and 0 signals have also been detected. In addition, SIMS depth

Electrochemical Society Proceedings Volume 99-9 245

Page 256: _sWni7aGN

profiling (Figure 13) showed continued evidence of S, In, C and 0. The oxygen andcarbon spectra remained strong throughout the grown layer with a marked quantity at theInP substrate. Insignificant phosphorous has also been identified with continued growthnear to the interface. The approximate depth was 150 nm. The surface may be rough asshown in Figure 14 (1372 nm) but the deposited sulfide thickness can be controlled byreducing either the passivation time or the anodic current density. As mentioned insection (a), the thickness of the deposited layer is very important for the formation ofmounds that grow and coarsen with the result of high surface roughness. It was alsofound that unlike GaAs (100), reducing the molarity of (NH 4)2S solution yielded betteranodic passivation for n-type InP (100). This probably reflects the better stoichiometry ofInP as well as the chemical stability of the surface after passivation. Similar anodicstudies in IM H20 2 and NH4OH solutions were found consistent with the characteristicresults of GaAs (100). No roughness or surface erosion being observed. Following theexperimental data mentioned above, the thick passivated overlayer assumed to be indiumsulfide.

Anodle Properties and Passivatlon of P-tyPe InP (100) 4x1018 Cm"3

With regard to n- and p-type InP (100) and GaAs (100) substrates, the p-type InP(100) was found to be the best at anodic treatments. The reaction was simple as inequation 6 and easy to control. The anodic behaviour (I-V plot) was consistent withpreviously reported work with respect to the doping concentration.9 At the plateau(Figure 15, 1280-1440 mV) the indium sulfide deposition was mild and stable withfavorable surface quality. At higher anodic potentials, the deposition increasedexponentially and was difficult to control.9 Strong S and In peaks as well as weak C and0 have been detected by AES. The SIMS depth profiling (Figure 16) showed continuedevidence of In, S, P, C and 0, with deposited overlayer in the region of 50 nm. Thephosphorous profile was insignificant, while the sulfur spectrum remained strong andsteady as the layer was removed by Cs' bombardment. It does not seem to diminishrapidly before the interface has been reached and diffused beyond the interface. As thelayer approaches the interface, an increased part of phosphorous sulfide and indiumphosphorous could probably be formed. The thick sulfide layer was found durable againstmoist ambient air for four months, probably belonging to the stable indium sulfide. Thesulfide phase would be attributed to the anodic decomposition reaction of the InPsubstrate in equation 6, followed by dissolution of phosphate ions in (NH 4)2S solutionand precipitation of an insoluble In 2S3 film at the surface. 9 The quality of the passivatedsurface was quite good with assessed surface roughness 18 nm; this is the lowest valueamong n- and p-type GaAs and n-type InP surface treatments in (NH4)2S solution.

CONCLUSIONS

The anodic sulfidation has been shown to produce chemically stable passivation withgood surface quality for n- and p-type GaAs (100) and InP (100) surfaces. The sulfur-ionconcentration in the (NH4)2S solution played a crucial role in achieving the correctpassivation formula i.e. to satisfy durability and surface quality, particularly with respect

246 Electrochemical Society Proceedings Volume 99-9

Page 257: _sWni7aGN

to n-type GaAs (100). Turning the n-type material to a p-type like surface is anotherfactor that seems vital to achieve anodic passivation for n-type GaAs (100). In this study,the anodic treatment of GaAs and InP in H20 2 and NH4OH solutions has proven to benon-erosive in comparison with the aqueous sulfide solutions. Dipping GaAs (100) in(NH4)2S solution could initiate two simultaneous reaction mechanisms; the reaction of thesubstrate with the sulfur ions to form sulfides and the reaction of the sulfide with H20.The second reaction is strong enough to dissolve the sulfide if the anodic potential is lowand the sulfide solution is not saturated with sulfur. The study has also confirmed thatthere is no necessity to saturate the sulfide solutions with sulfur for InP (100) anodicpassivation; eventhough increasing sulfur concentration still improves the reactionperformance. The study should provide reference data for the best anodic passivationconditions for the most important III-V semiconductor compounds. Much work has stillto be done in this field in order to investigate the reaction mechanisms occurring duringthe anodization process in-situ.

ACKNOWLEDGMENTS

The authors would like to thank Greg Hughes and Tony Deeney for helpfuldiscussion and Enterprise Ireland for financial support.

REFERENCES

C. J. Sandroff, M. S. Hegde and C. C. Chang, J. Vac. Sci. Technol. B7(4), 841 (1989).

2 H. H. Lee, R. J. Racicot and S. H. Lee, Appl. Phys. Lett. 54(8), 724 (1989).3 B. A. Cowans, Z. Dardas, W. N. Delgass, M. S. Carpenter and M. R. Melloch, Appl.Phys. Lett. 54(4), 365 (1989).4 R. N. Nottenburg, C. J. Sandroff, D. A. Humphrey, T. H. Hollenbeck, and R. Bhat,Appl. Phys. Lett. 52(3), 218 (1988).s S. Shikata, H. Okada and H. Hayashi, J. Appl. Phys. 69(4), 2717 (1991).6 Z. Liliental-Weber, C. W. Wilmsen, K.M. Geib, P.D. Kirchner, J.M. Baker and J. M.Woodall, J. Apple. Phys. 67(4), 1863 (1990).ST. Tamanuki, F. Koyama, K. Iga, Japanese Journal of Applied Physics, 30(3), 499(1991).8 S. Kamiyama, Y. Mori, Y. Takahashi and K. Ohnaka, Appl. Phys. Lett. 60(22), 2595(1992).9 L. J. Gao, J. A. Bardwell, Z-H. Lu, M.L. Graham and P. R. Norton, J. Electrochem. Soc.142(1), L14 (1995).10 H. Gerischer, W.C. Tobias, Advances in electrochemical science and engineering,VCH Publishers, Inc., 9-10 (1990)."1 E. Yablonovitch, H. M. Cox, and T. J. Gmitter, Appl. Phys. Lett. 52(12), 1002 (1988).12 W. Z. Cai, Z. S. Li, R.Z. Su, G. S. Dong, D. M. Huang, X. M. Ding, X. Y. Hou and X.Wang, Appl. Phys. Lett. 64(25), 3425 (1994).13 S. G. Ershov, A. F. Ivankov, V. V. Korablev and V. Yu. Tyukin, Tech. Phys. Lett.22(7), 561 (1996).14 X. Hou, X. Chen, Z. Li, X. Ding and X. Wang, Appl. Phys. Lett. 69(10), 1429 (1996).

Electrochemical Society Proceedings Volume 99-9 247

Page 258: _sWni7aGN

AgCl-Working Reference CounterElectrode Electrode Electrode

CE REU WE .10

POTENTIOSTAT SVACi ring Electrolyte

Figure 1: Experimental arrangement for anodic processes of GaAs and InP surfaces.

14

i10,

1 4'

S2

-10000 non0 -o00 -40M0 -2000 0 2000 4000 60 8000 10000E ImVl

Figure 2: Potential sweep of n-type GaAs (100) lxlIO' cm 3 in IM H20 2 solution, sweeprate 20 mV/s and 3.30 pH.

248 Electrochemical Society Proceedings Votlume 99-9

Page 259: _sWni7aGN

35-

~3025

20

*110

-10000 -0000 -6000 -4000 -2000 0 20o0 4000 6000 80o0 10000E [mVI

Figure 3: Potential sweep of n-type GaAs (100) lxlIO 8 cm 3 in IM NH4OH solution,sweep rate 20 mV/s and 12.70 pH.

R0g[ o I -- -o--------------------Region U--------------

10

0 1000 2000 3000 4000 6000 6000 7000 8000 9000

E (mV)

Figure 4: Potential sweep of n-type GaAs (100) lxlOl8 cm3 in 3M (NH4) 2S, (x=5g S/100ml) solution, sweep rate 20 mV/s and 10.15 pH.

Electrochemical Society Proceedings Volume 99-9 249

Page 260: _sWni7aGN

26

120 -----------Region 1 --------------- >

''16

10 1

j6

0-2000 0 2000 4000 6000 . 000 10000

E [mV]

Figure 5: Potential sweep of n-type GaAs (100) lxlO' 8 cnY3 in 3M 20g P2S5/100 ml(NH4) 2S solution, sweep rate 2 mV/s and 10.05 pH.

120ý h--Region I -- ----- Region fl ----

100.80.

160.

40

20

0-2000 0 2000 4000 6000 9000 10000

E [mY]

Figure 6: Potential sweep of n-type GaAs (100) 1x0 18 cm"3 in 0.5M Na2S. (x=5g S/100ml) solution, sweep rate 2 mV/s and 14.60 pH.

250 Electrochemical Society Proceedings Volume 99-9

Page 261: _sWni7aGN

I C/sl

10

"1 "

0.1 0-2 0.3 0.4-

[Depth urnl

Figure 7: SIMS depth profiling of n-type GaAs (100) IxI018 cm 3 treated anodically in

(NH4) 2Sý (x=5g S/100 ml) solution.

S C20000

0

10000 Ga

III 0

z1u -10000

-20000

-30000

200 400 600 800 1000 1200 14 0

K. E. (eV)

Figure 8: AES spectrum of n-type GaAs (100) IxI01 8 cm 3 treated anodically in 20g

P2S5/100 ml (NH 4)2S solution.

Electrochemical Society Proceedings Volume 99-9 251

Page 262: _sWni7aGN

S~5111mEo 1 (.) ý1o pn 0 In €)

10 PM 0p

0 jim (lb) 10p O 0pmo (dl) 25j~

Figure 9: AEM of anodically passivated n-type (Si) GaAs (100) lxl0'8 cm-3 as shown inFigure 4 (Region I). (a) Surface roughness (Ra) 21 nm (5 mA/cm2, 3 V), (b) 44 nm (8mA/cm2, 3 V), (c) 63 nm (12 mA/cm2, 2.8 V) and (d) 100 nm (14 mA/cm2, 2.8 V).

4r

o 00 20o 0 3un 400 Poo W05o 70

E JmV]

Figure 10: Cyclic voltammogram of p-type GaAs (100) 6x10 1 8 cm-3 in 3M (NHa 2Sx(x=5g S/100 ml) solution, sweep rate 5 mV/s forward and 2 mV/s reverse and 10.35 pH.

252 Electrochemical Society Proceedings Volume 99-9

Page 263: _sWni7aGN

[ C/sJ

5s7

8-33

10

10C

0.1 0.2 0.3 0.4-[ Depth ur]

Figure 11: SIMS depth profiling of p-type GaAs (100) 6x10 18 cm"3 treated anodically in(NH4) 2Sx (x=5g S/100 ml) solution.

S200000

I n100000 - C

V 0 '

-100000

-200000

-300000 -0 200 400 600 800 1000 1200 14 0

K. E.(eV)

Figure 12: AES spectrum of n-type InP (100) 3x10 18 cm"3 treated anodically in (NH4) 2S.

(x=5g S/100 ml) solution.

Electrochemical Society Proceedings Volume 99-9 253

Page 264: _sWni7aGN

[ C'/si

1 C>

4-1

4Z- 3L-4S

I0 C>

1~ X~

[ I),pth urn]

Figure 13: SIMS depth profiling of n-type InP (100) 3x10'8 cm:3 treated anodically in(NH4 )2Sx (x=5g S/100 ml) solution.

Ol0 (a) 501a Oln0 P (b) 1l Pm

Figure 14: AFM of anodic passivated InP (100) in (NH.-)2S. (x=5g S/100 ml) solution.(a) N-type 3x10' 8 cm 3 with approximate surface roughness 1372 nm, (b) p-type 4x10 18

cm 3 with approximate surface roughness 18 nm.

254 Electrochemical Society Proceedings Volmne 99-9

Page 265: _sWni7aGN

~1210

0

0 200 400 60 8090 INO 1200 1400 1600E [mV]

Figure 15: Potential sweep of p-type InP (100) 4x10' cm3 in 3M (NH4) 2S, (x=5g S/100ml) solution, sweep rate 5 mV/s and 10.35 pH.

[ C/s]

S 0 i i • I *"10 S~S-32

10"

3

10

"In- 11s

10

( I)epth urn]

Figure 16: SIMS depth profiling of p-type InP (100) 4x1018 cm 3 treated anodically in(NH4) 2S. (x=5g S/100 ml) solution.

Electrochemical Society Proceedings Volume 99-9 255

Page 266: _sWni7aGN

A STUDY ON ELECTROCHEMICAL METROLOGIES FOR EVALUATINGTHE REMOVAL SELECTIVITY OF Al CMP

Shao-Yu Chiu', Jyh-Wei HSUb, I-Chung Tung', Han-C ShihbMing-Shiann Feng', Ming-Shih Tsai' and Bau-Tong Dai'

aDepartment of Materials Science and Engineering, National Chiao Tung University

Hsinchu 300, Taiwan, R. 0. C.bDepartment of Materials Science and Engineering, National Tsing Hua University

Hsinchu 300, Taiwan, R. 0. C.'National Nano Device Laboratories, Hinchu 300, Taiwan, R. 0. C.

ABSTRACT

The in-situ electrochemical measurements were performed for the Al and Ti disks in the variousslurries under the polishing or static condition. The slurries used contained A120 3 abrasive,phosphoric acid, citric acid and hydrogen peroxide. The results showed that the addition of H20 2could help to form an effective passivating layer on the Al surface. Besides, the addition of H20 2enhanced Al dissolution. The maximum corrosion potential drop between the abraded and non-abraded Al electrodes corresponded to the possible maximum polishing rate of Al. The novelequipment for in-situ galvanic measurements was designed for evaluating the Al/Ti galvanic couple.It was found that Al dissolution could be suppressed in the slurry with the addition of 6 vol% H20 2 atpH 4. As regards the AI/Ti removal selectivity, the polishing with the addition of 6 vol% H202 at pH4 would mitigate the Al dishing, since the polishing and dissolution of Al could be suppressed whilethose of Ti could be enhanced.

INTRODUCTION

Chemical mechanical polishing (CMP) has been accepted as an emerging key technology toachieve global planarization for interlevel dielectrics (ILDs) and damascene process in the deep sub-micro multilevel interconnect fabrication. Compared with conventional reactive ion etching (RIE)etch back, CMP of patterned aluminum (Al) lines for a damascene process gives the betterelectromigration lifetime, higher degree of planarity, and less number of processing steps forinterconnection. Moreover, there are still other sufficient motivations to develop a reliable Al CMPprocess, based on the considerations of the more simplified process and lower cost for ICmanufacturing compared to Cu CMP. Therefore, Al alloys, which have been used as interconnects formore than 30 years, are still being extensively investigated because of their admirable applicability indamascene process.

Due to the soft nature of Al alloys compared to tungsten or copper, Al CMP suffers the choice ofa suitable pad to achieve good structural planarity and minimum surface scratch density"'

2.

Furthermore, the complicated metal removal mechanism in CMP process is not yet clear presently.Regardless of those hindrances, to obtain a better understanding and controlling on Al CMP,electrochemical behaviors of metal in the given slurry environment during polishing should beexplored

3. To this end, conventional analytical techniques in corrosion study can be modified as the

basis for evaluation of appropriate slurry formulation for a successful Al CMP.

The total process time for Al CMP is also controlled by the removal rate of the diffusion barrierused. Titanium (Ti) is known to be an effective adhesin/diffusion barrier for Al metallization.'Accordingly, the polishing selectivity between Al and Ti is critical in determing the yield andthroughput of Al CMP. In addition, without a proper Al/Ti polishing selectivity, passive corrosionduring the overpolish time may lead to undesirable plug corrosion and recess.'

256 Electrochemical Society Proceedings Volume 99-9

Page 267: _sWni7aGN

In this study, the investigations were concentrated on the polishing of the Al alloys and theadhesion/barrier metal (Ti) in the slurries containing A120 3 abrasive, phosphoric acid, citric acid andhydrogen peroxide. The electrochemical analyses, including the potentiodynamic polarization andgalvanic corrosion measurements, have been used to elucidate the electrochemical mechanism of theremoval selectivity in Al CMP.

EXPERIMENTAL

The slurry under investigation was formulated with a -A120I abrasive (0.05 pm in size),phosphoric acid, citric acid and hydrogen peroxide in an acidic aqueous solution. Phosphoric acid andcitric acid were used as pH buffer solutions. The slurry pH was adjusted with potassium hydroxide(KOH).

The electrochemical measurements were performed using the bulk Al and/or Ti rotating diskworking electrodes on an EG&G potentiostat/galvanostat Model 273. The mixed corrosion potentialwas measured with respect to a standard Hg/HgSO, electrode [+640mV w.r.t. standard hydrogenelectrode (SHE)] as a reference electrode.

3 The conductor electrode was platinum (Pt). The

potentiodynamic scans were performed at a rate of I mv/sec from 0.25 V below the open circuitpotential to 1.0 V. The corrosion current density was determined by Tafel extrapolation or calculatedby the Butler-Volmer equation. In order to trace the electrochemical behavior with abrasion orwithout abrasion, the working electrode was kept rotating at 300 rpm, whether without or with abrasionon a Rodel Politex regular E polishing pad. During polishing, a down force of 4 psi was applied to themetal surface. The setup used for the potentiodynamic measurements is shown in Fig.l(a).' Fromthe potentiodynamic scans, the changes in the mixed potential between the non-abraded and abradedelectrodes and the current density in both electrodes were extracted.

The novel equipment designed for the in-situ electrochemical measurements during polishing isschematically shown in Fig. I(b). This setup consists of two working electrodes, i.e. the Al alloys andadhesion/barrier metals, with the slurry as the electrolyte. When AUX and REF terminals wereshorted, the potentiostat would control potential between two working electrodes at any specified value.If that value were set at zero, the circuit would continuously and automatically read the galvanic couplecurrent from the potentiostat zero resistance ammeter.' It could be capable of performing the in-situpolishing or static process for the galvanic corrosion measurements. Following the electrochemicaltheory, the galvanic current flow is a result of the different electrochemical behaviors between the twoworking electrodes in the slurries.

RESULTS AND DISCUSSION

The polishing mechanism for a phosphoric acid and hydrogen peroxide-based AI-CMP slurrywas proposed in a U.S. patent.' The hydrogen peroxide is a weak acid added as an oxidant to thepolished metal, and the phosphoric acid then etches the oxide while the slurry abrasive mechanicallyabrades the metal surface.

H202 concentration effects on Al removal behavior

Figure 2 shows the potentiodynamic scans for Al immersed in the slurry in the absence orpresence of H20 2 at pH 2. In the absence of H202, there is a very little difference in the corrosionpotential and current density between the abraded and non-abraded electrodes.' This indicates that themechanical abrasion nearly makes no contribution to the corrosion rate. Therefore, in the absence ofH20 2, the polishing rate would be dominated by Al dissolution. This Al dissolution at pH 2 issuggested due primarily to the formation of Al

3, according to the Pourbaix diagram of aluminum water

system. As also shown in Fig. 2, the corrosion potential is significantly increased after the addition of3 vol% H20 2, indicating that a passivating layer may form due to the addition of H 20 2. In addition, inthe presence of H202 , there appears a significant drop in the corrosion potential and an increase in thecurrent density with abrasion, indicating that the passivating layer is continuously removed by themechanical abrasion during the polishing process. By contrast, in the absence of H 202, since the very

Electrochemical Society Proceedings Volume 99-9 257

Page 268: _sWni7aGN

little change in the corrosion potential and current density with abrasion, although oxygen in air maydissolve in the slurry and act as an oxidant, however this is clearly insufficient to produce a ratherefficient passivating layer on the polished Al surface.

As can be observed in Fig. 2, the current density of the non-abraded electrode in the slurrycontaining H20, is higher than that of the non-abraded or abraded electrode in the slurry without theaddition of H202 . This manifests that the presence of H20 2 can enhance Al dissolution. For thisenhancement to occur, the structure of the passivating layer should appear loose, so that the slurrysolution is still capable of penetrating through the passivating layer and attacking the Al metal to bringabout the corrosion reaction. In addition, in the presence of polishing action, the current density of theelectrode is further increased, as also shown in Fig. 2. This is suggested to be a combined result oftwo actions, that is, the presence of H20 2 enhances Al dissolution and the mechanical abrasion helps toremove the passivation layer.

In particular, as noted in Fig. 2, in the presence of 3 vol% H202, there is a corrosion potentialdrop (<E) for the abraded electrode compared to the non-abraded electrode.

9'0

This corrosionpotential drop is anticipated to correspond to the weakening of the passivation effect, which may becaused by the decrease in thickness of the passivating layer due to mechanical abrasion. In Fig. 3 isshown <E as a function of the concentration of H202 added. As shown in the figure, <E can be seen toincrease with the H,0 2 concentration, until the H202 concentration reaches about -3 vol%, and thendecrease with the H202 concentration further. At the lower concentration of H202 (below -3 vol%),the removal rate of the passivating layer is higher than its very slow growth rate, so that the removalamountof the passivating layer would be very small and thus gives a lower <E. In such a case, themechanical abrasion becomes less important and the polishing rate is thus dominated by Al dissolution.By contrast, at the higher concentration of H20 2 (above -3 vol%), the removal rate of the passivatinglayer is slower than its high growth rate. In this case, mechanical abrasion becomes important and Aldissolution is suppressed, since the thicker passivating layer acts as a better barrier against the acidicsolution attacking on Al metal surface. As a result, due to the thick passivating layer, the alterationrate of the passivation effect is thus negligible and leads to a lower <E. On the same line of reasoning,in the presence of 3 vol% H20 2, since the removal rate of the passivating layer is equal to its growthrate, both the mechanical abrasion and Al dissolution would make the large contributions to thepolishing rate, which would cause <E to approach the maximum value. Consequently, this would bethe best condition to provide Al CMP with the maximum removal rate.

pH effects on Al/Ti removal selectivity

In the slurry used in this study, with the addition of 3 vol% H202 , the polishing rate of Ti metal isslower than that of Al, when the polishing rate of Al is the maximum. However, this is not a goodcondition for polishing the Al/Ti patterned wafer, since the Al dishing would become a severe problem.Therefore, it is preferred that the polishing rate of Al be decreased while the polishing rate of Ti beincreased. To this end, the addition of 6 vol% H2O0 is chosen, in which <E is a lower value (see Fig.3). As a result, the passivation effect for At would be significant and the polishing rate of Al is alsodecreased. In such a case, the Al dishing can be mitigated. However, it is not unreasonable topropose that the adjustment of pH is also possible to further improve the Al dishing. In Figs. 4 areshown the potentiodynamic scans for the abraded electrodes in the slurry with the addition of 6 vol%H20 2 at pH 2 or 4. It is clear that the current density of At would be altered but that of Ti remainsunchanged by the change of pH. At pH 2, the current density of Al is higher than that of Ti, whereasthe current density of Al becomes lower than that of Ti at pH 4. In other words, this fact verifies thatat pH

4 the polishing rate of Al can be decreased and slower than that of Ti. This is very favorable to

mitigate the Al dishing when a AI/Ti patterned wafer is polished. It is thus clear that the change of pHis capable of further mitigating the Al dishing.

Galvanic current measurement

According to the galvanic corrosion theory, while Al and Ti are electrically connected, a potentialdifference usually exists and produces electron flow between them," which may contribute a drivingforce for greater corrosion of either Al or Ti. Fig. 5 shows the galvanic current between the abradedAl and Ti electrodes with time. As seen in the figure, at pH 2 and in the absence of H20 2, the abraded

258 Electrochemnical Society Proceedings Vohlume 99-9

Page 269: _sWni7aGN

Al electrode obtains a positive current, which means that Al dissolution could be enhanced by the Al/Tigalvanic couple. Conversely, in the slurry containing 6 vol% H 20 2 at pH 4, the abraded Al electrodeobtains a negative current, which indicates that dissolution of Ti would be enhanced. In this particularcase, the Al etching rate could be suppressed, which is fairly helpful to mitigate the Al dishing forpolishing a AL/Ti patterned wafer.

CONCLUSION

The electrochemical studies on the Al and Ti disks in the slurries containing A120 3 abrasive,phophoric acid, citric acid and hydrogen peroxide were performed. The results showed that theaddition of H,0 2 is very helpful to form a passivating layer on the Al surface. At the lowerconcentration of H20 2 (below -3 vol%) at pH 2, the polishing rate of Al was dominated by Aldissolution. At the higher concentration of H202 (above -3 vol%), the polishing rate of Al wasprimarily controlled by mechanical abrasion. The maximum corrosion potential drop between theabraded and non-abraded electrodes in the slurry with the addition of 3 vol% H20 2 at pH 2corresponded to the possible maximum polishing rate of Al, at which both mechanical abrasion anddissolution made the large contributions to the polishing rate. The novel equipment for in-situgalvanic measurements was designed for evaluating the A1/Ti galvanic couple. It was found that Aldissolution could be suppressed in the slurry with the addition of 6 vol% H202 at pH 4. By increasingthe addition of H 202 to 6 vol% and the pH value to 4, the Al dishing would be mitigated, since thepolishing and etching of Al could be suppressed while those of Ti could be enhanced.

ACKNOWLEDGEMENTS

This work was sponsored by the National Science Council of the Republic of China under grantNSC 88-CPC-E-009-015. Technical support from the Nationl Nano Device Laboratories is alsoacknowledged.

REFERENCE

1. M. A. Fury, D. L. Scherber and M. A. Stell, MRS Bulletin Nov. (1995) pp. 61-64.2. J. F. Wang, A. R. Sethuraman, L. M. CooK, R. C. Kistler and G. P. Schwartz, Semicond Intl. Oct.

(1995) pp. 117-121.3. C. G. Kallingal, D. J. Duquette and S. P. Murarka, J. Electrochem. Soc. 145 (1998) pp. 2074-2081.4. J. M. Steigerwald, S. P. Murarka, and R. J. Gutmann, D. J. Duqutte, Mater Chem. Phys. 41 (1995)

pp. 217-228.5. E. A. Kneer, C. Raghunath, V. Mathew and S. Raghavan, J. Electrochem. Soc. 144 (1997) pp.

3041-3049.6. Denny A. Jones, Principles and Prevention of Corrosion, 2nd ed., Prentice Hall Press, Upper

Saddle River, NJ, pp. 177-190, 1996.7. C. C. Yu, T. T. Doan and A. E. Laulusa, U. S. Patent 5,209, 816 (1993).8. Ronald Carpio, Janos Farkas, and Rahul Jairath, Thin Solid Film, V.266, 1995, pp.

23 8-2 44

.9. D. Zeidler, Z. Stavreva, M. Plotner and K. Drescher, Microelectron. Eng. 33 (1997) pp.

2 59-2 6 5

.10. J. M. Steigerwald, D. J. Duqutte, S. P. Murarka and R. J. Gutmann, J. Electrochem. Soc. 142 (1995)

pp, 2379-2385.11. David R. Evans, Electrochem. Soc. Proc. 96-22 (1997) pp.

70-

78.

Electrochemical Society Proceedings Volume 99-9 259

Page 270: _sWni7aGN

E .. .... Carner drive motorEG&G 273

POTENTIOSTAT

Load cell

Figure 1(a). Schematic drawing of the in-situ potetiodynamic measurement system

-... Carreer drive motor

EG&G 273POTENTIOSTAT

At T 0 Slm

Pad__ Platen

Polishing platen drive motor

Figure 1(b). The novel equipment designed for in-situ galvanic corrosion

measurement

260 Electrochemical Society Proceedings Volume 99-9

Page 271: _sWni7aGN

1.0A.-1°/,-0.5°WuMurry. x vol% H 0' 44uffer acdd(pH=2)4elIurnna abrasive

05 I : No abrasion (at 300orpm)I1: with abrasion (at 4psi, 300rpm)

o.o

-10

-1.5

1E-7 1E-6 1E-5 1E-4 1E-3

Current density (A/crm2

)

Figure 2. Potentiodynamic scans for abraded and non-abraded Al surface in the

slurry in the absence or presence of H202 at pH 2.

140 AI-1%8I-0.5YCu

E•120 Slurry. x vol% I.O6+buffer acid(pH=2)-aIurnlna abrasive

gio

200

0 2 4 6 8 10

H20 2 concentration (%)

Figure 3. Effect of H202 concentration on the electrochemical corrosion potential

drop of Al in the slurry at pH 2.

Electrochemical Society Proceedings Volume 99-9 261

Page 272: _sWni7aGN

1.0

Slurry. 6 vol% H02+buffer acid(pH=x)+alumina awith abrasion (at 4psi, 300rpm)

S0.5

0.0 Al TI

pHp2 pHH4-0.5

ATi pHp2

-1,01E-9 1E-8 1E-7 1E-6 1E-5 1E-4 1E-3

Current density (A/cm2 )

Figure 4. Potentiodynamic scans for abraded Al and Ti surface in the slurry with the

addition of 6 vol% H20 2 at pH 2 and 4, respectively.

0.6,N.1%/SI.O.5%'Cu'Rn

0.5 With abrasion at 4psi, 300rpom0. Slurry (alurnina abrasive)0.4 I : 0 voll/J'lO 2, pH 2

S0.3 I1: 6vola/'ltOq,pH 4

~0.2 -. 5~cr

0.1

d 0.0

C -0,I

-0.2 ýý 2 ý~r

-0.30.0 0.1 0.2 0.3 0.4

Time (Ks)

Figure 5. The galvanic current of abraded AI/Ti couple surface recorded from a

potentiostatic ZRA in the slurry containing 0 vol% H20 2 at pH 2 and 6

vol% HO2, at pH 4, respectively.

262 Electrochemical Society Proceedings Volume 99-9

Page 273: _sWni7aGN

NUCLEATION AND GROWTH OF EPITAXIAL CdSe ELECTRODEPOSITED ON InP

AND GaAs SINGLE CRYSTALS

L. Beaunier, H. Cachet, M. Froment, G. Maurin

Physique des Liquides et ElectrochimieUPR 15 CNRS, Universit6 Pierre et Marie Curie

4 Place Jussieu, 75252 Paris Cedex 05

ABSTRACT

Epitaxial CdSe layers were electrodeposited from aqueous solutionsonto InP and GaAs single crystals. The analysis of currenttransients shows that the growth kinetics corresponds to aScharifker model assuming an instantaneous nucleation followed bya 3D diffusion-limited growth. The diffusion control is effectiveafter less than 0. ls after the beginning of the potential pulse. Thephenomena associated with the formation of a coherent film cannotbe detected by this technique. TEM observations of CdSe films withincreasing thicknesses show when the diffusion control is effective,a large density of growth steps followed by the formation ofepitaxial nuclei which finally coalesce.

INTRODUCTION

Semiconducting chalcogenide compounds epitaxially deposited on singlecrystal semiconductors present many applications in optoelectronics and solar energyconversion. Beside the vacuum based techniques like MBE, the liquid phase is veryattractive because of its low cost. Epitaxial CdSe thin films have been recentlyelectrodeposited from aqueous electrolytes on InP and GaAs semiconductors. A goodepitaxy is achieved by monitoring the experimental parameters, in particular the seleniumconcentration in the electrolyte and the deposition potential (1)(2).The aim of this paper isto establish relations between the optimum conditions of epitaxy and the nucleation andgrowth processes implicated during the formation of CdSe films onto InP and GaAs singlecrystals.The growth kinetics during the first steps of electrodeposition is generally studiedfrom the analysis of current transients. Only few papers report results concerning thekinetics of semiconductor electrodeposition compared to those devoted to metals. K.E.Heusler et al (3) have investigated the CdSe electrodeposition on metallic substrates. H.Gomez et al (4) proposed models for the electrodeposition of CuInSe2 on glassy carbonelectrodes. Y. Sugimoto and L.M. Peter (5) investigated the CdTe electrodeposition onsilicon single crystals. Evidence for a 2D nucleation and growth process was obtained.Nevertheless the formation of a quite amorphous deposit was not in favour of an epitaxialgrowth.We have recently shown that during the CdSe electrodeposition on InP and GaAs,the diffusion control is effective less than 0.1 s after the beginning of the potential pulse(2). The different phenomena associated with the growth of a coalesced film which need atleast some seconds, cannot be detected by this technique. We will show that it is necessary

Electrochemical Society Proceedings Volume 99-9 263

Page 274: _sWni7aGN

to associate TEM observations to the kinetical data, in order to follow the evolution of thefilm structure during its formation.

EXPERIMENTAL

CdSe was electrodeposited using a 0.2 M CdSO4 acidic solution (t=80°C)

with various amounts of selenous acid (0.5xiO- 3 M<CSe<3x10- 3 M). The best epitaxial

conditions were generally obtained for a 0.5x10- 3 M Se concentration and a depositionpotential Vd corresponding to the beginning of the diffusion current plateau (- 0.9 to-0.95V/SSE). CdSe was electrodeposited on (ii.1), (100) InP faces and (I11) GaAs

face. InP single crystals (n type, p=2.5x10-3Qcm) were treated in a 0.5% Br2-methanolmixture and dipped in sulfuric acid (3M), in order to remove the surface oxide layer. GaAssingle crystals (n type, p=lxl0-2 j2cm) were treated in a 1.2% Br2-methanol mixture anddipped in hydrochloric acid (3M). This treatment removes the oxide layer but produces anexcess of As on the surface. This latter was removed by an immersion in an alcalinesolution of Na2S204. The film thickness was determined thanks to RBS measurements.The nucleation and growth kinetics was studied from the analysis of current transientsresulting from a potential step starting at a potential where the current is practically zero.The potential step was applied by a computer-controlled potentiostat (Ecochemie-Autolab).Measuring points were recorded every 0.2 ms.The epitaxial growth of CdSe was estimatedduring RHEED observations. The structure of the CdSe electrodeposits prepared duringthe first steps of growth, before coalescence, was determined during HREM plan-viewobservations. Thin films were realized by ion milling of the back side of the CdSeelectrodeposit /single crystal samples.

RESULTS AND DISCUSSION

Kinetics of nucleation and growth.Experimental current transients have been first obtained for the optimal

conditions of CdSe epitaxy onto InP and GaAs. The best fitting is achieved assuming,according to the Scharifker model (6), a three dimensionnal nucleation on a finite numberNO of active sites, followed by the diffusion controlled growth (6), according to theequation (1):

it(t)=zFD 1 / 2C/11/2t1 l/ 2 [1-exp{NoInkD/A(At-(1-exp-At))}] [1]where D is the diffusion coefficient, C the concentration of the diffusive species (selenousacid).and A the nucleation rate paer active site Figure 1 is an example showing theexperimental transient (Figure I a) obtained during the CdSe electrodeposition onto a (100)InP surface and the best fitting using the Scharifker model. Figure lb is a RHEED patternof the CdSe epitaxial layer, obtained after the current transient extended over 25 seconds.In fact the fitting has been achieved with the total current i(t) = il(t) + i2(t), where i2(t) isthe double layer charging current according to equation (2):

i2(t) = AVd/Rs*exp(-t/RsCd)[2]where AVd is the amplitude of the potential pulse, Rs the series resistance of theelectrochemical cell and Cd the double layer capacity. The experimental current, afterremoval of the double layer current i2, is presented in the inset (Figure la). Different

264 Electrochemical Society Proceedings Volume 99-9

Page 275: _sWni7aGN

parameters are deduced from the fitting: D = 2.9x10- 5 cm 2 s- 1 , NO = 3.6x10 6 cm- 2 , Rs =

111 92,Cd= 17.1 gFcm- 2 .Figure 2 gives the experimental current transient with the best fitting (Fig. 2a) and the

RHEED pattern (Fig. 2b) corresponding to the electrodeposition of CdSe on (i11) GaAs.The parameters which have been deduced from the fitting are the following:

D = 7.6x10-5 cm"2, NO = 0.36x10 6 cm"2 , Rs = 90 Q, Cd = 74 gFcm- 2 .As soon as the experimental conditions depart from the optimum, by a variation of theselenium concentration or that of the cathodic potential, the CdSe epitaxy is disturbed andreplaced by a polycristalline growth. Simultaneously the experimental current transients areno longer fitted using the Scharifker model. Such a situation is found in Figure 3 whereVd is stepped at the end of the current plateau (Vd = -1V/SSE). The experimental currenttransient (Exp) and the best simulation with the Scharifker model (Sch) are given Figure3a. The polycrystaline growth is demonstrated thanks to the RHEED pattern (Figure 3b).It can be supposed that a polycrystalline growth is accompanied by a strong increase of NO(1010 cm- 2 ). Such a hypothesis is supported by an increase of NO even if the epitaxialgrowth is weakly disturbed. The transient current could be now fitted by the Cottrellequation (3).

i (t) = zFD 1/2nt-1/2t-l1/2 +i lim [3]

Figure 3 shows a relatively satisfactory fitting using this equation (Cot).Whatever the mode of growth (epitaxial or polycrystalline) the current diffusion control iseffective a fraction of second after the potential has been stepped. At this moment it hasbeen shown (2), thanks to AFM observations, that the film coalescence is not achieved.Stuctural observations are requisite to describe the phenomena associated with theformation of a continuous film.

TEM observations of the film growthEpitaxial films. Figure 4 shows TEM observations of epitaxial thin layers

electrodeposited on (iii) InP (Figure 4a) and (ill) GaAs (Figure 4b). Theelectrodeposition process has been stopped 0.5s after the current has been stepped and themean thickness is around Inm. The kinetical results allow to conclude that the overlap ofthe diffusion zones is achieved. TEM images reveal the existence of growth steps parallelto [011] directions.and confirm the coalescence of the first nuclei. Nevertheless oneobserves on these images the formation of new nuclei on the terraces. HREM observationshave been also performed. As the CdSe layer is very thin, the image contrast is particularlyweak. Figure 5 shows the (220) CdSe lattice planes, edges of steps and triangular nuclei.When the CdSe layer thickness is increased (2nm) the growth steps disappear because ofthe coalescence phenomena but the nuclei formed on terraces are now easely observedthanks to moir6 pattern phenomena between CdSe and the substrate (Figure 6a).When themean thickness of the layer reaches 4 nm nuclei are ready to coalesce. Figure 6b is a low

magnification TEM image of an epitaxial layer electrodeposited on (111) InP; the density

of nuclei is around 8xlO1 lcm-2 ; some of them present geometrical shapes. Figure 7 is anHREM image where lattices planes and moird patterns are superimposed. The lattice planes(0.215 nm) are indexed as (220) CdSe. The moir6 patterns are produced when twocrystals which have a difference in their lattice parameters and/or their orientation, overlap.If we suppose that the (220) CdSe planes (dl = 0.215 nm) are superimposed to the (220)InP (d2= 0.207 nm) the moir6 fringes have a spacing Dth given by the equation [4]:

Dth = d I d2/(d I -d2) [4]

Electrochemical Society Proceedings Volume 99-9 265

Page 276: _sWni7aGN

The theoretical value (Dth )is equal to 5.86 nm. Numerous observations give measuredvalues (Dm) which are clearly smaller (0.5nm < Di< 2.5 nm). In fact equation [4] is validfor parallel moir6s. Figure 7 is an example where a 830 angle is measured between the(220) CdSe planes and the moir6 fringes. This angle ((D) comes from a rotation 0 betweenthe two families of planes. The relation between these angles are the following:

Dm = DthcosD [5]0 = cost/L with L = dl/(dl-d2) [6]

In the example given in Figure 7 Dm is equal to 0.767 nm. From the equations [5] and [6]it can be verified that calculated (D is 82.70, value which is in agreement with theexperimental one (83 0); on the other hand 0 equals 2.90. From the observation ofnumerous moir6 patterns it is found that CdSe nuclei grown on the terraces presentmisorientations comprised between 2 and 3'. This result is in agreement with the valuesfound for the enlargement of the XRD patterns obtained with CdSe films epitaxied on InPor GaAs: the values of the full width at half maximum are generally found below 40 (2).Non epitaxial films. When the experimental conditions of the CdSe electrodepositiondepart from the optimum, by a variation of the selenium concentration or the cathodicpotential, the structure of the CdSe films is completely modified, in relation with theabsence of epitaxy.During the first moments of electrolysis TEM observations of planviews show that growth steps are missing. On the other hand only few nuclei presentmoir6 patterns. A large proportion of the nuclei do not present any relation of orientationwith the substrate. Figure 8 is relative to a CdSe film prepared at a potential of -1.1V/SSE. Nuclei observed in this figure do not present, as for the epitaxial one, the (220)planes, normal to the (111) substrate. The HREM image is a projection of atomic columnsin a [0il] direction.

CONCLUSION

Thanks to a severe control of the experimental conditions, epitaxial growth of cadmiumselenide on indium phosphide and gallium arsenide has been demonstrated.In the optimal conditions of epitaxy, the analysis of the current transients shows that thebest fit is obtained using the Scarifker model, assuming a 3D instantaneous nucleationfollowed by a rapid diffusion control which is effective less than 0.1 s after the beginningof the pulse. TEM observations of very thin electrodeposits confirm that the coalescence ofthe first nuclei is achieved. Immeiatly after new epitaxial nuclei appear which coalescewhen the the thickness of the deposit reaches 4 nim. The analysis of the moird patternsreveals that these nuclei present small misorientations.

REFERENCES

1. H.Cachet, R. Cortes, M. Froment, G. Maurin, J. Solid State Electrochemistry, 1, 100,19972. H. Cachet, R. Cortes, M. Froment, G. Maurin, Symposium Proceedings PV 97-27"Fundamental aspects of electrochemical deposition and dissolution including modelling"The Electrochemical Society 1997)3.K.E. Heusler, S. Kusmuth,Electrochemical Society Meeting, Paris, 1997, ext. AbstractNo 1150

266 Electrochemical Society Proceedings Volume 99-9

Page 277: _sWni7aGN

4. H. Gomez, R. Schrebler, R. Cordova, R. Ugarte, E.A. Dalchielle, ElectrochimicaActa, 40, 267, 19955. Y. Sugimoto, L.M. Peter, J of Electroanalytical Chemistry, 381, 251, 19956. B. Scharifker, J. Mostany, J. of Electroanalytical Chemistry, 177, 13, 1984

Electrochemical Society Proceedings Volume 99-9 267

Page 278: _sWni7aGN

3.0 -

2.5-

2.0-

1.5" 0

001.0 i" 31W 3105 310 3115

0.5-

0.0a 312 3ime/a32 time / s 3.4Ma

Figure 1: Epitaxial growth of CdSe on (100) InPa) Current-time transient (-046V to -0.9 V/SSE); open circles:experimentaltransient; dashed line: best fitting using the Scharifker model.Insert : experimental current after substraction of the double layer effect.b) RHEED pattern (azimuth <011>); deposition time : 25s

3.0 1

2.5

2.0-

1.5 0o0030 010 02 223 204 215

1.0-

0.5

0.0

a 2.1 2.2 time s 2.3

Figure 2 : Epitaxial growth of CdSe on (111) GaAsa) Current-time transient (-0.7 V to -0.95 V/SSE); open circles:experimental htransient; dashed line : best fitting using the Sharifker model.Insert : experimental current after substraction of the double layer effect.b) RHEED pattern (azimuth <112>; deposition time : 35 s

0.6

S0.4- "\ "•"'" " ?" '-•Exp,

0.2 Sch ; - C-__

a 4.0 4.2 is 4.4

Figure 3 : Polycrystalline growth of CdSe on (ill) InPa) Current time transient (-0.6 V to - 1 V/SSE); curve Exp : experimental

transient; curve Sch : fitting using the Scharifker model; curve Cot: fitting

using the Cottrell equation.b) RHEED pattern; deposition time 25 s

268 Electrochemical Society Proceedings Volume 99-9

Page 279: _sWni7aGN

Figure 4 TEM image showing groth steps on epitaxial CdSe thin films (d=lnm)

a) Electrodeposition on ( 11) InP; arrows indicate nuclei on terraces.

b) Electrodeposition on (1 i1[) GaAs.

Figure 5 HREM observation of an epitaxial CdSe thin film (d=lnm) electrodeposited on

( 11 i) InP.

Electrochemical Society Proceedings Volume 99-9 269

Page 280: _sWni7aGN

Figure 6 TEM observations of epitaxial CdSe films electrodeposited on (111) InP.a) d = 2 nm; moir6 patterns.b) d = 4 nm; CdSe nuclei.

Figure 7 :Moire patterns and (220) CdSe lattice planes observed on an epitaxial f ilm

(d=4nm) grown on (_111_) InP.

270 Electrochemical Society Proceedings Volume 99-9

Page 281: _sWni7aGN

X .

7 4-

IV

Figure 8 HREM image of a non epitaxial CdSe nucleus grown on ( 111) InP (d=2nm);atomic columns are projeted on a (110) plane.

Electrochemical Society Proceedings Volume 99-9 271

Page 282: _sWni7aGN

FORMATION OF II-VI AND IlI-V COMPOUNDSEMICONDUCTORS BY ELECTROCHEMICAL ALE

Travis L. Wade, Billy H. Flowers Jr., Uwe Happek' and John L. Stickney*Department of Chemistry. University of Georgia. Athens, GA 30602-2556

+ Department of Physics, University of Georgia, Athens, GA 30602

This paper describes ongoing studies of the electrodeposition thinfilms of the compound semiconductors CdTe and InAs, using the methodof electrochemical atomic layer epitaxy (ALE). Surface limitedelectrochemical reactions are used to form the individual atomic layers ofthe component elements. An automated electrochemical flow depositionsystem is used to form the atomic layers in a cycle. Studies of theconditions needed to optimize the deposition processes are underway. Thedeposits were characterized using X-ray diffraction, scanning probemicroscopy. electron probe microanalysis and optical/infrared absorptionspectroscopy.

INTRODUCTIONElectrodeposition is becoming a more accepted methodology for the formation of

electronic and opto-electronic materials, as evidenced by the damascene methodology forCui interconnect formation [I]. This suggests that electrodeposition is not inherentlyincompatible with the manufacturing of devices.

Metals of a useful quality can clearly be electrodeposited. The extent to whichelectrodeposition can be used in the formation of semiconductors is not yet clear.Examples of silicon electrodeposition are few and generally result in amorphous deposits[2-5]. Pourbaix diagrams [6] suggest that Si is far from stable in water, the mostdesirable medium for electrodeposition, although Ge may be tractable.

Significantly more progress has been made in the electrochemical formation ofIl-VI compound semiconductors such as CdTe. High efficiency photovoltaics have beenborined commercially using electrodeposited CdTe, and several reviews have beenpublished concerning the electrodeposition of Il-VI compounds [7-12.]

There has been a recent increase in studies of the formation of CulnSe2 andrelated chalcopyrite compounds, as they appear to be good candidates for the formationof photo\ oltaics. Electrochemical formation ofa ternary compound presents additionalproblems, such as increased problems with stoichiometry.

The I1l-V compounds have proven more difficult to form then the Il-Vt. or thechalcopyrites. There are very few papers where I1l-V compounds have been formed andstill fewer that result in deposits that are better then powders.

Considering the majority of methodologies used to electrochemically formcompound semiconductors, it is not clear where significant improvements in structure,

272 Electrochemical Society Proceedings Volume 99-9

Page 283: _sWni7aGN

composition and morphology will come from. To this end, the method of atomic layerepitaxy (ALE) [13-181 is being pursued in an electrochemical format in order toincreased control over the deposition process. The principle of ALE is that each atonliclayer of a compound is deposited using a surface limited reaction. In this way. two-dimensional or layer b) layer growth, is promoted. I se of the word epitaxy in ALE isnot necessari ly a result, but a desired outcome. There are numerous factors \whichinfluence whether a deposit will be epitaxial, such as the lattice match between thedeposit and substrate.

Ihe electrochemical form of ALE makes use of underpotential deposition (UPD).the electrochemical phenomena where an atomic layer of one element frequently depositson a second element at a potential prior to (under) that needed to deposit the element onitself'. he driving force lbr [Pt) can be thought of as resulting from the free energy offormation of a surface compound. These surface limited reactions are then used in adeposition cycle, where atomic layers of each element are deposited in turn, in order toform a monolayer of the deposit. The number of cycles performed determines thenumber of compound nionolayers and the thickness of the deposit. One of the mainadvantages of this methodology is that the electrochemical formation of a compound isbroken down into a series of individually addressable steps. Each step in the cyclebecomes a point of control over the deposition process.

In execution, the process involves the use of different solutions and differentpotentials for the deposition of each element. One immediate benefit is that theprecursors for the different elements do not have to be in the same solution, as theywould in the more general co-deposition methodology [7-121 scenario. The solution pH,complexing agents. and depositions potentials can all be optimized for each reactantsolution individually, resulting in a high degree of flexibility in the deposition process.

The thrust four work is to better understand the limits of electrodeposition as amethodology for comIpound thin film formation: what controls the structure,composition, and morphology of an electrodeposited compound.

Studies of electrochemical ALE have fOcused on Il-VI compounds. such as CdTe112, 19-27], (dSe [24. 28. 29]. (dS [24, 30-3,8. ZnTe [12, 39, 40], ZnSe [12. 40]. andZnS [12. 40, 41]. 1 lowever, there have also been a few studies of the I1l-V compounds,GaAs [42. 43] and InAs [44]. The studies of GaAs were preliminary, resulting in theformation of only a monolayer of GaAs. There are significant challenges with theformation of GaAs, as the As atomic layers tend to reduce to arsine related species at thesame potentials needed to form atomic layers of Ga. Thin films of InAs have, however,been successfully formed.

This paper describes present studies of C'dTe and InAs that are ongoing in ourgroup. ( dTe and InAs are the II-VI and III-V compounds for which we know the mostconcerning their formation using electrochemical ALE.

Electrochemical Society Proceedings Volume 99-9 273

Page 284: _sWni7aGN

EXPERIMENTAL(iiven the repetitive nature of compound formation using electrochemical ALIE.

anl automated deposition system was constructed to form films of a reasonable thickness(Figure I) [24]. The cell is athin laNer flow cell, designed plexiglass Ag/AgCI referenceto form I X 3 cm2 deposits. backThe cell is presently made of substrate iPlexiglas, with a gasket teflon nutdefining the deposit area and .sealing the cell. Gaskets are gasket -.-- -

usually made of silicon inlet outletrubber, Teflon, or Viton .... .. ... .rubber. The two main \walls

ITO counteroftihe thin-lay er cavity are Idefined by the ITro counter Figure 1 Thin-layer flow cell used for the formation

electrode and I flat Au of deposits by electrochemical ALE.

substrate. Solutions are stored in glass bottles, degassed with N2 and pumped into thecell wilth peristaltic pumps. SolutlionI selection was performed using a block of Teflonsolenoid controlled valves. The pump heads and solenoid valves were kept in aPlexiglas box. purged with N, to 30 ppm of 0)2.

Ihle majority of substrates consisted of 200 nm of Au vapor deposited on Si( 100)waters. \% ith a 10 nm Ti adhesion layer between the Si and Au. They appear mirror liketo the eye. but consist of 40 11nm hemispherical bumps. Recently, the Au on Si has beenreplaced with Au on glass. as it can be flame annealed prior to use. This results in cleanersubstrates, as well as substrates with more atomically flat regions.

The Ag/AgCCI(3M NaCI) reference electrode from Bioanalytical Systems was keptin the outllow, stream to avoid contamination. The solutions were all prepared withanalytical grade reagents, and water from a INanopure water filtration system, \xith t Vsterilization, fed bx the house distilled water system.

RESULTS AND DISCUSSION

CdTeThe majority of work on electrochemical ALE, in this group, has concerned the

growth of CdTe. The chemistry used in the (d~e electrochemical ALE cycle hasgenerally involved deposition of Cd atomic layers by reductive UPD, while Te atomiclayers were formed using somne form of oxidative LIPD. In previously published studiesof CdTe deposition using an automated deposition system. [23-251, oxidative Fe t iPDwas performed in two steps, initial deposition of bulk Te from a pH 2 solution ofHTeO,', followed by reductive stripping in a blank electrolyte solution. Direct reductiveTe tIPI), from an ItTeO2+ solution was not thought possible, given the voltamtnetry inFigure 2a and b. Figure 2a is the voltamnmetry of a ALt thin layer electrode [19, 20. 451with an aliquot of I mM 'Cd>. p-I 4. lhe tJPI) peak is evident around 0. 1 V. while bulkdeposition does not begin until -0.7 V. Between --0.2 and -0.7 V, reductive current isobserved that has been ascribed to the formation of a Au-Cd surtfce alloy. Experiencehas shown that Cd atomic layers should be deposited near --0.7 V. Figure 2b is

274 Electrochemical Society Proceedings Volume 99-9

Page 285: _sWni7aGN

voltanmmetry for a 0.2 mM aliquotof a pH 2 solution of HTeO,. A aclear UPD peak is visible near 0.25V. while bulk deposition has a peaknear -0. I 5 V, with a shoulder near 20 tiA-0.05 V, which has also been Iassociated with a surface limited CM. ,* ,' t-, 0 02

reaction. A potential near --0.1 V\Should produce an atomic laN er of'Te without appreciable bulk 20 1Adeposition. That is..rcductive Te IUPD from this solution shouldprobably not be performed any Cmore negatively then -0.1 V, half avolt positive of where Cd atomiclayers should be deposited. Cddeposited at -0.7 V wouldoxidatively strip while the Te isdeposited at -0. 1 V. This situationled to depositing Te at potentialsnear --0.7. to prevent stripping of'120 ttAthe C(d. and the formation of a small

amount of bulk Te. A second step dwas then used to reductivelC ..... + 1remove the excess fe.

Recently, to avoid the needfor two steps in Te deposition, andallow the reductive tPD. the pII ofthe Te o lotion w\as changed to10.2. As can be see in thevollamnmetry in Figure 2c, bulk Tedeposition has shifted close to-0.75, by a pfil change so that /

reductive Te atomic laver formationcan be performed at potentials near-0.7 V. a potential compatible withreductive e Cd I TPD.

I I I i .

Changing the P1 I of the Tedeposition solution is a aooleni I Vdemonstration of the flexibility ofthe ALE cycle, where the reactant Figure 2: a) Voltalnmetry of Au electrode in Cd2

solution, b) TeO, solution, pH 2, c) teO, solulion pH 9,solucti ons canl be optimized di) In i solution, and e) iin a As20• solution.

separately. This suggests that by

using the p1- or additives to complex the reactants, the potentials needed to form atomiclayers of the component elements can frequently be made similar, facilitating depositionof the cotmpounld.

Electrochemical Society Proceedings Volume 99-9 275

Page 286: _sWni7aGN

()ne of the benefits of changing the CdT1 ELECTRODEPOSIT THICKNESS as a FUNCTION o TTDEPOSITION POTENTIAL

solution pH has been an increase in the .E.O.ITION .... .... .amount of CdTe deposited each cycle.Using reductive U1PD for both elements hasallowed deposition rates of just under Imonolayer (NIL) per cycle, in line withsimple models of ALE. Previous reportsby this group indicated that the best (diTedeposits formed using oxidative Te UPD . ..(with the two step Fe deposition process) .... .. .T. ,

were only formed at the rate of 0.4 Figure 3: (dle thickness as a function ofiheML/cycle 125 1, rather that the anticipated I potential Used to deposit the Te atomic layers.ML/cycle. It is still not clear why only 0.4Ml./cycle was obtained in those studies, however, the older cycle involved significantlyIclore rinsing. Rinsing x\ ith the blank electrol\ te, with no ( d- activity, may ha\ eresulted in excessive Cd removal.

Studies of the dependence of the old cycle on various cycle variables indicatedthat the optimal potential ranges were about 0.1 to 0.2 V wide, from graphs of the depositthickness as a function of the potential used to deposit Te [25]. Figure 3 is a graph of thedeposit thickness, in nor, for deposits formed with 200 cycles, as a function of the Tedeposition potential using the new cycle. From this graph, the optimal potential rangeappears to be 0.6 V Wide, between -0.7 and -0. 1 V. There is some % amiability in thethickness. but the deposits \sere of similar quality. Use of Te potentials belows -0.7 Vresulted in some bulk Te deposition. Te rich deposits, more then a ML/cycle, and adecrease in deposit quality. U se of Te potentials positive of -0. 1 V resulted in a drop inCdTe coverage, as previously deposited Cd was not stable at such positive Te depositionpotentials.

(Graphs such as Figure 3 are a good indication of a process controlled by surfacelimited reactions. The graph indicates that Te atomic lay ers cal be formed using over a0.6 V range, suggesting excellent flexibility for the deposition conditions.

X-ray diffraction patterns of these deposits (Figure 4a) indicate that the, areCdTe. and ha\ e a pie ferential ( I I I ) growth habit. The peak width is significantly, Aiderthan observed for single crystal CdTe. however. Some of the broadening can beattributed to the lact that the film is only 70 nm thick, however most of the broadeningshould be attributed to polycrystallinity in the deposit. Reasons for the formation ofcrystallites instead of one large single crystal film may be many and varied. Presently,efforts are focused on using better substrates. As mentioned in the experimental section,the Au onl Si(l100) substrates consisted of 40 nm Au bumps, roughly hemispherical incross section (Figure 5a). The substrates are thus composed of a vast number ofmonoatomic Au steps, accounting for a significant defect density. The Au planes of'thesubstrate base been shownt to be predominately (I ll). and CdTe( Ill) deposits have a 3:2lattice match on these surfaces. For every three unit cells of the ALi there are two of theCdTe (zinc blonde). Ho\seveer, even vith the 3:2 match, there is still a relatively large. 5%. latticc imismatch, suggesting interfacial strain and defect formation.

276 Electrochemical Society Proceedings Volume 99-9

Page 287: _sWni7aGN

Recent transmission electron 18000 A160000

micrographs (TEM) of 70 1nm thick 14000 XRD Spectrumn of CdT .... At,

CdTe deposits have shown the 12000presence of 70 nm thick grains, with 10000

excellent structure [126]. This suggests 8000

no inherent problem with 4000 , .

electrodeposition process. but that the 2000deposit polycrystallinity originates 0 20 3 40 50 0 7

20 30 41 20 C 00' 70froml substrate defects and the lattice 2)mismatch. Figure 5a is a scanningprobe image of a typical Au on Si(f100) Bsubstrate, x\ while 5b is of 200 cycles of AA

('dTe deposited on top. 'Te deposit is - |[11not conformal under these conditions. Asho wving higher roughness than the A01 u 200

substrate. Again, this probably results (12 12201 1220)

"from the fact that the apparently (22) 0

smooth Au bumps in Figure 5a arereally composed of short Au 15 25 35 45 55 65

tcrracesIsteps. Defect formation at step 20 degreesedges is expected in the formation of acompound deposit oti an elemental Figure 4: X-ray diffraction patterns for 200substrate [46]. cycle deposits of A) CdTe. B) InAs.

Patterns are for as deposited filns, noO ptical characterization of the anaig

deposit w\as performed using

reflectance measurements, and a plot of (ahv)2 vs. energy is shown in Figure 6a. Byextrapolating the near edge data, a hand gap of 1.55 eV was estimated for this material,consistent with the literature.

InAsAs mentioned in the introduction, very little progress has been made in the

electrodeposition of Ill-V thin films. Some studies of the formation of GaAs usingelectrochemical AI[ were performed early on [42. 43]. Ga reactivity proved too great forthe hardware used at that time, and thin films were not fbmsed. Recent work x, ithelectrochemical ALE on Ill-V compounds has focused on the growth of InAs. as In issignificantly less reactive then Ga.

Vohtamtmetry for As203 and In>' solutions, using Au substrates and tile thin-layerflow cell (Figoure I) are shown in Figures 2d and 2e respectively. The In voltamlsetryshows a small IPD feature at -0.2 V. Bulk deposition starts near -0.4 V. and slso\sevidence of a nucleation phenomena. where tlie reduction current gets very large near-0.6 V, but does not climb back to zero current until -0.4 V on the subsequent positivegoing scan. This suggests that an overpotential is required to initiate nuclei formation.and once they are formed, deposition can occur at a reasonable rate near the formal

potential (about -0.4 V). Two oxidative stripping features are observed. one for bulk Inat -0.3 V and one for J PD at -0. 1 V.

Electrochemical Society Proceedings Volume 99-9 277

Page 288: _sWni7aGN

Arsenic deposition starts about -0.1 V,with a peak that might be interpreted as a UPI) 500feature. The peak is followed by a kind ofplateau where the current remains low andrelatively steady until nearly -0.8 V, afterwhich the reduction current increases rapidly.The plateau appears to result from slow 250

kinetics for As deposition, as mass transferlimitations would not be expected for the 5mM solution. The charge for the UPD featurecorresponds roughly to the formation of an Asatomic layer. The increase in reduction currentbelow -0.7 V appears to result from hydrogen 0

evolution, and reduction of As and As 20 3 to

AsH3. Previous studies have shown that at So

potentials lower then about -0.9 V, Asdeposition greater than a ML is not observed.Excess As appears to be converted to AsH 3[42]. On the subsequent positive going scan(Figure 2d), all the As, bulk and UPD, areoxidatively stripped in one peak.just before 250

0.1 V.

The cycle used to form InAs starts outwith potentials suggested by the voltalmmetry ,:

shown in Figure 2d and 2e. However, thecharges associated with formation of atomic _ _50 5. .layers of In and As quickly diminish, and no nmvisible deposit is formed. More negative 5OO

potentials can be used to form the atomiclayers, where one atomic layer of eachelement is deposited each cycle, however thefirst ten or so cycles result in much more thanthe growth of single atomic layers. Suchcycles were used initially [44] to form films, 250

and those films were characterized by arelatively rough morphology, with a numberof Micron sized crystals distributed across thesurface. The rough morphology appears toresult from using potentials in the bulkdeposition range, where three-dimensional 0 56.000

growth occurs.

The solution has been to adjust the Figure 5: Atomic force micrographs of A)

potentials as tile deposition takes place. The Au vapor deposited on Si(100), B) 100potentials needed for UPD of the elements on cycle deposit of CdTe on Au on Si, C) 200

Au, and then on each other, shift as the cycle deposit of tnAs on Au on Si.

deposition proceeds. It is suggested here thatas the compound semiconductor is formed, a rectifying junction forms between the InAs

278 Electrochemical Society Proceedings Volume 99-9

Page 289: _sWni7aGN

deposit and tile ALu. The potential 3.0inherent at the junction is accounted CdTe 190nm Thickness

for in the potentials applied to form 2.5 Gold on Silicon

the atomic lavers. Thus the present 2.0 -Experimental Band Gap EG.55 eV

methodology is to start at potentialssuch as those suggested by Figures " 1.52d and 2e, and then to shift the Apotentials negatively as the filmgrows. The deposition charge call be 0.5 oO

used to monitor deposit growth,suggesting changes to the deposition 0.0 .

1.2 1.4 1.6 1.8potentials. This procedure hv (eV)dramatically improved the deposit quality.

Aln X-ray diffraction (XRD) Figure 6: Reflectance data plotted to determine thepattern of one of the early deposits deposit band gap. A) 200 cycles of CdTe, B) 500 cyclesis shown in Figure 4b. A small of [hAs.peak for In is evident in theunannealed deposits. However, elemental coverage data from electron probemicroanalysis (EPMA) indicated that the deposit was rich in arsenic, not In. Evidently,the excess As is not crystalline, so that it does not show up in XRD, while tile In iscrystalline, and does show up. The extent of the In peaks in the XRD and the amount ofexcess As. fromn EPMA, are a function of the cycle used, and optimization of the cycle isongoing.

Reflection IR measurements were obtained from these films, and a plot is shownin Figure 6b. The measured band gap was 0.44 eV. to be compared with the 0.36 eV lbrthe bulk compound. Reflection IR has proven to be a very simple way of monitoring forthe presence of InAs in the deposits.

ACKNOWLEDGEMENTSSupport from the National Science Foundation, Division of Materials Research isgratefully acknowledged, as is support for Travis Wade by UGARF at the University ofGeorgia.

REFERENCESI1. 1p. C. Andricacos. C. I.zoh. J. 0. Dukovic. J. Horkans, and H. Deligianni, Ibml

Journal of Research and Development 42. 567 (1998).2. C. H. Lee and F. A. Kroger, J. Electrochern. Soc. 129, 936 (1982).3. P. Rani, J. Singh, T. R. Ramamnohan, S. Venkatachalam, and V. P. Sundarsingh, J.

Mater. Sci. 32, 6305 (1997).4. P. R. L. Sarma, T. R. R. Mohan, S. Venkatachalam, J. Singh, and V. P.

Sundersingh, Materials Science and Engineering B-Solid State Materials ForAdvanced Technology 15, 237 (1992).

5. P. R. L. Sarmna. T. R. R. Mohan. S. Venkatachalamn, V. P. Sundarsingh. and J.Singh. .1. Mater. Sci. 27, 4762 (1992).

Electrochemical Society Proceedings Volume 99-9 279

Page 290: _sWni7aGN

6. M. I. N. Pourbaix, Atlas of Electrochemical Equilibria in Aqueous Solutions,Pergamon Press, Oxford, 1949.

7. 0. F. Fulop and R. M. Taylor, Ann. Rev. M/later. Sci. 15, 197 (1985).8. K. Rajeshwar. Adv. Mater. 4, 23 (1992).9. T. Gruszecki and B. Holmstrom, Sol. Energy Mater. 31, 227 (1993).10. G. Hodes, Sol. Energy Mater. 32, 323 (1994).I1. R. K. Pandey, S. N. Sahu, and S. Chandra, Htandbook of Semiconductor

Electrodeposition, Marcel Dekker, Inc., New York, 1996.12. J.L. Stickney, in Electroanalytical chemistry, Vol. 23 (A. .. Bard and I.

Rubenstein. eds.), Marcel Dekker, New York, 1999.13. C. H. L. Goodman and M. V. Pessa, J. Apple. Phys. 60, R65 (1986).14. S. P. DenBaars and P. d. Dapkus, .1. Cryst. Growth 98, 195 (1989).15. A. Usui and H. Watanabe, Annu. Rev. Mater. Sci. 21, 185 (1991).16. T. F. Kuech, P. D. Dapkus, and Y. Aoyagi, eds., Atomic Layer Growth and

Processing, Vol. 222, Materials Research Society, Pittsburgh, 1991.17. L. Niinisto and L. M., Thin Solid Films 225, 130 (1993).18. S. Bedair, cd., Atomic Layer Epitaxy, Elsevier, Amsterdam, 1993.19. 13. W. Gregory and ,J. L. Stickney. .1. Electroanal. Chem. 300, 543 (1991).20. B. W. Gregory. D. W. Suggs. and J. L. Stickney, J. Electrochem. Soc. 138. 1279

(1991).21. D. W. Suggs and J. L. Stickney, Surf. Sci. 290, 362 (1993).22. D. W. Suggs and S. ,.L., Surf Sci. 290, 375 (1993).23. B. M. Huang, L. P. Colletti, B. W. Gregory, J. L. Anderson, and J. L. Stickney, J.

Electrochem. Soc. 142, 3007 (1995).24. L. P. Colletti, B. H. Flowers Jr.. and J. L. Stickney, J. Electrochem. Soc. 145,

1442 (1998).25. L. P. Colletti and J. L. Stickney, .1. Electrochem. Soc. 145, 3594 (1998).26. 1. Villegas and P. Napolitano, J. Electrochem. Soc. 146, 117 (1999).27. B. F. Hayden and 1. S. Nandhakumar, J. Phys. Chem. B 102, 4897 (1998).28. T. E. Lister and J. L. Stickney, Appl. Surf. Sci. 107, 153 (1996).29. 1-. E. Lister and J. L. Stickney, lsr. .. Chem. 37, 287 (1997).30. L. P. Colletti, T. D., and S. JL, J. Electroanal. Cheln. 369, 145 (1994).31. Ui. Demir and C. Shannon, Langmuir 10, 2794 (1994).32. F-. S. Strelhsov, L. 1.I., and T. D.V.. Dokl. Akad. Nauk Be]. 38, 64 (1994).33. 1!. Demir and C.Shannon, Langmnuir 11. 594 (1996).34. 1(. Demir and C. Shannon, Langmuir 12, 6091 (1996).35. G. D. Aloisi. M. Cavallini, M. Innocenli, M. L. Foresti, G. Pezzatini. and R.

Guidelli, J. Phys. Chem. 101, 4774 (1997).36. A. Gichuhi, B. E. Boone, U. Demir. and C. Shannon, J. Phys. Chem. B 102, 6499

(1998).37. M. L. Foresti, P. G., C. IM., A. G., 1. M.- and G. R., .. Phys. Chem. B 102. 74130

(1998).38. A. Gichuhi, B. E. Boone, and C. Shannon, Langmuir submitted (1999).39. C. K. Rhee, B. M. Huang, E. M. Wilmer. S. Thomas, and .. L. Stickney. Mater.

and Manufact. Proc. 10, 283 (1995).40. L. P. Colletti, S. Thomas, E. M. Wilmer, and J. L. Stickney, in Electrochemical

Synthesis and Modification of Materials, Vol. 451 (P. C. Searson, T. P. Moffat,P. C. Andricacos, S. G. Corcoran, and .. L. Delplancke, eds.), Materials ResarchSociety, Boston, 1996, p. 235.

280 Electrochemical Society Proceedings Volume 99-9

Page 291: _sWni7aGN

41. L. P. Colletti, R. Slaughter, and J. L. Stickney, I. Soc. Info. Display 5. 87 (1997).42. 1. Villegas and S. I.L., J. Electrochem. Soc. 139:686 (1992).43. 1. Villegas and J. L. Stickney, J. Vac. Sci. Technol. A 10, 3032 (1992).44. T. L. Wade, B. H. Flowers Jr., 1. Garvey, U. Happek, and J. L. Stickney. .1.

Electrochem. Soc.. submitted (1999).45. 13. Gregory, M. L. Norton. and J. L. Stickney, J. Electroanal. Chem. 293. 85

(1990).46. S. Strite. M. S. Untu, K. Adomi, G.-B. Gao, A. Agarwal, A. Rocket, 11. Nlorkoc.

D. Li, Y. Nakamura, and N. Otsuka, ,J. Vac. Sci. Technol. B 8, 1131 (1990).

Electrochemical Society Proceedings Volume 99-9 281

Page 292: _sWni7aGN

ELECTROSYNTHESIS OF THERMOELECTRIC MATERIALS BYELECTROCHEMICAL ATOMIC LAYER EPITAXY: A PRELIMINARY

INVESTIGATION

Curtis Shannon, Anthony GichuhiDepartment of Chemistry

andPeter A. Barnes, Michael J. Bozack

Department of PhysicsAuburn University

Auburn, AL 36849-5312

The use of electrochemical atomic layer epitaxy for the electrosynthesis ofhigh quality thin films of thermoelectric materials is studied. Specifically, theuse of sequential underpotential deposition (upd) cycles of Sb and Co forthe production of CoSb phases on Au substrates is investigated. Stableatomic layers of Sb can be formed on Au, and were imaged for the first timeby STM. These layers consist of randomly distributed islands of Sb with amean diameter of 5.5 nm and a mean height of 0.35 nm. Co upd layersappear to form in situ on Au, but do not survive transfer to the Sb depositionsolution. In contrast, stable upd layers of Co can be produced on the Sb/Ausurface. In addition, there is a 180 mV positive shift of the Co upd formalpotential to more positive values, suggestive of the formation of a stableCoSb phase.

INTRODUCTION

Research on thermoelectric materials has experienced a considerable resurgence in thepast five years driven by three underlying concerns: 1) the environmental impact of freon-based cooling technologies, 2) the generation of electrical power from so-called 'waste' heatin automobiles, and 3) the active cooling of modern electronic device components.

In order for a material to be an efficient thennoelectric cooler, it must possess a largethermoelectric figure of merit, Z, which is defined by equation 1.

S2

z = -- [I]1(p

In this equation, S is the Seebeck coefficient, p is the resistivity (p =1/ ,, where o'is theelectrical conductivity), and icis the thermal conductivity. Metals are typically poorthermoelectrics because of a low Seebeck coefficient and a large contribution to the thermalconductivity by the conduction electrons. In contrast, insulators have a large Seebeckcoefficient and a small electronic thermal conductivity, but the carrier density is low, leadingto a high resistivity. Mahan, et al. have shown that a carrier density intermediate between thatof a metal and that of an insulator is optimum (N-10"9cm-3) (1). Typically, dopedsemiconductors make the best thermoelectrics.

282 Electrochemical Society Proceedings Volune 99-9

Page 293: _sWni7aGN

Materials such as the Bi 2TefSb2Te3 alloys, which are used in commercially availablePeltier coolers, exhibit the largest known thermoelectric figure of merit at room temperature(ZT-~). Although it has been suggested that the maximum possible value of ZT is about 14(2), it has proved difficult in practice to increase Z by engineering materials properties alone.A notable exception is the class of materials known as the 'skutterudites' (3). One reasonfor this is that in many instances increasing S leads to a concomitant increase in resistivity.Furthermore, an increase in electrical conductivity leads to an increase in the electroniccontribution to the thermal conductivity.

Several strategies based on novel device architectures have been developed in an effort toimprove overall thermoelectric efficiency, one of the most promising of which is the use ofquantum well superlattices. In certain superlattice systems, the electrical conductivitythrough the wells is dramatically increased due to an increase in the density of electronicstates in the two dimensional system. At the same time, in a layered structure such as asuperlattice, thermal conductivity is decreased due to enhanced phonon scattering atinterfaces. Hicks, et al. have shown that a significant increase in the figure of merit can beachieved using quantum well superlattices synthesized by molecular beam epitaxy (4).

Layered nanostructures can be deposited from the electrochemical environment byapplying a time dependent voltage program to the working electrode (5) or by using asequential deposition scheme such as electrochemical atomic layer epitaxy (EC-ALE) (6-10). In EC-ALE, a surface-limited electrochemical reaction, such as underpotentialdeposition (upd), is used to synthesize a binary compound by successive deposition of eachelement fiom its respective solution precursor. EC-ALE is an attractive electrosyntheticalternative to conventional deposition methods that is inexpensive, operates at ambienttemperature and pressure and provides precise film thickness control. This techniquepromises to overcome many problems associated with other electrosynthetic approaches,such as the formation of highly polycrystalline deposits and interracial interdiffusion. Forexample, we have recently used EC-ALE to fabricate stable semiconductor heterojunctionswith extremely abrupt interfaces (11).

In this paper, we investigate the use of EC-ALE to synthesize thin films of CoSb phaseswith an aim toward the production of layered structures of these materials for use inthermoelectric applications. If successful, such an approach will lead to thin films withenhanced thermoelectric efficiencies, while at the same time keeping the production cost ofthe device low.

EXPERIMENTAL

Single crystal Au(I 11) substrates were prepared according to previously publishedliterature methods (12). Briefly, a 0.2-1.0 mm polycrystalline Au wire (Alfa-JohnsonMatthey, 99.999%) is flame annealed into a microbead in an Ar-sheathed H2-O flame. Themicrobead is zone refined in the flame to reveal several elliptical (111) facets whose majorand minor axes measure approximately 1000 trtm and 500 .tm, respectively. Immediatelyfollowing removal from the flame, the Au microbead is submerged in ultrapure water toprotect the surface from contamination. These substrates can be easily aligned for STMimaging using a low magnification optical microscope.

Electrochemical Society Proceedings Volume 99-9 283

Page 294: _sWni7aGN

All reagents were used as received without further purification. All solutions were madeusing Millipore Q 18.2 MQ-resistance water and were purged for 20 min with ultra highpurity (UHP) Ar to remove dissolved 02.

Cyclic voltammetry was performed using a Pine AFRDE-5 bipotentiostat and an HP-7055 X-Y recorder. The flow-through electrochemical cell consisted of a three-electrodeconfiguration: the Au microbead as the working electrode, a Pt wire as the auxiliaryelectrode, and a Ag/AgCI (3 M NaCI) as the reference electrode to which all potentials arereferred. All depositions were carried out from pressurized solution reservoirs made ofTeflon or Kel-F. The electrochemical cell was directly connected to the solution-handlingmanifold that allowed the electrolytes to be changed without the electrode being exposed tothe laboratory ambient. It should be noted that in all experiments, no attempt was made torecord the voltammetry of an isolated (11) facet; thus, the voltammetric signal originatesfrom the entire polycrystalline microbead.

Underpotential deposition of Sb was carried out from a 0.05 M H2S0 4 electrolyte thatwas 0.5 mM in Sb 20 3. Underpotential deposition of Co was carried out from a 0.10 MNaCI/HCI electrolyte containing 1 mM Co(C1O4 ) 2. The pH of this solution was 3.45.

All scanning tunneling microscopy experiments were performed under ambientconditions using a Model SA-1 STM (Park Scientific Instruments, Sunnyvale, CA).Atomic- and micron- scale images were acquired using both constant height and constantcurrent modes; the exact tunneling conditions are given in the figure captions. W tips, usedin the atomic scale images, were prepared by etching a 0.5-mm diameter wire in 1 M KOHsolution using a model TE-100 STM Tip Etcher (Park Scientific Instruments). Pt:lr (90:10)tips, cut at a 450 angle, were used for the micron scale images. In all cases, the sample wasbiased positive relative to the tip. The x-y plane calibration was perfonied using twodifferent standards: highly oriented pyrolytic graphite (HOPG, donated by Dr. ArthurMoore, Union Carbide, Parma, OH), and a Au(l 11) single crystal in which the interatomicdistance of Au is 0.29 nm. The calibration of the piezo in the z-direction (i.e., normal to theplane of the surface) was carried out using the Au atomic step height (0.24 nm). Unlessotherwise stated, all images presented are unfiltered.

Auger electron spectra (AES) were collected with a conventional single pass cylindricalmirror analyzer system. Samples were briefly exposed to atmospheric conditions whilebeing loaded into the AES system, however, no evidence for surface contamination wasobserved in any of the measurements. All AES measurements were performed on 1.0 x 1.0cm Au foils.

RESULTS AND DISCUSSION

Underpotential deposition of Sb

Figure I A shows the voltammetric response of a Au microbead electrode in contact witha 0.5 mM Sb 20 3 in 0.05 M H2SO, supporting electrolyte. The cathodic and anodic limitsare -0.400 V and 0.400 V, respectively, and the scan rate is 0.100 V sec-'. Two cathodic andthree anodic waves are observed in this i-E trace. The most negative cathodic wave at -0.210

284 Electrochemical Society Proceedings Volume 99-9

Page 295: _sWni7aGN

V is assigned as the diffusion-limited reduction of Sb203, while the feature at -0.042 Vcorresponds to the reduction of an adsorbed Sb 2O3 species. The peak current in the wave at-0.042 V displays a linear dependence on the sweep rate, as expected for the reduction of asurface-bound species, Figure lB. Although not shown, it should be noted that the peakcurrent in the bulk wave displays the expected linear dependence on the square root of thescan rate. Our assignments are also consistent with the earlier report of Rhee and co-workers on the Sb/Au system (13). Formally at least, the cathodic process corresponds tothe three electron reduction of Sb 2O3 to Sbd,.

Sb 2 0 +±6H+ +6e- (=- 2Sb.,, +3H 2 0 [2]

Three well-defined, sharp voltammetric waves are observed when the electrode potentialis swept anodically from the cathodic limit. The first of these, which occurs at -0.105 V, isassigned as the stripping of bulk Sb based on the observation that the peak current isextremely sensitive to the cathodic switching potential. Specifically, ip increases as theswitching potential is made more negative and decreases when the switching potential ismade more positive. The remaining two anodic features, at 0.000 V and 0.147 V,respectively, are assigned as stripping of Sb atoms bound to the Au surface (i.e., strippingof a contact adsorbed monolayer of Sb atorns). The peak currents observed for these wavesare independent of the cathodic switching potential. In addition, in both cases, the peakcurrents exhibit a linear dependence on the scan rate, consistent with the stripping of asurface bound species. The scan rate dependence of the peak current for the 0.147 V peak isshown in Figure lB.

In an effort to assess the stability of the adsorbed Sb monolayer to emersion and transferto a different supporting electrolyte, as well as the tendency of the electrodeposited Sb toalloy with or diffuse into the underlying Au, the following experiment was performed. First,a clean Au electrode was immersed in the Sb deposition solution and scanned to a cathodiclimit of -0.150 V, at which potential the electrode was emersed into an Ar atmosphere andimmediately transferred to pure electrolyte (0.05 M H2SO4 containing no Sb 20 3), Figure2A. The electrode potential was then swept anodically at 0.100 V sec and the strippingcurrent recorded, Figure 2B. The peak potential of the large stripping wave is 0.140 V,identical within experimental error to what was observed in the presence of Sb20 3. Inaddition, the charge density under this wave was found to be 170 uC cm-2 . Assuming anelectrosorption valency of 3, which is reasonable given the similar work functions of Sb(4.55 eV) and Au (5.1 eV), this charge density corresponds to a coverage of 0.25. Finally,Auger electron spectroscopy experiments were carried out to confirm the presence of Sb onthe surface of the electrode. A typical spectrum is shown in Figure 3. The characteristic Sbtransitions are clearly observed in this spectrum.

The structure of the electrode surface prior to and following Sb electrodeposition wasinvestigated using scanning tunneling microscopy (STM). Figure 4A shows a representative0.41 Lm x 0.41 um STM image obtained from a single Au(1 11) facet after flame annealing.Atomically flat Au( Ill) terraces separated by single Au atomic steps (0.24 nm in height)are observed. Following deposition of Sb, the surface morphology is characterized by alarge number of pits and small protrusions as shown in Figure 4B. The pits are generallytriangular in shape and are all oriented in the same direction. The observation of orientedpits is the characteristic signature of atomic level corrosion of Au(l 11). Similar structures

Electrochemical Society Proceedings Volume 99-9 285

Page 296: _sWni7aGN

are observed in CN- solutions, for example (14). Corrosion initiates at surface defects andpropagates by lateral expansion of the pit nucleus. Small triangular pits are alsocharacteristic of the formation of Au vacancy islands during the adsorption induced liftingof the (23x',3) reconstruction of Au(l 11). Similar structures are observed, for example, inalkanethiolate monolayers formed by self-assembly (15). Interestingly, the pits we observetend to be of two distinct sizes, with lateral dimensions of ca. 0.1 urn and ca. 5 nm. It ispossible that the smaller pits are Au vacancy islands and that the larger pits are due to acorrosion process. On the other hand, although there is a wide variation in pit diameter, thepits are all characterized by a uniform depth of 0.24 nm (i.e., the Au atomic step height). Incontrast, the protrusions are much more narrowly distributed in size. Specifically, weobserve a mean diameter of 5.5 nm and a mean height of 0.35 nm for these features. On thebasis of our electrochemistry, AES and imaging experiments, we believe these structures tobe islands of Sb. The formation of randomly distributed islands is in contrast to what istypically observed for a upd atomic layer. Most upd layers are characterized by theformation of large, well-ordered domains across the surface. Low coverage phases arecharacterized by a low packing density, not by island formation. The tendency of Sb to formislands on Au may be the result of the very similar work functions for the two materials anda large lattice mismatch. It is well known that the work function difference between thedeposit and the substrate plays a role in the stability of a upd monolayer (16, 17). In orderto test this hypothesis, we are currently investigating the formation of Sb atomic layers on Ptelectrode surfaces (the work function of Pt is 5.65 eV).

Underpotential deposition of Co

The voltammetric response of a Au electrode immersed in 1 mM Co(CO10) 2 inNaCI/HCI supporting electrolyte is shown in Figure 5A. Two reductive waves can be seenin this voltammogram, at -0.660 V and -0.820 V. The more negative wave is assigned as thediffusion-limited reduction of Co'* to Co.,,. Although the nature of the wave at -0.660 Vhas not been firmly established at present, it may correspond to the formation of a Au/Coalloy. When the upd region of this voltammogram is expanded, a voltammetric featureattributable to Co upd is observed, Figure 5B. A linear dependence of the peak current onthe scan rate is evident from the data set. Stripping and AES experiments indicate, however,that this layer is not stable to emersion or to electrolyte transfer. Only when potentialexcursions are made into the bulk deposition region is there any evidence of Coelectrodeposition from Auger spectroscopy. On the other hand, when a layer of Sb iselectrodeposited on Au as described above, followed by the deposition of Co, the Co layerappears to be stable. A representative cyclic voltammogram in the upd region is shown inFigure 6. The most noteworthy feature of this voltammogram is the 180 mV positive shift ofEý in the presence of adsorbed Sb (as compared with naked Au), which suggests theformation of a stable CoSb phase tinder these experimental conditions.

CONCLUSIONS

Stable atomic layers of Sb can be formed on Au surfaces using EC-ALE. Theseelectrodeposited monolayers consist of a random distribution of Sb islands with a meandiameter of 5.5 nm, a mean height of 0.35 nm and an apparent coverage of 0.25. In addition,there appears to be significant pitting of the Au(1 11) terraces as a result of corrosion and

286 Electrochemical Society Proceedings Volume 99-9

Page 297: _sWni7aGN

Au vacancy island formation during Sb electrodeposition. Although, attempts to depositatomic layers of Co onto naked Au surfaces at underpotential were not successful, stable Coupd layers can be formed on the Sb/Au surface. The chemical nature of the CoSb phaseformed is under investigation, and will be reported on in the near future.

ACKNOWLEDGMENTS

The Petroleum Research Fund (administered by the American Chemical Society), theNational Science Foundation and Auburn University are gratefully acknowledged for theirfinancial support of this work. We thank Mr. Igor Nicic for his help in the preparation ofsome of the samples.

REFERENCES

(1) G. D. Mahan, B. C. Sales and J. Sharp, Physics Today, 50, 42 (1997).(2) G. D. Mahan and J. 0. Sofo, Proc. Natl. Acad. Sci. USA, 93, 7436 (1996).(3) B. C. Sales, D. Mandrus, and R. K. Williams, Science, 272, 1325 (1996).(4) L. D. Hicks, T. C. Harman, X. Sun and M. S. Dresselhaus, Phys. Rev. B, 53, R10493(1996).(5) J. A. Switzer, C.-J. Hung, L.-Y. Huang, E. R. Switzer, D. R. Kammler, T. D. Golden,and E. W. Bohannan, J. Am. Chem. Soc., 120, 3530 (1998).(6) B. E. Hayden, 1. S. Nandhakumar, J. Phys. Chem. B, 102, 4897 (1998).(7) L. P. Colleti, B. H. Flowers, Jr., J. L. Stickney, J. Electrochem Soc.,145, 1442 (1998).(8) M. L. Foresti, G. Pezzatini, M. Cavallini, G. Aloisi, M. Innocenti, and R. Guidelli, J.Phys. Chem., 102, 7413 (1998).(9) D. W. Suggs and J. L. Stickney, Surf. Sci., 290, 362 (1993).(10) A. Gichuhi, B. E. Boone, U. Demir, C. Shannon, J. Phys. Chem. B, 102, 6499 (1998).(11) A. Gichuhi, B. E. Boone, and C. Shannon, Langmuir, 15, 763 (1999).(12) U. Demir and C. Shannon, Langrtiuir, 10, 2794 (1994).(13) G. Jung and C. K. Rhee, J. Electroanal. Chem., 436, 277 (1997).(14) F. P. Zamborini and R. M. Crooks, Langmuir, 13, 122 (1997).(15) G. E. Poirier, Langmuir, 13, 2019 (1997).(16) D. M1. Kolb, Advances in Electrochemistry and Electrochemical Engineering, H.Gerischer and C. W. Tobias, Editors, vol. 11, p. 125, Wiley lnterscience, New York,(1978).(17) K. Juttner and W. J. Lorenz, Z. Phys.Chem. N. F., 122, 163 (1980).

Electrochemical Society Proceedings Volume 99-9 287

Page 298: _sWni7aGN

FIGURES

A

B

Ca

O 20 40 60 80 100 120Scan rate (mV/sec)

-0.4 0.4Potential (V vs Ag/AgCl)

Figure 1. (A) Cyclic voltammetry of an Au electrode in 0.5 mM Sb,O3 in 0.05 M HSOCsupporting electrolyte. The sweep rate is 0.100 V sec-' and the electrode area is 0.09cm-.(B) Peak current as a function of sweep rate. See text for details.

288 Electrochemical Society Proceedings Volume 99-9

Page 299: _sWni7aGN

20 pA A 2O A B

I I I-0.4 0.4 -0.4 0.4

Potential (V vs Ag/AgCI) Potential (V vs Ag/AgCI)

Figure 2. (A) Reduction of a monolayer of Sb 2O,. The electrode was immersed at 0.400 Vand emersed at -0.150 V. Other conditions as in Figure 1. (B) Stripping of Sbhal aftertransfer to pure electrolyte (0.05 M HSO4 ). Experimental conditions as in Figure 1.

Electrochemical Society Proceedings Volume 99-9 289

Page 300: _sWni7aGN

. .. . . . . . . ......... ...... ...... ...... .................. ..... ........ . ....... ............... ..............................

AU: Sb Sb SAu Au Subvey

C 1 LSbc

0

-200.66 .................

t: 1:AES Survey1 ML Sb

C As Received

c

-400 .. ....-4 0 8. .. ... ... ....... ... ... ... ... .... ... ... ... ... ..................................... ....................................

Au

206 400 666Kinetic Energy (eV)

Figure 3. Auger electron spectrum of a Au electrode onto which a single Sb monolayer wasdeposited. Experimental conditions as in Figure 2A.

290 Electrochemical Society Proceedings Volume 99-9

Page 301: _sWni7aGN

-0.1 PJM

Figure 4. STM images (0.4 pm x 0.4 pm) of a Au electrode

(A) prior to and (B) after deposition of a Sb monolayer.

Electrochemical Society Proceedings Volume 99-9 291

Page 302: _sWni7aGN

A B25 pAI

A

-.35 0

Potential (V vs Ag/AgCI)

-0.9 0.0Potential (V vs Ag/AgC1)

Figure 5. Electrodeposition of Co. (A) Survey scan showing bulk deposition.(B) Underpotential deposition region.

292 Electrochemical Society Proceedings Volume 99-9

Page 303: _sWni7aGN

2.5p A A 1.B

- 0.2 -0.3 0.2

Potential (V vs Ag/AgCI) Potential (V vs Ag/AgC1)

Figure 5. Electrodeposition of Co on Sb/Au. (A) Underpotential deposition region.(B) Stripping in pure electrolyte.

Electrochemical Society Proceedings Volume 99-9 293

Page 304: _sWni7aGN

CdS AND ZnS DEPOSITION ON Ag(l11) BY

ELECTROCHEMICAL ATOMIC LAYER EPITAXY

M. Innocenti, G. Pezzatini, F. Form and M.L. Foresti*

Dipartimento di Chimica, Universiti. di Firenze, Via G. Capponi, 9, 50121

Firenze, Italy

ABSTRACT

We applied the Electrochemical Atomic Layer Epitaxy (ECALE) methodology to

obtain deposits of CdS and ZnS on Ag( 11), by alternate underpotential deposition of the

elements forming the compound. The amount of the elements deposited, determined by their

stripping, always yielded the stoichiometric 1:1 ratio.

An automated electrochemical deposition system making use of a simple distribution

valve is described.

Introduction

Recent work in our group is devoted to the growth of thin-film compound

semiconductors on silver single crystals by Electrochemical Atomic Layer Epitaxy

(ECALE). Stickney and co-workers developed this method to obtain low-cost production

of structurally well-ordered 1t-VI and III-V compound semiconductors on gold [1-3]. The

method is based on the alternate electrodeposition of atomic layers of both elements,

making op the compound at underpotential. Underpotential deposition is a surface-

limited phenomenon, so that the resulting deposit is generally limited to an atomic layer.

A monolayer of the compound is obtained by alternating the underpotential deposition of

the metallic element with the underpotential deposition of the non metallic element in a

cycle. The thickness of the deposit is determined by the number of cycles, thus the

ECALE cycle can be repeated as many times as necessary to obtain deposits of practical

importance.

294 Electrochemical Society Proceedings Volume 99-9

Page 305: _sWni7aGN

The method requires the definition of precise experimental conditions. such as

potentials. reactants, concentrations, supporting electrolytes. pH, deposition times and the

possible use of complexing agents. These conditions are strictly dependent on the

compound one wants to fbrm and on tile substrate used. We found the conditions to grow

practically all Il-VI compound semiconductors and are now beginning to study the II1-V

compounds. The substrate that has been used tIp to now is Ag(lll), namely a single

crystal to ensure the maximum probability for the epitaxial growlh.

InI a previous paper we described the experimental conditions needed to obtain up

to 5 sulfur layers and 4 cadmium layers of CdS. Sulfur layers were obtained by oxidative

underpotential deposition fromn sulfide ion solutions [4-6], whereas cadmium layers were

obtained byi reductive underpotential deposition from cadmitim ion solutions [7]. Both

precursors were dissolved in pyrophosphate plus sodium hydroxide of pH 12. The high

pH was used to shift the hydrogen evolution towards very negative potentials. in order to

evidence the whole underpotential oxidation process of sulfide ions which takes place

between -1.35 and -0.8 V/SCE. A strong complexing agent such as phyrophosphate was

used to keep cadmium ions ill solution at this high pH.

This paper describes the growth of thicker deposits of CIdS, up to 150 deposition

cycles, obtained with the use of an automated system. The morphologies of the deposits

were examined by SEM. The paper also describes the conditions to obtain ZnS.

The experimental conditions for CdS and ZnS growth on silver are different from

those required on gold [8-10]

Experimental

Nlerck analytical reagent grade 3CdSO 4 8H20, and Aldrich analytical reagent grade

NaS were used without further purification. Merck analytical reagent grade lIC10 4 and

NH3 were used to prepare the p11 9.2 ammonia buffer. The water used was obtained fiom

light mineral water by distilling it once and by then distilling the water so obtained fromn

alkaline perinanganate while constantly discarding the heads. The solutions were freshly

prepared just before the beginning of each series of measurements. The working electrodes

were silver single crystal discs grown in a graphite crucible, oriented by X-rays and cut

Electrochemical Society Proceedings Volume 99-9 295

Page 306: _sWni7aGN

according to the Bridgman technique [11]. These electrodes were polished with successively

finer grades of alumina powder down to 0.3 m (Buehler Micropolish II) and then annealed

in a mnuffle furnace under vacuum for 30 ruin at 650'C.

Before measurements, the electrode was polished chemically with Cr0 3 according to

the procedure described in Ref. 12. After polishing, the electrode surface was soaked in

concentrated sulfuric acid for about 20 min and then rinsed thoroughly with water.

The heart of the automated deposition apparatus is the distribution system. This consists of

Pyrex solution reservoirs, solenoid valves and a distribution valve. Figure I shows the

distribution valve which, for simplicity, was limited to 3 solution inlets. The distribution

valve is entirely made of Teflon and was designed and realized in the workshop of our

Department. The solutions contained in the Pyrex reservoirs are previously deairated, and

then constantly kept tinder a pressure of Argon of about 0.3 atm. The piston is tightly held

by a spring to block the inlet of the solution and can be raised opening the solenoid valve

and by sending compressed air at 6 atm, that is at a pressure higher than that exerted by the

spring. By acting on the corresponding solenoid valves, the different solutions are pushed to

the cell following the desired sequence. The pressure of 0.3 atm exerted on the solutions

determines a flow-rate of about I ml s-1. All operations are carried out tinder computer

control.

The electrochemical flow-cell shown in Fig. 2 has been developed from a similar cell

described in a previous paper [13]. The cell is a Teflon cylinder with about a 5 mm inner

diameter and a 30 mmun outer diameter. The working electrode is housed in a special cavity at

one end of the cylinder, and the counter electrode is a gold foil placed at the other end. The

inner volume of the cell is about 0.5 ml. The whole system is clamped between two external

plexiglass discs by means of three screws. Electrical contact with the working electrode

was made using a silver wire, held by a silicone plug. Leakage is avoided by pressing

both the working and the counter electrode against a suitable Viton® o-ring. The inlet

and the outlet for the solutions are placed on the side walls: for hydrodynamic reasons,

the inlet of the solution is inclined. The reference electrode is placed on the outlet tubing.

All potentials are referred to the saturated calomel electrode (SCE).

Results

296 Electrochemical Society Proceedings Volume 99-9

Page 307: _sWni7aGN

The formation of a compound fromn its constituent elements is an energetically

favorable process. The negative free energy change involved in the process is the

principal reason for the occurrence of the UPD of Cd or Zn on the previously deposited

sulfur, and vice-versa. This fact is clearly shown when comparing the underpotential

deposition of zinc on a Ag(l 1I) substrate covered by the different chalcogens: the more

negative the heat of formation, the more positive the potential at which UPD occurs. (Fig.

3). yclic voltamlnograms in the figure were recorded in ammonia buffer of pH 9.2ý in

this supporting electrolyte, bulk Zn electroreduction takes place at about M-. 1 5V and is

scarcely influenced by the substrate used. Apart from the potentials of deposition, a

similar trend is observed for Cd. The choice of ammonia buffer instead of the

pyrophosphate employed previously (rif CdS) was made to standardize the supporting

electrolytes used for the growth of all cadmium and zinc chalcogenides. As a matter of

fact. phyrophosphate is a strong complexing agent necessary to keep meat ions in

solutions when using supporting electrolytes of pH as high as 11. As a consequence, both

bulk and underpotential deposition of the complexed metal are shifted towards potentials

which are more negative than the potentials of deposition of the uncomplexed metal.

ltowever. zinc deposition from pyrophosphate solutions takes place at more negative

potentials than those required for chalcogen deposition. Thus, a weaker complexing

agent. such as ammonia, has been adopted. The lower pH. 9.2. of ammonia buffer

employed. simply prevents the observation of the whole UPD process of sulfur, due to

the anticipated hyd rogen evolutiion.

Figure 4a shoxks the oxidative sulfur underpotential deposition from Na2S in

ammonia buffer solution, carried out by scanning the potential from -1.15 to -0.75 V.

Proceeding further towards more positive potentials in the presence of sulfide ions would

cause bulk sulfur deposition. The large anodic peak in the figure marks the transition

from a (W/3x-',3)R30° structure to a more compact I \17x 7)RI9.10 structure [6]. The

charge associated Nwith the latter structure, calculated by assuming that the oxidation of

oite sulfide ion involves Mto electrons, is equal to 189 [IC cm' 2. The calculated charge is

in good agreement Nsith that determined by integration of the voltammetric peaks. As

already stated, the use of ammonia buffer solutions partially obliterates the ITPD of

sulfur: however, the charge, 55 pC cm-',. associated with the anodic peak at E=-0.83V

Electrochemical Society Proceedings Volume 99-9 297

Page 308: _sWni7aGN

coincides with the charge measured for the corresponding peak obtained in solutions of

higher pH.

Figure 4b shows the reductive underpotential deposition of Zn on a S-covered

Ag( IlI) substrate, and Figure 4c shows the similar Cd deposition. This latter shows the

beginning of a second tJPD peak. The second UPD peak cannot be completely recorded,

since it overlaps sulfur deposition. However, it can be evidenced by keeping the electrode

at -0.75 V to accumulate Cd on the electrode, and by then anodically stripping the

deposit. The stripping peaks of Cd recorded after keeping the electrode at -0.75 V for 30,

60, 120 s have a constant height, only slightly higher than that of Fig. 4c, thus ensuring

that the process is surface-lilmited. The second UPD peak cannot be ascribed to a

deposition occurring on silver areas uncovered by sulfur, since the potential of the UPD

of Cd on the bare Ag(1 11) substrate lies just in the middle of the two UPD's of Cd on S-

covered Ag( lll). The experimental charge measured by integration of both peaks is-2

equal to 180 pLC cm , whereas the charge measured for the first UPD peak is equal to

about 70 p.C cln-2 .

Figure 4 exemplifies the conditions for an ECALE cycle for both ZnS and CdS

formation. As a matter of fact, a single ECALE cycle restilts from the combination of the

non-metallic element UPD with the IJPD of the metallic element, with an intermediate

step consisting of washing the cell with the supporting electrolyte to avoid any possible

chemical reaction. Thus, ZnS growth was obtained by depositing sulfur at -0.75V from a

Na2 S solution, washing the cell, injecting the zinc solution while keeping the electrode at

the same potential, waiting 30 s to deposit Zn underpotentially, washing the cell, and

repeating this cycle as many times as desired. CdS growth was obtained in a similar way.[he amount of the elements deposited in a given number of cycles was

quantitatively determined from the charge involved in the anodic stripping of the metallic

element. and subsequent cathodic stripping of the non- metallic element at a sweep-rate

low enough to ensure the complete dissolution. Figure 5a and 5b show the stripping

peaks of I to 10 Zn layers and I to 20 Cd layers, whereas Figure 5c shows the subsequent

stripping curves of sulfiur relative to both metal sulfides. Once all of the metallic element

has been stripped anodically, the remaining sulfur layers, except for the first, behave like

bulk sulftir: hence during the following reductive stripping they are reduced at more

positive potentials than the first sulfur layer in contact with the silver substrate. Plots of

298 Electrochemical Society Proceedings Volume 99-9

Page 309: _sWni7aGN

the charges for Cd and S measured in the stripping of CdS deposits as a function of the

number of cycles are linear, with a slope of 70 pC cm-2 (Fig. 6). Similarly. plots of the

charges for Zn and S measured in the stripping of ZnS deposits are linear, with a slope of

67 pC cm-•2 for Zn and 75 pC cin-2 for S.

Note that the peaks for both Zn and Cd strippings shift towards more positive

potentials when the number of cycles increases. Curve a in Fig. 7a is the plot of the peak

potentials, E,,.,,, against the charge obtained by integrating the peaks. It is interesting to

compare the potential shift due to the same amount of cadmium deposited as CdS, with

the potential shift due to an increasing amount of cadmium deposited as metallic Cd. This

latter potential shift was determined by depositing bulk Cd on Ag( 11) aLt E=-O.8V,

where the rate of electroreduction of cadmium ions is still low enough to produce

homogeneous deposits. Then, the deposited Cd was stripped, and the potential. E of

the anodic peak wvas measured against the charge, Q, obtained by integrating the peak.

rhis measurement w\as repeated for Cd deposits obtained at different times of

accumulation, and the E, values were plotted against the charge Q (Fig. 7b). Apart fr-om

the different values of potentials (curve a refers to Cd underpotentially deposited on S-

covered Ag(Ill), and curve b refers to bulk Cd deposited on Ag(lll)). the larger

potential shift exhibited by curve a clearly shows that the formation of CdS makes the Cd

deposit more stable. A similar plot for Zn shows that the potential shift observed for E,,a

of zinc deposited as ZnS is significantly higher than that observed for cadniulu, which

can be explained by the fact that the heat of formation of ZnS is more negative than that

of CdS.

The morphology of thicker CdS deposits was investigated by SEM. Figure 8 shows

scanning electronic micrographs of different magnifications of a sample formed with 110

deposition cycles. EDAX analysis performed on the more homogeneous regions, as well

as in the correspondence of the clusters observed on the deposit, always yielded CdS in

the 1:1 stoichiometric ratio. These results confirm XPS studies carried out on a sample of

50 deposition cycles: the binding energy of sulfur peak, 161.2 eV. is very close to that of

CdS. 161.5 eV. and the height of sulfur and cadmium peaks gives the expected 1:1

stoichiometric ratio.

Electrochemical Society Proceedings Volume 99-9 299

Page 310: _sWni7aGN

Conclusions

The linear behaviour of plots in Fig. 6 suggests a layer-by-layer growth and

involves a stoichiometric ratio between the elements as was expected for the formation of

the compound and as was confirmed, in the case of CdS, by EDAX and XPS

measurements. The charge value of 70 ptC cm-2 , associated with each layer of Cd and S

(except for the first Cd and S layers), corresponds to a coverage of 1/3 when referred to

the basal plane of both wurtzite or zinc blende. This experimental charge is in good

agreement with the charge. 64 ptC cmnf associated to tile structure revealed by STM

images [7] for the second layer of sulfur, specifically the sulfur layer on top of the first

cadmium layer (the first sulfur layer deposited on the bare silver substrate has a different

structure with an associated charge of 189 itC cn-2).

The high value. 7.6 A, for Cd-Cd and S-S distances, as deduced by STM

measurements seems to rule out that this structure could correspond to the basal planes of

both wurtzite or zincblende. This would also be indicated by the low coverage of CdS

deposit, vhich is just I/3 of that corresponding to both basal planes. Some preliminary

structural investigations by X-ray photoelectron diffraction (XPD) technique would

indicate a growth along the (1 1 . 0) plane of wurtzite. The atomic density of this plane,

about double that shown by STM images, and the distances Cd-Cd and S-S, about a half,

could indicate that the crystallographic plane would be formed every two deposition

cycles. More detailed structural investigation by XPD are now in progress. Incidentally,

the (I 1 . 0) plane of CdS was indicated as one of the possible orientations of CdS grown

by SILAR on such substrates as InP( I ll), GaAs(00 I ) and Ge( 110) [ 14].

Finally, it is interesting to compare our results on CdS deposition with the

corresponding results obtained on Au( lll) by Demir and Shannon on tile basis of STM

measurements [15]. They reported a (3x3) structure with a Cd-Cd distance of 4.3 A for

the Cd layer on top of the S layer deposited on Au( 111 ). This structure is much more

compact than ours, and that difference cannot be ascribed to a difference in lattice

constants of Ag and Au since they are practically identical. Thus, we thought that the

difference could be ascribed to the different structure of the S layer in contact with the

metallic substrate. In fact the S layer on Au 111 ) forms a ('13x•i3 )R30° structure with a

coverage of 1/3, whereas at the potential chosen for deposition on Ag( I1l) it forms a

300 Electrochemical Society Proceedings Volume 99-9

Page 311: _sWni7aGN

( q7x N7)R 19. 1 structure with each lattice site occupied by a triplet of sulfur atom. This

latter structure corresponds to the coverage of 3/7 and is therefore much more

compr messed, thus denoting a higher affinity of S for Ag than for An. We wondered if the

different structures of S could influence differently the epitaxial growth of the subsequent

Cd layer. Thus. as the (U3x V3)R30° structure is also observed for S on Ag( Ill) at more

negative potentials, -0.9V. we tried to grow CdS starting from this less compact S

structure. Unfortunately. when the potential is moved to more positive values for Cd

deposition, the less compact structure undergoes a transformation, probably forming

islands of the more compact structure. Thus, the attempt of depositing a further layer of S

at E=-0.9V results in the complete dissolution of the first layer, since the more compact

structure is reduced at more positive potentials.

Acknowledgments

The authors are grateful to Mr. Andrea Pozzi and Mr. Francesco (iualchieri for

their contribution to the set up of the automated deposition system, and Mr. Ferdinando

Capolupo for the preparation of the silver single crystal electrodes. The financial SuppOrt of

the Italian CNR and of the Murst is gratefully aclknowledged.

Electrochemical Society Proceedings Volume 99-9 301

Page 312: _sWni7aGN

References

(1) B. W. Gregory and J. L. Stickney. , Eleciroanol. ('hem., 300. 543 (1991).

(2) C K. Rhee, B. M. Huang, E. M. Wilmer, S. Thomas and J. L. Stickney, Iti. akinil.Processes. 10. 283 (1995).

(3) B. W. Gregory, D. W. Suggs and J. L. Stickney, ,J Electrocheo,. Soc., 138, 1279(1991).

(4) D. W. I-latchett and H. S. White, J1. Phe.s. ('hem. 100, 9854 (1996). (I;PD DI S)

(5) D. W. Hatchett, X. Gao, S. W. Catron and H. S. White, J. Phs. ('hemn 100, 331(1996).

(6) (i. D. Aloisi, M. Cavallini, M. Innocent, M. L. Foresti, G. Pezzatini and R.(iuidelli, .J. Phys. (hem B, 101, 4774 (1997)

(7) M. L. Foresti, G. Pezzatini, M. Cavallini, G. Aloisi, M himocenti and R. Guidelli, J.Phys. Chem. B 102, 7413 (1998).

(8) L. P. Colletti, D. Teklay and J. L. Stickley, ,. Electroanal. Chem., 369, 145 (1994).

(9) L. P. Colletti, R. Slaughter and J. L. Stickney, Journal of the SID, 5/21 1997.

(10) L. P. Colletti, S. Thomas, E. M. Wilmer and J. L. Stickney, Mater. Res. Soc. Symp.Proc., 451, 235 (1997).

(11) A. Hamelin, in Modern Aspects of Elecirochenmistiy, BE. Conway, R.E. White andJ.O'M. Bockris editors, vol. 16, p. 1, Plenum Press, New York (1985).

(12) A. Hamelin, L. Stoicoviciu, L. Doubova and S. Trasatti, J. Electroanal. Chemn., 244,133 (1988).

(13) Gi. Pezzatini, S. Caporali, M. Iunocenti and M.L. Foresti, "Formation of ZnSe onAg(l 11) by Electrochemical ALE",, Electroanal. Chem., in press.

(14) Y. F. Nicolau, M. Dupuy and M. Brunei, .1. Electrocheno. Soc. 137, 2915 (1990).

(15) U. Demir and C. Shannon, Langinuir 10. 2794 (1994).

(16) B.E. Boone and C. Shainon,J. Phys. ('hem., 100, 9480 (1996).

302 Electrochemical Society Proceedings Volume 99-9

Page 313: _sWni7aGN

Figure I - Diagramn of the distribution valve. S.V. n. 1, 2 and 3 denote the solenoid \alves.

A given solution is pushed to the cell when the piston is raised by the pressure exerted by

compressed air, that is when the corresponding solenoid valve is opened.compressed air

ontiiI 3

Compressed sir

- * 2. v

inlte 2

ii seefl *plexiglass disk

to the reference gl one lcrdelectrode gl one lcrd

o -ring

soluton ntlet'/.~ solution

working electrode

peilass disk

Figure 2 - Fleetroehienlical floxs-cell.

Electrochemiical Society Proceedings Volume 99-9 303

Page 314: _sWni7aGN

C

0-

I I I I

-1.2 -1 -0.8 -0.6 -0.4 -0.2

E / V(SCE)

Figure 3 Cyclic voltammograms of ZntpD obtained from 0.5mM ZnSO 4 in a p1- 9.2

ammonia buffer solution on Ag( 111) covered by S (a), Se (b) and Te (c). The scan rate is 40

mV s-1.

304 Electrochemical Society Proceedings Volume 99-9

Page 315: _sWni7aGN

54A

0

a

- 2 -1.0 -0.8 -0.6 -0.4 -0.2 0.0

E / V(SCE)

5 li

0 b

b j

-1.2 -1.0 -08.8 -0.6 -0.4 -0.2 00E / V(SCE)

S5 l.A

C

-1.2 -1.0 -0.8 -0.6 -0.4 -0,2 0.0E / V(SCE)

Figure 4 - (a) Oxidative underpotential deposition of S on Ag(l 11) from 0.5amM Na 2S, as

recorded friom -1.15 to -0.75 V. (b) Reductive underpotential deposition of Zn on a S-

covered Ag(l 11) from 0.5 mM ZnSO 4, as recorded from -0.3 to -0.75 V. (c) Reductive

underpotential deposition of Cd on a S-covered Ag(1 11) from 0.5 mM CdSO4. as recorded

from -0. 15 to -0.75 V. All precursors were dissolved in a pH 9.2 anmmonia butler solution.

The scan rate is 40 mV s".

Electrochemical Society Proceedings Volume 99-9 305

Page 316: _sWni7aGN

a50 pA cm

2 a

-0'.8 -0.6 r / 4 -0.2 0.0

0b

-0.8 -0.6 -0.4 -0.2 0.0E/V(SCE)

I50 pA cm~

-0.8 -0.6 -0.4 -0.2 0.oE/V(SCE)

Figure 5 - Linear sweep voltammograrns for the oxidative strippings of 1.3,5. 7. 9. 10 Zn

layers (a); 1,3, 5, 8, 12, 15, 20 Cd layers (b) and the reductive strippings of2, 4.6, 9. 13. 16.

21 S la) ers (c). The scan rate is 10 mnV s"'.

306 Electrochemical Society Proceedings Volume 99-9

Page 317: _sWni7aGN

4000 1 1 1 1 1

0

3000

U 2000

1000

0 10 20 30 40 50 60

number of cycles

Figure 6 - Plots of the charge involved in the oxidative stripping of Cd ) and the

reductive stripping ofS ( ) as a function of the number of ECALE cycles.

0 I -0.5

-0.05 -0.55

U -0.15

S-0.15 -0.65 ¢

-0.2 -0.7

-0.25 - L-__ - .0.75

0 500 1000 1500

Q / gC cm-2

Figure 7 - (a) Plot of the peak potential Epa, for the stripping of cadmium deposited as CdS,

against the charge involved in the stripping. (b) The corresponding plot for cadmium

deposited as metallic CU here, the different amounts of cadmium were obtained by

depositing bulk cadmium at different times of accumulation.

Electrocheemical Society Proceedings Volume 99-9 307

Page 318: _sWni7aGN

Figure 8 - SEM micrographs of different magnifications of a 110 cycle CdS deposit. Marks

in the figure correspond to 20, 5, 1, 0.2 m i n the order of increasing magnification.

308 Electrochemical Society Proceedings Volume 99-9

Page 319: _sWni7aGN

Culnl.xGaxSe 2-BASED PHOTOVOLTAIC CELLS FROM ELECTRODEPOSITEDAND ELECTROLESS DEPOSITED PRECURSORS

R. N. Bhattacharya, W. Batchelor, J. Keane, J. Alleman, A. Mason, and R. N. NoufiNational Renewable Energy Laboratory, 1617 Cole Blvd., Golden, CO 80401, USA

ABSTRACT

We have fabricated 15.4%- and 12.4%-efficient CuIn,.xGaxSe 2 (CIGS)-based devices from electrodeposited and electroless deposited precursors.As-deposited precursors are Cu-rich films and polycrystalline oramorphous in nature. Additional In, Ga, and Se were added to theprecursor films by physical evaporation to adjust the final composition toCuInl.,Ga.Se 2. Addition of In and Ga and also selenization at hightemperature are very crucial to obtaining high efficiency devices. Thefilms/devices have been characterized by inductive-coupled plasmaspectrometry, Auger electron spectroscopy, X-ray diffraction, electron-probe microanalysis, current-voltage characteristics, and spectral response.

1. INTRODUCTION

Photovoltaic solar cells are a very attractive source of energy. At present, thephotovoltaic industry primarily uses wafers of single-crystal and polycrystalline silicon,which generally have a wafer thickness of > 150 gtm. The wafers must go through severalprocessing steps and then be integrated into a module. The high material and processingcosts make these modules relatively expensive. The modules prepared from thin-filmsemiconductor materials are expected to lower costs by significantly reducing the materialand processing costs. The thickness of the films can be as little as a few microns. Thedevelopment of photovoltaic device structures based on CuIn.Ga1_.Se 2 (CIGS) hasadvanced rapidly during the last few years. The direct energy gap of CIGS results in alarge optical absorption coefficient,' which in turn permits the use of thin layers (1-2[tm) of active material, and also allows the use of a material with a modest diffusionlength. CIGS solar cells are also known for their long-term stability.

Thin-film CIGS devices have already exhibited a performance efficiency of18.8%.2 Several research groups3-7 have prepared device-quality CIGS by using eitherone-step or multistep processes. In the one-step process, the CIGS thin film is grown bysimultaneous codeposition of Cu, In, Ga, and Se. The techniques used for one-stepprocesses are physical vapor deposition, chemical deposition, and electrodeposition.The multistep process involves, for example, the deposition of precursor films of In-Ga-Se in the first step, Cu-Se in the second step, and again, the deposition of In-Ga-Se in thefinal stage. To date, the techniques used for multistep processes are physical vapordeposition (PVD) and sputtering. The recent record-breaking high-efficiency device(18.8%) prepared in our research laboratory 2 is based on a multistep process using PVDof the elements. The PVD technique is difficult to scale up because of film non-

Electrochemical Society Proceedings Volume 99-9 309

Page 320: _sWni7aGN

uniformity and low material utilization. Sputtering techniques are suitable for large-areadeposition, however, they require expensive vacuum equipment and sputtering targets.Therefore, non-vacuum electrodeposition (ED) and electroless deposition (EL)techniques with a potential to prepare large-area uniform precursor films using low-costsource materials and low-cost capital equipment are very attractive for the growth ofCIGS layers for photovoltaic applications. The device fabricated using ED and EL CIGSprecursors resulted in an efficiency of 15.4% and 12.4%, respectively.

2. EXPERIMENTAL

Codepositions of Cu-In-Ga-Se by the ED and EL processes were performed froma bath containing 0.02-0.05 M CuCI2, 0.04-0.06 M InCI3, 0.01-0.03 M H2SeO 3, and 0.08-0.1 M GaC13 and 0.7-1 M LiCl dissolved in deionized water. The films were deposited ina vertical cell in which the electrodes (both working and counter) were suspendedvertically from the top of the cell. The ED precursors were prepared by using a three-electrode cell in which the reference electrode was Pt (pseudo-reference), the counterelectrode was a Pt gauze, and the substrate was Mo/glass. The films were electroplatedby applying a constant potential of -1.0 V. A Princeton Applied Researchpotentiostat/galvanostat Model 273 A with an IBM PC AT computer interface was usedfor the preparation of ED precursor films. The EL method is based on short-circuiting theconducting Mo substrate to an easily oxidizable redox component (e.g., Fe) in theelectrolyte bath. The Mo film on glass substrate was about I gtm thick and wasdeposited by DC sputtering. The ED and EL deposition experiments were performed atroom temperature (24QC) and without stirring. The deposited films were rinsed withdeionized water and dried in flowing N2 .

The as-deposited precursors are Cu-rich CIGS films. Additional In, Ga, and Sewere added to the precursor films by PVD to adjust the final composition toCulnl.xGaxSe 2. The substrate (precursor film) temperature during the PVD step was5600 ± 10°C. The films were also selenized by exposure to selenium vapor during thecool-down time (-40°C/min). Addition of In and Ga and also selenization at hightemperature are very crucial to obtaining high-efficiency devices. Photovoltaic deviceswere completed by chemical-bath deposition of about 50 nm CdS, followed by radio-frequency (RF) sputtering of 50 nm of intrinsic ZnO, and 350 nm of A120 3-dopedconducting ZnO. Bilayer Ni/AI top contacts were deposited in an e-beam system. Thefinal step in the fabrication sequence is the deposition of an antireflection coating (100 nmMgF 2). The final device configuration for all devices is MgF 2/ZnO/CdS/Culnl.

0Ga 0Se 2/Mo.

The films and devices were characterized by inductive-coupled plasmaspectrometry (ICP), Auger electron spectroscopy (AES), X-ray diffraction, electron-probe microanalysis (EPMA), current-voltage characteristics, and spectral response.

310 Electrochemical Society Proceedings Volume 99-9

Page 321: _sWni7aGN

3. RESULTS AND DISCUSSIONS

The electrodeposition of CIGS films (pH - 2) on cathode is most likely caused bythe combination of electrochemical and chemical reactions as follows:

M"++ne --4 M (1)H2 SeO 3 + 4H+ + 4e --> Se + 3H 20 (2)xM + ySe "- MxSey (3)

The electroless process for preparing CIGS precursor films is accomplished bythe combination of electrochemical and chemical reactions. The counter-electrode (Fe)initiates the electrochemical reaction. The electroless deposition of CIGS is most likelycaused as follows:

E vs SHE

Fe -- Fe2+ + 2e -0.447 [4]Cu+ + e - Cu 0.521 [5]Cu 2÷ + 2e -- Cu 0.342 [6]In3+ + 3e -- In -0.338 [7]Ga3+ + 3e -- Ga -0.549 [8]SeO3

2 + 3H 20 + 4e -- Se + 6 OH' -0.366 [9]Cu, In, Ga, Se -- CuxInyGa2Se. Chemical reaction [10]

The required reduction potential of Ga is higher than the oxidation potential of Feelectrode. The deposition potential is composed of the equilibrium reduction potentials(Eeq), the overpotential, and the ohmic potential drop (iR) in the solution. The restpotential of the deposition bath solution is about 0.3 V. The applied potential (E) duringcodeposition of Cu-In-Ga-Se using Fe electrode is (0.447 + rest potential), whichprobably make the Ga deposition possible.

The composition of the as-deposited ED and EL precursors precursor as analyzedby ICP was CuIn0.32Ga0 01Se0 93 and CuIno.35Gao01Seo99, respectively. The thickness ofthe ED and EL precursor films was about 2.2 and 1.5 gim, respectively. The compositionof the ED precursor firn was adjusted by adding about 3000 A Ga and 7200 A In byPVD step. The composition of the EL precursor film was adjusted by adding about the2500 A Ga and 5800 A In by PVD step. The final compositions of the CIGS absorberfilms prepared from ED and EL precursors, as determined by ICP and electron-probemicroanalysis, are CuIn072Ga04 7Se2.o5 and Culn0 83Ga022Se193, respectively. TheGa/(ln+Ga) ratios are 0.40 and 0.20 for the ED and EL cells, respectively.

Electrochemical Society Proceedings Volume 99-9 311

Page 322: _sWni7aGN

Fig. la. SEM photograph of the Fig. lb. SEM photoghraph of theelectrodeposited CIGS precursor film electroless CIGS precursor film

Fig. 1c. SEM photoghaph of the absorber Fig. 1d. SEM photohgaph of the absorberlayer prepared from ED precursor film layer prepared from EL precursor film

312 Electrochemical Society Proceedings Volume 99-9

Page 323: _sWni7aGN

Figures Ia and lb show the SEM photographs of the ED and EL precursor films,respectively. The SEM photographs reveal that EL precursor film has a much roughersurface compared with the ED precursor film. Figures lc and Id show the SEMphotograph of the absorber layers prepared from ED and EL precursor films. Theabsorber layer prepared from the ED precursor film is relatively more dense andcrystalline in nature. Figure 2 shows the AES survay scan of EL precursor films. Themain purpose of the survey was to find whether the EL-deposited film is beingcontaminated by an Fe counter electrode. The survey does not show any Fecontamination, but does show the presence of significant amount of oxygen. Identicalresults are obtained from the electrodeposited precursor films.

I20 I , I ,20

10

SO0 Se In !C tu S

0rSe

-10 Se Ga Se

In 0 C

-20x10

0 400 800 1200

Kinetic Energy (eV)

Fig. 2. AES survey of electroless precursor film (after I -min sputter etch).

Figure 3 shows X-ray diffraction data of the absorber CIGS film prepared fromED and EL precursor films after compositional adjustment. The as-deposited films wereamorphous or polycrystalline in nature. The absorber film after final film compositionadjustment shows only the CIGS phase. The International Center for Diffraction Datacard number used for the identification of CIGS X-ray peaks is 40-1487.8

Figures 4a and 4b show the compositional AES depth profile analysis data of theabsorber films prepared from ED and EL precursor films, respectively. The AES depthprofile analysis shows a non-uniform distribution of Ga concentrations in the film. Theabsorber layer prepared from ED precursor film has relatively more uniform distributionof Ga concentrations compared with the absorber layer prepared from the EL

Electrochemical Society Proceedings Volume 99-9 3 13

Page 324: _sWni7aGN

20x103

Mo (110)CIGS (1 2)

15•', CIGS

(220/204)CIGSED-absorber (312/116)

10

5CIGS

(2 20/20 4ýIGS

"EL-absorber (312/116)

0 ...10 20 30 40 50 60 700

2 Theta (degrees)

Fig. 3. X-ray diffraction data of the absorber layers prepared from ED and ELprecursor films (Y-axis for ED-absorber is offset by 10000)

precursor film. The Ga hump is not helpful for the electron collection mechanism. Weexpect to improve the device efficiencies by optimizing Ga distribution in the absorberlayers. The optimized layers should have less Ga in the front and more Ga on the back,which facilitates electron collection.

The final device configuration for all devices is MgF2/ZnO/CdS/CuInl.xGaxSe 2/Mo. Figures 5a and.5b show the current density-vs.-voltage (J-V) curves of thedevices prepared from ED and EL precursor films. The I-V characterization was carriedout at AMI.5 spectrum (ASTM E 892-87 Global) in which the intensity of illuminationwas 1000 W/m2. The solar cells made from the ED and EL precursor materials havedevice efficiencies of 15.4% and 12.4%, respectively. The quantum efficiencies of thecells under illumination (1000 W/m 2) is shown in Fig. 5c (ED device) and Fig. 5d (ELdevice). These figures indicate the bandgaps, Eg, of the ED and EL cells are 1.20 eV[Ga/(In+Ga) = 0.4] and 1.09 eV [Ga/(In+Ga) = 0.2], respectively.

314 Electrochemical Society Proceedings Volume 99-9

Page 325: _sWni7aGN

II I I I I

100 + Cu - 1.0

0 Gaa Se

80 * In - 0.8o 'Ga/(In+Ga)'

e 60 Se - 0.6 +

+"Ga/(In+Ga) --- 0.4Io Cu '

20 In 0.2

0 _ _t t t _ 0.0

0 1 2 3 4 5

Sputter Depth (jim)

Fig. 4a. Auger analysis data of the absorber layer prepared from ED precursor film

100 1.0

80- CU 0.8

*SeA InS 60 Se 0 'Ga/(In+Ga)' 0.6

S40- . _G/(In+GA) ---0 G0.4 I n

20 -IIn • 0.2

0 ___________________________ 0.0

0 1 2 3 4 5

Sputter Depth (gim)

Fig. 4b. Auger analysis data of the absorber layer prepared from EL precursor film

Electrochemical Society Proceedings Volume 99-9 315

Page 326: _sWni7aGN

14 16.. . . T-,

12

21

10

8 8C-

S 64 4

2

. . I0.0.00 0.25 0.50

0.00 0.25 0.50Voltage (V) Voltage (V)

Fig. 5a. I-V characteristics of an ED Fig. 5b. I-V characteristics of an ELdevice (Vo0 = 0.666 V, 'Sc = 12.76 device (V,, = 0.565 V, I1, = 13.90 mA,mA, Jsc =30.51 mA/cm2, Fill Factor Js, = 33.27 mA/cm 2, Fill Factor= 75.56%, Efflicency = 15.4%) 66.10%, Efficiency = 12.4%)

100O tft 100

[ 80_ 80

S 60S60

- • 40S40

S20.20

og 0 200 1000

500 1000 Wavelength (nm)Wavelength (nm)

Fig. 5c. Quantum-efficiency data of Fig. 5d. Quantum-efficiency data ofan ED device an EL device

316 Electrochemical Society Proceedings Volume 99-9

Page 327: _sWni7aGN

CONCLUSION

The ED and EL deposition processes are simple and fast, and they can synthesizebinary or multinary precursors for subsequent processing into high-quality CIGS thin-film absorbers for solar cells. The device fabricated using ED precursor layers resulted inefficiencies as high as 15.4%. The quality of CIGS-based films and devices prepared fromED precursors is very promising. This may lead to novel, fast, and low-cost methods forsolar-cell absorber fabrication.

ACKNOWLEDGMENTS

This work was supported by Davis, Joseph & Negley (California Corporation, Work-for-Others Contract No. 1326) and also by the U.S. Department of Energy under contractDE-AC36-98-GO10337.

REFERENCES

I. A. Rockett and R. W. Birkmire, J Appl. Phys. 70, R81 (1991).2. M. A. Contreras, B. Egaas, K. Ramanathan, J. Hiltner, A. Swartlander, F. Hasoon,

and R. Noufi, Progress in Photovoltaics, July, (1999).3. J. R. Tuttle, J. S. Ward, A. Duda, T. A. Berens, M. A. Contreras, K. R. Ramanathan,

A. L. Tennant, J. Keane, E. D. Cole, K. Emery, and R. Noufi, Mat. Res. Soc. Symp.Proc., 426, 143 (1996).

4. K. Kushiya, M. Tachiyuki, T. Kase, I. Sugiyama, Y. Nagoya, D. Okumura, M.Satoh, I. Sugiyama, 0. Yamase, H. Takeshita, Solar Energy Materials and Solar Cells49, 277 (1997).

5. L. Stolt, J. Heldstrom, J. Kessler, M. Ruckh, K.O. Velthaus, and H. W. Schock,Apple. Phys. Lett., 62, 597 (1993).

6. N. Kohara, T. Negami, M. Nishitani, and T. Wada, Jpn. J. Appl. Phys. 34, L 1141(1995).

7. R. N. Bhattacharya, W. Batchelor, H. Wiesner, F. Hasoon, J. E. Granata, K.Ramanathan, J. Alleman, J. Keane, A. Mason, R. J. Matson, and R. N. Noufi, JElectrochem. Soc., 145, 3435 (1998).

8. D. Suri, K. Nagpal, and G. Chanda, J. Apple. Crystallogr., 22, 578 (1989).

Electrochemical Society Proceedings Volume 99-9 317

Page 328: _sWni7aGN

ELECTROCHEMICAL DEPOSITION OF GOLD ON N-TYPE SILICON

Gerko Oskam and Peter C. SearsonDepartment of Materials Science and Engineering

The Johns Hopkins UniversityBaltimore, MD 21218

The electrochemical deposition of gold on n-type silicon from KAu(CN)2solutions was investigated by performing a detailed study of the nucleationand growth kinetics. Deposition occurs by progressive nucleation anddiffusion limited growth of 3-D hemispherical islands over a wide range ofpotentials and KAu(CN)2 concentrations. Gold films were prepared bynucleation at a potential where the nucleus density is high, followed bygrowth under kinetic control. The films were continuous and poly-crystalline with a <111> texture, and the electrical properties of the Si/AuSchottky junctions were comparable to junctions prepared by evaporation.

INTRODUCTION

Semiconductor / metal junctions have wide applications in electronic devices either asa Schottky junction or an ohmic contact. In the fabrication of chips, metal layers aredeposited in many production steps and is often achieved through sputtering or vapordeposition. Although the deposition of thin, continuous metal films onto semiconductorsurfaces has been largely overlooked, electrochemical deposition techniques have severaladvantages including low cost, high deposition rate, and good conformal deposition ontostructures of complex geometry. Electrochemical metal deposition onto metals and otherconducting materials is used in a variety of applications, including printed circuit boards,through-hole plating, multilayer read/write heads, and copper metallization (1-6).

In order to obtain continuous, adherent metal films on semiconductors or other non-metallic layers, the influence of deposition mechanisms on the film properties must bedetermined in order to develop a method for the formation of high quality films. In manycases, deposition of the metal proceeds through three dimensional island nucleation andgrowth, which has been exploited by depositing metal islands that act as catalyst tospecific charge transfer reactions (7,8).

In this paper, we report on the mechanisms of nucleation and growth of gold onto n-type silicon using electrochemical techniques such as current-potential curves and currenttransients, and imaging techniques such as scanning electron microscopy and transmissionelectron microscopy. We show that knowledge of the nucleation and growth mechanismscan be applied to determine the experimental conditions for the deposition of high qualitymetal films onto silicon.

318 Electrochemical Society Proceedings Volume 99-9

Page 329: _sWni7aGN

EXPERIMENTAL

All experiments were performed on (100) n-type silicon (Wacker Siltronic, AG) witha resistivity of 3 Q cm (ND = 1x10 15 cm-Y). Prior to experiments the samples weresequentially cleaned ultrasonically for 10 minutes in acetone, ethanol, and water. Thewater was distilled and deionized (Millipore) and had a resistivity of 18 MQ cm. Theohmic contact was provided by applying InGa eutectic on the back side after treatment in48% HF for 10 s. The samples were then mounted in a clamp-on cell with an o-ring; thegeometric surface area was 2.8 cm 2 in all cases. The reference electrode was Ag/AgCI in 3M NaCI and was positioned close to the silicon sample using a Luggin capillary; thecounter electrode consisted of a platinum gauze. All potentials reported in this paper aregiven with respect to the Ag/AgCI reference. The experiments were performed in ambientconditions.

Current-potential and current transient experiments were performed using a EG&GPAR 273 potentiostat and Corrware software. Experiments on the Si/Au junctions wereperformed on a Solartron ECI 1286 and a FRA 1255; the experiments were done in thedark. Scanning electron microscopy was performed on an AMRAY 1860 FE at anacceleration voltage of 5 kV. The samples were flash-coated with carbon before loadinginto the chamber. Atomic Force Microscopy (AFM) was performed using a TopometrixDiscoverer system.

RESULTS AND DISCUSSION

Figure 1 shows an energy band diagram for silicon in aqueous solutions at pH 14 andpH 1. The energetic position of the silicon band edges is dependent on the pH, and thetwo most commonly used redox couples for the deposition of gold are shown. It can beseen that the acceptor levels of AuCI4" have an overlap with the silicon valence band. Asa consequence, gold is deposited under open circuit conditions by the displacementmechanism. However, silicon is oxidized in the process and the deposition process isself-limiting. There are various ways to circumvent these problems, however, good filmshave not been obtained in this solution (9). In the pH 14 solution, the flat band potentialfor n-Si(100) is about -1.1 V(Ag/AgCl) (10-12). The standard equilibrium potential forthe Au/Au(CN)2" redox couple is considerably more negative than for AuCI4 " at -0.82V(Ag/AgCI) (13). Therefore, in this case gold deposition is expected to occur by chargetransfer from the conduction band to the solution:

Au(CN)2" + e-(CB) -4 Au + 2 CN- []

The equilibrium potential, Ueq, is given by:

Ueq = U0eq + 0.059 log { [Au(CN) 2- ]/[CN- ]2 } [2]

The density of conduction band electrons at the surface is dependent on the band bending,which can be adjusted by the applied potential. Hence, the deposition rate can becontrolled by means of the applied potential.

Electrochemical Society Proceedings Volume 99-9 319

Page 330: _sWni7aGN

Current - potential characteristics

Figure 2 shows current - potential curves for n-type silicon (100) in 1 M KOHsolutions with various concentrations of KCN, and with and without 50 mM KAu(CN) 2.Curves (a), (b), and (c) correspond to I M, 0.2 M, and 0.008 M KCN in 1 M KOH,respectively. Curves (d) and (e) correspond to the first and third scan in 50 mMKAu(CN) 2 + I M KCN + I M KOH. In the absence of KAu(CN)Z, a large cathodiccurrent due to hydrogen evolution is observed at potentials more negative than about - 1.9V. The cathodic current plateau at about -1.5 mA cm-2 in the range from -1.85 V to -2 Vis related to high cyanide concentrations and disappears for concentrations lower than 0.1M KCN. Hence, the presence of cyanide results in a suppression of hydrogen evolutionprobably due to adsorption of cyanide. At hydrogen evolution currents smaller than ImA cm-2, the current - potential curves are independent of the cyanide concentration,which suggests that the silicon band edges do not shift as a function of the cyanideconcentration. In all cases, a significant anodic current is not observed which is due to therectifying properties of the n-type silicon / solution interface.

In the solutions containing KAu(CN) 2, a current corresponding to the reduction ofAu(CN) 2 is observed in the first scan with an onset at about -1.25 V, and a current peakis observed at -1.30 V with a maximum of-7.2 mA cm-2. The observation of a peak in thecurrent - potential curve indicates that the deposition of gold becomes diffusion limitedafter nucleation has occurred. At about -1.65 V the current increases again due tohydrogen evolution. In this case, hydrogen evolution occurs preferentially at the goldclusters since the curve is shifted by about 0.4 V to more positive potentials with respectto hydrogen evolution at the silicon surface. The onset of gold deposition in the thirdsweep is about 0.2 V more positive than in the first scan and subsequent sweeps are thesame, indicating that a steady state situation is reached. The shift of the onset potentialfor deposition at silicon covered with gold as compared to bare silicon indicates that anucleation overpotential is required for the nucleation of gold on the silicon surface.

On the reverse sweeps, gold deposition continues to about -1.10 V and a strippingcurrent is not observed indicating that gold deposition on n-type silicon is not reversible.This is caused by two effects: (i) in the dark, the density of holes in the valence band isvery low so that the oxidation rate due to valence band holes is low and, (ii) the barrierheight of the n-Si/Au contact is large, hence, gold cannot be oxidized since the energybarrier for electron transfer from the gold to the conduction band is large.

The potential range where gold deposition and hydrogen evolution take place isnegative with respect to the flat band potential, hence, the silicon is expected to be inaccumulation and the electron density at the surface is higher than in the bulk. Note thatthe surface electron concentration in this case is still several orders of magnitude lowerthan at metal surfaces, which may have a significant effect on the depositioncharacteristics.

Figure 3 shows current - potential curves (b-f) for 5 solutions with 2 mM KAu(CN) 2+ 1 M KOH with different concentrations of KCN, hence, with different equilibriumpotentials for the Au(CN) 2 / Au redox couple. The scan rate was 10 mV s-I in all cases.The KCN concentration was varied from 2 M (curve b), corresponding to a redoxpotential of-l.01 V, to 0.02 M (curve e) which corresponds to a redox potential of -0.78V. Curve a corresponds to the current - potential characteristics in 0.04 M KCN at pH14. It can be seen that the gold deposition peak shifts to more negative potential with

320 Electrochemical Society Proceedings Volume 99-9

Page 331: _sWni7aGN

more negative redox potentials, while the maximum current is independent of the redoxpotential at about -0.35 mA cm-2. The peak current is about a factor 21 smaller than inthe 50 mM KAu(CN)2 solution which shows that the current at the maximum isessentially proportional to the KAu(CN) 2 concentration

Figure 4 shows the equilibrium potential, the potential at the current peak, the opencircuit potential (OCP) before the first scan, and the open circuit potential after the firstscan as a function of the concentration ratio [Au(CN)2-]/[CN-] 2. The equilibriumpotential shifts with 59 mV per decade according to equation [2] (13). The initial opencircuit potential is essentially independent of the concentration ratio, indicating that theopen circuit potential is not defined by the potential of the gold redox couple, but iscontrolled by the interaction between the silicon surface and the aqueous solution at pH14 (10,11). This indicates that nucleation of gold does not takes place at OCP, which isconsistent with the observation that a nucleation overpotential is required in order todeposit gold onto the silicon surface.

The open circuit potential after the first scan, where approximately 20 equivalentmonolayers gold are present on the surface, is linear with the concentration ratio with aslope of 59 mV per decade, and is close to the gold redox potential. This indicates thatafter gold deposition, the open circuit potential is determined by the equilibrium of theAu(CN) 2 / Au couple. Note that the reaction is not expected to be reversible since thegold is only connected to the external circuit via the silicon wafer.

The potential at the current maximum is linear with the concentration ratio with aslope of about 43 mV per decade. This indicates that the current maximum represents anintermediate case where the current is not completely determined by the energetics of theredox couple but also not by the surface energetics of the silicon.

Current transients

The nucleation and growth mechanisms can be determined using current transienttechniques. Upon applying a potential step from an initial potential where the nucleationrate is negligible to a fixed overpotential, the formation of stable nuclei and their growthcan be observed directly by monitoring the current. Nucleation of a metal on a foreignsubstrate is generally assumed to take place at active sites on the surface, such as steps,kinks, or other surface defects (14-18). The density of active sites corresponds to thetotal number of possible sites for nucleation. Depending of the nature of the site, theactivation energy for nucleation may vary, which can lead to a potential dependence ofthe number of utilized active sites, N0 . The density of nuclei as a function of time at aconstant potential, N(t), is usually described in terms of a growth law with a nucleationrate constant, A:

N(t) = No {1 - exp (-At)} 13]

From equation [3] two limiting cases can be identified. If A is large and At » I at shorttimes then N(t) = No immediately after the pulse. Conversely, if A is small and At - 1at short times then N(t) = AN0t, and the density of nuclei increases linearly with time.The first case corresponds to instantaneous nucleation and the second case refers toprogressive nucleation.

Electrochemical Society Proceedings Volume 99-9 321

Page 332: _sWni7aGN

The growth of nuclei can be either kinetically or diffusion limited or under mixedcontrol. In many cases, it is observed that diffusion of metal ions to the surface is ratelimiting. As the growth becomes diffusion limited, the diffusion zones around individualnuclei will start to overlap, and linear diffusion to the planar surface may occur beforenuclei impinge on each other. If nucleation is progressive, the development of diffusionfields may block active sites for nucleation, leading to the situation where the final nucleusdensity is smaller than No (18-20).

Figure 5 shows the nucleus density as a function of time for deposition from 2 mMKAu(CN) 2 + 0.2 M KCN (pH 14) at a potential of -1.30 V. In order to ensure that allnuclei were observed in SEM images, samples were prepared in the following way. Goldclusters were nucleated for different times at -1.30 V followed by growth of the clustersat -1.10 V. From Figure 5 it can be seen that no further nucleation occurs at -1.10 V. Inall cases, the total deposition charge was 3.0 mC cm-2 corresponding to 13.5 equivalentmonolayers of gold. From Figure 3 it can be seen that at times shorter than about 0.9 sthe nucleus density increases linearly with time corresponding to progressive nucleation.At longer times, the nucleus density becomes independent of time indicating that either allnucleation sites have been occupied or that remaining nucleation sites have been screenedby the expanding diffusion fields around existing nuclei.

The time dependent deposition current density (normalized on the geometric surfacearea), i(t), for progressive nucleation followed by three dimensional diffusion limitedgrowth is (18):

i(t) =z F D ' c it"t-1/2 I-exp(-2A Norlt t2 f8t cVmlr) [4]

where D is the diffusion coefficient, c is the metal ion concentration in the bulk solution,and Vm is the molar volume. The current initially increases with time due to 3-Ddiffusion to an increasing metal surface area, and then decreases as the diffusion zonesaround the growing nuclei start to overlap resulting in a 1-D diffusion limited current to aplanar surface.

Figure 6 shows current transients for potential steps from the open circuit potentialto various deposition potentials for the 2 mM KAu(CN) 2 + 0.2 M KCN (pH 14)solution. At long times, the transients in the range from -1.55 V to -1.35 V all convergeon a decay curve governed by linear diffusion to a planar surface according to the Cottrellequation. At -1.65 V and -1.60 V, the current after the maximum is significantly higherwhich can be ascribed to the co-reduction of water to hydrogen. This interpretation is inagreement with the current - potential curve shown in Figure 3 (curve (d)) where the onsetof hydrogen evolution on a partly gold-covered surface is observed at about -1.60 V.

The nucleation mechanism can be determined by comparing the results to theprogressive nucleation model by rewriting equation (4] in terms of the maximum current,imax, and the time at which the maximum current is observed, tnax :

i2 / i.2 ax_= 1.2254 (tmax / t) - exp ( 2.3367(t2/t~a) [5]

322 Electrochemical Society Proceedings Volume 99-9

Page 333: _sWni7aGN

The time, t, in equations [4] and [5] represents the time with respect to the onset of thedeposition current, i.e., t is corrected for the induction time, to. The induction time isusually related to the time required to form a stable nucleus.

The inset in Figure 6 shows the reduced parameter plots for the transients at -1.65 V,-1.55 V, -1.45 V, and -1.29 V. The theoretical curves for progressive nucleation (solidline) and instantaneous nucleation (dotted line) and diffusion limited growth are alsoshown. The transient at -1.65 V agrees with the progressive nucleation model before themaximum. After the maximum, the current is increased due to simultaneous reduction ofwater which only becomes significant after the gold clusters have grown to a sufficientsize. In the potential range from -1.55 V to -1.40 V, the plots agree very well with theprogressive nucleation and growth model. At potentials more positive than -1.35 V, theexperimental results deviate from the progressive nucleation and diffusion limited growthmodel at longer times. This is most likely due to a mixed charge transfer / mass transportcontrol. Current transients were also recorded for solutions with 50 mM, 10 mM, and0.5 mM KAu(CN)2. Analysis showed that in all cases gold deposition proceeds throughprogressive nucleation and diffusion limited growth.

The time and current at the maximum in the current transients versus the depositionpotential for various concentrations of KAu(CN) 2 were found to be exponentiallydependent on the deposition potential with inverse slopes of 166 mV per decade and -325mV per decade, respectively. The value for t is very weakly dependent on theKAu(CN)2 concentration while i is strongly'expendent on concentration. Both thepotential and the concentration nexpendence of tmax and imax indicate that the onlypotential dependent parameter in the nucleation process is the nucleation rate (9).

For progressive nucleation, the nucleation rate can be determined through Jnuci =dN(t) / dt = AN0 , which can be obtained from the maximum in the current transients usingthe following relation:

AN 0 = 0.2898 (8Tc Vm)112 (zFc)2 [6].2 a t,ma tmax

Figure 7 shows log(AN0 ) versus the potential for KAu(CN)2 concentrations ranging from0.5 mM to 50 mM. The relationship is linear between -1.4 V and -1.7 V, and essentiallyindependent of the concentration. The inverse slope in this potential region is -78 mV perdecade. In the potential range positive of -1.40 V, the inverse slope of the curve issignificantly smaller at about -21 mV per decade.

There are various models for the potential (i.e. supersaturation) dependence of theheterogeneous nucleation rate. According to the small cluster model developed by Walton(21) and Stoyanov (22), the formation of a cluster can be treated as a sequence ofattachment and detachment steps. In equilibrium, the attachment and detachment ratesare equal, whereas supersaturation leads to an increase in the attachment rate and growthof the cluster. The result of this theoretical analysis is the following expression for thenucleation rate, Jnuci (15):

Jm,= A3Dexp(P eI lI/kT)exp(NcriteIl /kT) [7]

where Ncrit is the number of atoms required to form a critical nucleus, and Jil is theabsolute overpotential; note that the overpotential is negative for bulk metal deposition.

Electrochemical Society Proceedings Volume 99-9 323

Page 334: _sWni7aGN

The pre-exponential factor A*3n is independent of potential as long as Ncrit is potentialindependent, and the factor P depends on the mechanism of attachment. Equation [7]reduces to a classical Volmer-Weber type model for nucleation if Ncrit >> P. The totalpotential dependence of the nucleation rate in an overpotential range where Ncrit isconstant according to the atomistic model is thus given by:

d log(J, .o ,) e - crit+ [8]

dIil - kTln(l0) [

The value of 0 in equations [7] and [8] results from the attachment probability of oneatom to a cluster, thus converting the cluster into a critical cluster. By plotting log(Jnucl)versus Irll the value of Ncrit can be determined. Note that in order to apply equation [8],the overpotential needs to be defined as a function of the applied potential. This is notstraightforward, as the applied potential is partitioned over the semiconductor spacecharge layer and the Helmholtz layer; in addition, the partitioning may change upondeposition of a metal cluster. However, as long as the gold deposit is in equilibrium withthe silicon, i.e. the Fermi energies are aligned, it may be justified to apply equation [8]using the applied potential instead of the overpotential (9,23). Figure 7 shows thatbetween -1.4 V and -1.7 V the inverse slope is -78 mV per decade, which corresponds toNcrit = 0 assuming that P is between 0.5 and 1. This result suggests that a gold atomadsorbed on an active site can be considered as a stable cluster (24). This explains thelarge potential range of more than 0.3 V where the log(AN,) versus potential curve islinear, as Ncrt cannot decrease further upon applying more negative potentials. Valuesfor Nr. on tue order of I atom have been reported for both metal-on-metal deposition(e.g. , and for metal-on-semiconductor deposition (23,25). In the potential rangepositive of -1.40 V, the inverse slope of the curve is significantly smaller at about -21 mVper decade, which leads to N .t = 2 - 3. As a consequence, the nucleation processappears to be less favorable which agrees with the deviations observed in the transientanalysis curves.

Figure 8 shows the nucleus density as a function of the applied potential determinedby SEM and AFM. The samples were prepared by deposition of 3 - 5 mC cm- 2 at eachpotential. At all potentials, the deposition time was larger than tmax so that the nucleusdensity is at the maximum value (see Figure 5). At potentials close to the onset of golddeposition, the nucleus density increases sharply with increasing negative potential, whileat potentials more negative than about -1.30 V the nucleus density is constant. Thenucleus density for the samples in 2 mM KAu(CN) 2 is slightly lower than for the 50 mMKAu(CN) 2 solution. The observation that the nucleus density is independent ofpotential at negative potentials shows that the potential dependence of the nucleation rate(Figure 7) is derived from the potential dependence of the nucleation rate constant, A.The deviation from linearity seen in Figure 7 at potentials more positive than -1.30 V isdue to the decrease in the nucleus density in that potential range. This suggests that thenucleation rate constant is exponentially dependent on the applied potential with thesame activation energy in the entire potential range.

Preparation of gold films on n-type silicon

From analysis of the nucleation and growth mechanism, conditions for the depositionof adherent, continuous gold films can be determined. First, a nucleus density on the

324 Electrochemical Society Proceedings Volume 99-9

Page 335: _sWni7aGN

order of 1 0 10 cm- 2 was generated with a potential pulse of 30 - 60 ms at -1.50 V to -1.70V, using a 50 mM KAu(CN) 2 solution. The short pulse ensures that the nuclei do notcoalesce under diffusion control. The potential was then stepped to about -1. 1 V wherethe deposition current was about 0.5 mA cm- 2 , which corresponds to about 20% of thediffusion limited value. Hence, the nuclei are grown under mainly kinetic control untilthey coalesce to form a continuous film. The gold films deposited by this method werebright and exhibited good adhesion to the silicon substrate. X-ray measurements showedthat the films were polycrystalline with a < 111 > texture, indicating that the nuclei are notepitaxial with the Si(100) surface. TEM showed that the gold films are continuous, withgrain sizes on the order of 50-70 nm. The average film thickness was determined to be 90nm, which is in good agreement with the value obtained from the charge passed.

The electrical properties of the electrochemically deposited Si / Au films werecharacterized by measuring the barrier height and ideality of the the junctions (26). Aplot of C- 2 (where C is the measured capacitance) versus the applied bias was found to belinear with an intercept at C-2 = 0 of -0.51 V, which leads to a barrier height of 0.79 eV.The forward current (corrected for the reverse bias current) versus the applied bias on asemi-logarithmic plot was also linear over about 5 orders of magnitude, and from the slopeof the current - voltage curve the ideality factor was determined at 1.2 which shows thatthese junctions are nearly ideal. The saturation current was determined to be 2.8 x 10-7 Acm-2 from which a barrier height of 0.80 eV is obtained. The values for the barrier heightdetermined by the two different methods are in excellent agreement indicating the absenceof either an interfacial layer or electrically active surface states. These characteristics arecomparable to high quality junctions obtained by sputter deposition or evaporation (26).

CONCLUSIONS

The deposition of gold on n-type silicon (100) occurs by progressive nucleation of 3Dhemispherical islands followed by diffusion limited growth. The density of gold clustersincreased linearly with time (progressive nucleation) up to about t = tmax, and saturated atlonger times at about 1010 cm-2 . The nucleus density increased with potential close to theonset potential for gold deposition, while at more negative potentials the nucleus densitywas constant at about 1010 cm-2 . Gold films were prepared by a two step technique.Transmission electron microscopy confirmed that the gold films were continuous andpolycrystalline. The electrical properties of the electrochemically prepared n-Si(100)/AuSchottky junctions were comparable to junctions prepared by evaporation or sputtering.

ACKNOWLEDGEMENTS

The authors acknowledge support from the National Science Foundation under GrantNo. CTS-9732782. The authors thank D. van Heerden for the help with TEM.

REFERENCES

1. L.T. Romankiw, and T.A. Palumbo, in Electrodeposition Technology, Theory andPractice, eds. L.T. Romankiw and D.R. Turner, The Electrochemical Society,

Electrochemical Society Proceedings Volume 99-9 325

Page 336: _sWni7aGN

Pennington, NJ (1988) p. 13 .2. P.C. Searson and T.P. Moffat, "Electrochemical Surface Modification and Materials

Processing", Critical Reviews in Surface Chemistry, 3, 171 (1994).3. D. Edelstein, J. Heidenreich, R. Goldblatt, W. Cote, C. Uzoh, N. Lustig, P. Roper, T.

McDevitt, W. Motsiff, A. Simon, J. Dukovic, R. Wachnik, H. Rathore, R. Schulz, L. Su,S. Luce, and J. Slattery, Proc. IEEE-IEDM, 43, 773 (1997).

4. J. Li, T.E. Seidel, and J.W. Mayer, MRS Bulletin, 19, 15 (1994).5. S.-Q. Wang, MRS Bulletin, 19, 30 (1994).6. G. Oskam, P.M. Vereecken, and P.C. Searson, J. Electrochem. Soc., 146, 1436 (1999).7. A. Heller, E. Aharon-Shalom, W.A. Bonner, and B. Miller, J. Am. Chem. Soc., 104, 6942

(1982).8. Y. Nakato, K. Ueda, H. Yano, and H. Tsubomura, J. Phys. Chem., 92, 2316 (1988).9. G. Oskam, J.G. Long, A. Natarajan, and P.C. Searson, J. Phys. D.: Appl. Phys., 31, 1927

(1998).10. P. Allongue, V. Costa-Kieling, and H. Gerischer, J. Electrochem. Soc., 140, 1009 (1993).11. P. Allongue, V. Costa-Kieling, and H. Gerischer, J. Electrochem. Soc., 140, 1021 (1993).12. O.J. Glembocki, R.E. Stahlbush, and M. Tomkiewicz, J. Electrochem. Soc., 132, 145

(1985).13. M. Beltowska-Brzezinska, E. Dutkiewicz, and W. Lawicki, J. Electroanal. Chem., 99, 341

(1979).14. Southampton Electrochemistry Group, Instrumental Methods in Electrochemistry, Ellis

Horwood, New York, (1990).15. E. Budevski, G. Staikov, and W.J. Lorenz, Electrochemical Phase Formation and Growth,

VCH, Wenheim, 1996.16. G. Gunawardena, G. Hills, and I. Montenegro, J. Electroanal. Chem., 138, 241 (1982).17. G. Gunawardena, G. Hills, I. Montenegro, and B. Scharifker, J. Electroanal. Chem., 138,

225 (1982).18. B.R. Scharifker, and G.J. Hills, Electrochim. Acta, 28, 879 (1983).19. G. Gunawardena, G. Hills, and I. Montenegro, J. Electroanal. Chem., 138, 241 (1982).20. A. Milchev,E. Vassileva, and V. Kertov, J. Electroanal. Chem., 107, 323 (1980).21. D. Walton, in Nucleation, ed. Zettlemoyer, Marcel Dekker, (1969).22. S. Stoyanov, in Current Topics in Materials Science, Vol. 3, ed. Kaldis, North Holland,

Amsterdam, (1978).23. G. Scherb and D.M. Kolb, J. Electroanal. Chem., 396, 151 (1995).24. A. Milchev and E. Vassileva, J. Electroanal. Chem., 107, 337 (1980).(1997).25. P.M. Vereecken, K. Strubbe, and W.P. Gomes, J. Electroanal. Chem., 433, 19 (1997)26. E.H. Rhoderick and R.H. Williams, Metal-Semiconductor Contacts, Oxford, New York

(1978).

326 Electrochemical Society Proceedings Vohlme 99-9

Page 337: _sWni7aGN

FIGURES

-U (V vs. Ag/AgCl) -U (V vs. Ag/AgCI)

W(E)W(E) o

...............................e -

E. ECB V d0 0-

0.5 0.5I vB -- u- -8 11U'

t -2 15 -IpH 14 pH 1 U (V vs. Ag/AgCI)

Figure 1: Energy band diagram for n-Si Figure 2: Current-potential curves for n-(100) in contact with aqueous solutions at Si(100) in aqueous solutions at pH 14 with:pH 14 and 1, with the redox couple Au/ (a) I M KCN, (b) 0.2 M KCN, and (c) 0.008Au(CN)2 (the Au(CN)2 levels are shown) M KCN. Curves (d) and (e) refer to the firstand Au/AuCl4-. Deposition from Au(CN)2 " and third sweeps in 50 mM KAu(CN) 2can occur by electron transfer from the solution with I M KCN at pH 14. The scanconduction band, while AuCl 4 can inject rate was 10 mV s-1 in all cases.holes into the valence band.

.... ...........1 -

0.2 ."J"> --1.2•

-0.4 , c e -1.4

-15 -0 1o-4 to- 3

1o-2

t0o- t 10

U (V vs Ag/AgCt) [Au(CN)"] / [CN-]2

Figure 3: Current - potential curves (first Figure 4: The equilibrium potential (in),scan) for n-Si(100) in 2 mM KAu(CN) 2 the OCP before the first scan (0), the peaksolution at pH 14 with: (b) 2 M KCN, (c) potential in the first scan (A), and the OCP0.6 M KCN, (d) 0.2 M KCN, (e) 0.06 M after the first scan () for n-Si in the 2 mMKCN, and (f) 0.02 M KCN. Curve (a) shows KAu(CN) 2 solutions at pH 14 at the samethe curve for silicon in 0.04 M KCN (pH KCN concentrations as in Figure 3 versus14). The scan rate was 10 mV s-1. the concentration ratio.

Electrochemical Society Proceedings Volume 99-9 327

Page 338: _sWni7aGN

I~il 0lolx

5-e -

109 - 0E.5/

.'d

0 1 t/t 2 3

108 ,10, 0. , max

0.1 1 10 0.1 0.2 0.3 0.4 0.5

t (s) t (s)

Figure 5: The nucleus density as a func- Figure 6: Current transients in 2 mMtion of the pulse length for deposition at - KAu(CN) 2 with 0.2 M KCN at pH 14 for1.30 V from 2 mM KAu(CN)2 + 0.2 M KCN potential steps from the OCP to: (a) -1.65(pH 14) determined using SEM. The nuclei V, (b) -1.60 V, (c) -1.55 V, (d) -1.50 V, (e) -

were grown at -1.1 V after the nucleation 1.45 V, (f) -1.40 V. The inset shows the

pulse so that the deposition charge was -3.0 dimensionless plots at: (a) -1.65 V, (b) -1.55

MC cms2 in all cases. V, (c) -1.45 V, and (d) -1.29 V. The solidmC mand dotted lines correspond to the curves for

progressive and instantaneous nucleation anddiffusion limited growth, respectively.

i0I I I 101

10- , 0

3 10-01000

5 108

100

oo

0 1 I I , I , i I

-1.8 -1.6 -1.4 -1.2 -1.8 -1.6 -1.4 -1.2

U (V vs. Ag/AgCI) U (V vs. Ag/AgCI)

Figure 7: The logarithm of the nucleation Figure 8: The nucleus density versus therate, AN 0 , versus the applied potential for applied potential for a 2 mM KAu(CN)2 +experiments in four concentrations of 0.2 M KCN (pH 14) solution, determinedKAu(CN) 2 : (LI) 50 mM, ( ) 10 mM), (o) 2 from AFM. Also shown is the nucleusmM, and (V) 0.5 mM. density obtained from SEM using a 50 mM

KAu(CN) 2 +1 M KCN (pH 14) solution.

328 Electrochemical Society Proceedings Volume 99-9

Page 339: _sWni7aGN

CO-DEPOSITION OF AU-SN EUTECTIC SOLDER USINGPULSED CURRENT ELECTROPLATING

J. Doesburg and D. G. IveyDepartment of Chemical and Materials Engineering

University of AlbertaEdmonton, Alberta, Canada

T6G 2G6

ABSTRACT

Au-30at.%Sn eutectic solder is used in optoelectronic applications,particularly to join InP devices to the submount. The solder can beapplied using solder preforms, paste, electron-beam evaporation orelectrodeposition. In this study, pulsed current electrodeposits wereformed using a solution based on: 200 g/l ammonium citrate, 5 g/lKAuCI4, 2-5 g/l SnCl2-2H 20, 60 g/l sodium sulfite, 15 g/l L-ascorbic acid,and 0.01-0.11M ethylene diamine. The effects of changing the ethylenediamine and SnCl2-2H 20 concentrations on the structure of the depositswere observed using scanning electron microscopy and x-ray diffraction.The addition of ethylene diamine to the Au/Sn plating solution leads to ahigher deposition rate, as well as a coarser grain structure. Decreasing theSn content in the solution leads to a lower Sn content in the resultingdeposit. Increasing the average current density during plating affects thehomogeneity of the structure in the electroplated deposit, with a loss ofpreferred orientation.

BACKGROUND

Au-30at.%Sn eutectic solder is used in optoelectronic applications, particularly to joinInP devices to the submount in a flip-chip assembly. The submount is generally CVDdiamond, and the solder serves the purpose of heat dissipation, mechanical support andelectrical conduction. The most commonly used solders for bonding in electronicpackaging are based on the Pb-Sn system. These alloys have low melting temperatures(183 0C - 312°C), and are characterized by high creep rates and stress relaxation, as wellas surface and microstructural changes.' For optoelectronic devices, higher melting Aueutectic alloys are used, such as Au-Sn (2780C), Au-Ge (361'C) and Au-Si (364°C). Theadvantages of the higher melting solders include increased thermal stability and long termreliability. 2 The Au-30at.%Sn solder has some advantages over the other Au basedsolders in that it has the highest strength, lowest elastic modulus and lowest meltingtemperature of this group of solders. The Au-Sn solder also has a high thermalconductivity compared to other solders, which makes it an attractive choice for packageswhich run hot, such as laser devices.

Electrochemical Society Proceedings Volume 99-9 329

Page 340: _sWni7aGN

Au-30at.%Sn solder can be applied using solder preforms (20-50grm in thickness),paste, electron-beam evaporation or electrodeposition. Solder preforms are problematicfor flip-chip applications due to alignment trouble and oxidation of the solder prior tobonding. Solder paste also suffers from oxidation prior to bonding, in addition to thepossibility of solder contamination during bonding from the organic binder in the paste.Electron-beam evaporation and electrodeposition are advantageous for Au-Sn solderdeposition in that the oxide formation prior to bonding can be reduced and the thicknessand position of the solder can be closely controlled. The sequential evaporation of Auand Sn layers to produce a deposit of desired composition has been successfullyemployed, 24 along with co-evaporation techniques.5 The electrodeposition of Au-Snsolder has followed the method of plating Au and Sn layers sequentially from separateAu and Sn solutions.6 A slightly acidic solution for the co-deposition of Au-Sn soldercomposed of 200 g/l ammonium citrate, 5 g/l KAuCI4, 5 g/l SnCl2-2H 20, 60 g/l sodiumsulfite, 15 g/l L-ascorbic acid, and 1 g/l NiC12 for the co-deposition of Au-Sn solder hasalso been reported.7 It has been found that the addition of 0.08M ethylene diamine to thesolution resulted in an increase of solution stability from 15 days to over 30 days.

This paper studies the effects of the addition of ethylene diamine to the Au-Sn platingsolution reported in ref.[7]. The changes in the composition and microstructure of theAu-Sn solder coating produced by pulsed current electrodeposition are noted. Theelectroplating solution developed for the co-deposition of Au and Sn is slightly acidic sothat it can be used in conjunction with alkaline-developable photoresists.

EXPERIMENTAL METHOD

The test samples for the experiments were cleaved from InP wafers containing ablanket 25 nm Ti/250 nm Au metallization. The initial solutions used for this experimentcontained the following: 200 g/l ammonium citrate, 5 g/l KAuCI4, 5 g/l SnCI2-2H 20, 60g/l sodium sulfite, 15 g/l L-ascorbic acid, and between 0 and 0.11 M ethylene diamine.Firstly, a set of cathodic polarization tests was performed on the solution and test wafers,varying the ethylene diamine content. The tests were carried out using a platinum anode,and using a saturated calomel electrode as a reference. The voltage was varied from 0 Vto -1.2 V at a rate of 0.5 mV/s. Subsequent to this, plating trials lasting between 90 and180 minutes were performed using an inert Pt anode. During electroplating, the currentwas pulsed using a square wave with an on time of 2 mis and an off time of 8 ms, and anumber of tests were carried out varying the current density between 1.2 and 3.6 mA/cm 2

for each solution. A second set of plating trials was made keeping the ethylene diamineconcentration constant at 0.01-0.02M, and varying the SnCl2-2H 20 content in thesolution between 2 and 5 g/l.

The composition of the deposited solder was measured by energy dispersive x-raymeasurements using standards in a scanning electron microscope. For each deposit, foursquare regions measuring 1.5 mm per side were sampled. A scanning electronmicroscope with a field emission source was employed for the micrographs of thesamples. X-ray diffraction measurements were also made on selected samples, in orderto determine the structure and orientation of the deposits.

330 Electrochemical Society Proceedings Volume 99-9

Page 341: _sWni7aGN

RESULTS AND DISCUSSION

The cathodic polarization data is plotted in Figure 1. The initial, gently sloping part ofeach curve corresponds to the potentials at which mostly Au is plated, while the rise inthe curve between 1.0 and 2.4 mA/cm 2 is related to the inclusion of Sn in the deposit .With a further increase in negative potential, the curves stay relatively flat until about -1V, where they begin to rise sharply as hydrogen evolution becomes the dominantreaction. The curves shift to lower potentials and current densities with an increase inethylene diamine concentration in the solution. From this data it appears that the additionof ethylene diamine decreases the range of current densities for which plating will occur.Using this information, a large number of plating runs were performed using the solutioncontaining 0.01-0.02M ethylene diamine, since the decrease in current density range wasnot as great as for the solutions containing higher concentrations of ethylene diamine.

Figure 1: Cathodic polarization curves for solutions with varying ethylene diamineconcentrations.

-0.014

,f-' -0.012-

-0.010

-0.008

-0.006

.-0.004 no EDA 01-0.02M EDA

.0.002 0.05-0.06M EDA

0.11M EDA0.000

-0.60 -0.70 -0.80 -0.90 -1.00 -1.10

Voltage vs. SCE (V)

The Sn content of the deposits is given in Figure 2. At current densities between 1.0and 2.2 mA./cm 2, there is a trend towards an increase in Sn content between about 10 and50at.%, although there is a large amount of variability in the data. This current rangecorresponds to the near vertical rise in the polarization curve in Figure 1 at -.73 V.Between 2.2 and 3.2 mA/cm2, the Sn content remains close to 50%, and falls off atcurrent densities beyond 3.2 mA/cm 2, as hydrogen evolution and a 'burned' deposit areobserved.

Plating tests conducted at other ethylene diamine concentrations are shown in Figure3. When no ethylene diamine is present in the solution, the 50at.%Sn plateau is reachedat 2.4 mA/cm 2, while at a concentration of 0.05M-0.06M ethylene diamine, the plateaubegins at 1.4 mA/cm 2. This is consistent with the shift of the plateau of the polarizationcurve to lower current densities at higher ethylene diamine concentrations in Figure 1.

Electrochemical Society Proceedings Volume 99-9 331

Page 342: _sWni7aGN

Figure 2: Sn content vs. average current density for 0.O1M-O.02M ethylene diaminecontent.

60

540-50 AA A

40A A AA A

~30- A

C 20

Al

100 I I I I I I

0.0 0.5 1.0 1.5 2.0 2.5 3.0 3.5 4.0 4.5

Average Current Density (mA/cm 2)

When the ethylene diamine concentration of the solution is 0.1 IM, the highest testedin this study, the Sn content in the deposits never exceeds 20at.%. and 'burned' depositsare observed at current densities greater than 1.8 mA/cm 2. This is again consistent withthe polarization curve for this solution, although the correlation between the Sn content inthe deposit and the polarization curve is not clear.

Figure 3: Sn content vs. average current density for varying ethylene diamine content.

60,

040--

~30-20

UA 10 0

0 I I I I I I

0.0 0.5 1.0 1.5 2.0 2.5 3.0 3.5 4.0 4.5

Average Current Density (mA/cm2

# No Ethylene Diamine

*0.05M-0.06M Ethylene Diamine

9 0.11M Ethylene Diamine

332 Electrochemical Society Proceedings Volume 99-9

Page 343: _sWni7aGN

In the next set of experiments, the SnCI2-2H 20 content of the solution was variedbetween 2 and 5 g/l, while keeping the ethylene diamine concentration fixed at between0.01M and 0.02M. The effect of the solution SnC12-2H 20 content on the deposit Sncontent is shown in Figure 4. Plating tests were performed between 2.0 and 2.8 mA/cm 2,which is the range for the Sn plateau. As the Sn content in solution decreases, the Sncontent of the deposit also decreases. With pulse plating it is possible to match theconcentrations of alloys in solution with that of the composition of the deposit,9 althoughthe Au concentrations in the deposits are always higher than solution concentrations inthis work. The Au concentration in a solution containing 5 g/l KAuCI4 is 0.013M, whilethe concentration of Sn in a solution containing 5 g/l SnC12-2H 20 is 0.022 M, whichwould give an atomic Sn/Au ratio of 0.63/0.37. The reason that the solution plates50at.%Sn may be due to the manner in which it is complexed in solution. In a solutioncontaining 5 g/I KAuCI4 and 2 g/l SnCl2-2H 20 the Sn/An ratio changes to 0.41/0.59,which is still higher than the 30-35at.% Sn deposited.

Figure 4: Sn content vs. average current density for 0.O0M-0.02M ethylene diamine withvarying Sn content in solution.

55

t'5A A.

4545

40

S35-

25 I I I I

1.8 2.0 2.2 2.4 2.6 2.8 3.0

Average Current Density (mA/cmr)

A 5g4Au-5g/ISn "--5 g4 Au -4 gI Sn

--'-5 g/I Au- 3 g/1 Sn -5g/IAu-2g/1Sn

Figures 5 and 6 show secondary electron SEM images of the electroplated deposits incross section and plan view, respectively for samples plated in a solution containing0.OIM-0.02M ethylene diamine. The deposition rate increases between 1.2 and 3.2mA/cm2. Note that the plating time at 1.2 mA/cm 2 is 180 minutes, 90 minutes for thesamples plated at 1.8 and 2.4 mA/cm 2, and 40 minutes for the sample plated at 3.2mA/cm 2. The grain structure of the deposits also varies with an increase in currentdensity. The sample plated at 1.2 mA/cm (Figures 5a, 6a) is gold rich and has a smoothsurface containing fine pores about 0.1 gtm in diameter, while the samples plated at 1.8and 2.4 mA/cm 2 (Figures 5a, 5b, 6a, 6b) exhibit a columnar structure which becomesmore coarse with an increase in current density. The deposit formed at 3.2 mA/cm 2

appears to have a mixed structure, the bottom two-thirds having a dense, featheryappearance, while the top third has a fine columnar structure.

Electrochemical Society Proceedings Volume 99-9 333

Page 344: _sWni7aGN

a) 1.2 mA/cm2 (12at.% Sn) b) 1.8 mA/cm 2 (46at.%Sn)

c) 2.4 mA/cm 2 (48at.% Sn) d) 3.2 mA/cm 2 (49at.% Sn)

Figure 5: SEM cross section images of samples plated from solutions containing0.01M-0.02M ethylene diamine.

334 Electrochemical Society Proceedings Volume 99-9

Page 345: _sWni7aGN

t1

a) 1.2 mA/cm 2 (12at.% Sn) b) 1.8 mA/cm2 (46at.%Sn)

c) 2.4 mA/cm 2 (48at.% Sn) d) 3.2 mA/cm 2 (49at.% Sn)

Figure 6: SEM plan view images of samples plated from solutions containing0.01M-0.02M ethylene diamine.

Electrochemical Society Proceedings Volume 99-9 335

Page 346: _sWni7aGN

a) No ethylene diamine b) 0.01M-0.02M ethylene diamine(49at.% Sn) (48at.%Sn)

c) 0.05M-0.06M ethylene diamine d) 0.11 M ethylene diamine(52at.% Sn) (17at.% Sn)

Figure 7: SEM cross section images of samples plated at 2.4 mA/cm 2 from solutionscontaining varying concentrations of ethylene diamine.

336 Electrochemical Society Proceedings Volume 99-9

Page 347: _sWni7aGN

a) No ethylene diamine b) 0.01M-0.02M ethylene diamine(49at.% Sn) (48at.%Sn)

c) 0.05M-0.06M ethylene diamine d) 0.11 M ethylene diamine(52at.% Sn) (17at.% Sn)

Figure 8: SEM plan view images of samples plated at 2.4 mA/cm2 from solutionscontaining varying concentrations of ethylene diamine.

Electrochemical Society Proceedings Volume 99-9 337

Page 348: _sWni7aGN

Figures 7 and 8 show secondary electron images of deposits in cross section and planview, respectively, for deposits plated at 2.4 mA/cm2 for 90 minutes from solutionscontaining varying amounts of ethylene diamine. The deposit formed from the solutioncontaining no ethylene diamine (Figures 7a, 8a), is columnar, as is the deposit platedfrom the solution containing 0.01M-0.02M ethylene diamine (Figures 7b, 8b). There islittle difference in thickness in these two deposits, but the grain size of the deposit platedfrom the solution containing 0.01M-0.02M ethylene diamine is larger. The depositformed in the solution containing 0.05M-0.06M ethylene diamine is thicker and has adense, feathery appearance resulting in needle-shaped grains (Figures 7c, 8c). Finally, thesolder deposit electroplated in the solution containing 0.1 IM ethylene diamine is thinnerand coarser than the other deposits (Figures 7d, 8d). From these micrographs it can beobserved that increasing the ethylene diamine concentration of the electroplating solutionup to 0.05-0.06M increases the deposition rate, and increases the roughness of thedeposit.

Table I: X-ray diffraction data for Au/Sn solder deposits.

Average Average Major Preferred OrientationCurrent Density Sn Content Phase

(mA/cm2) (at.%) Present1.4 16.4 Au5Sn 0011.6 46.4 AuSn 1101.8 32.1 AuSn 1102.0 37.4 AuSn 1102.4 46.5 AuSn 1102.8 45.5 AuSn -----3.2 48.2 AuSn -----

3.6 40.1 AuSn -----

X-ray diffraction was carried out on selected samples deposited from the solutioncontaining 0.01M-0.02M ethylene diamine, and the results are given in Table I. Thedeposit formed at 1.4 mA/cm 2, which has a low Sn content was found to be mostlyAu5Sn, oriented with the (001 ) planes parallel to the wafer surface. The electrodepositsformed at current densities ranging between 1.6 and 3.6 mA/cm 2 all have AuSn as thedominant phase, which would be expected since the Sn content of these coatings is closeto 50at.%. The deposits plated between 1.6 and 2.4 mA/cm 2 also have a preferredorientation, with the AuSn (110) planes parallel to the wafer surface, while preferredorientation is lost at current densities higher than 2.4 mA/cm 2. It is believed that thestructure of a deposit depends on the relative rates of formation of crystal nuclei versusthe growth of existing crystals. 10 As current density increases, the rate of nucleation rateincreases, which is consistent with the loss of preferred orientation observed in the x-raydiffraction results. These results can be related to the observed microstructures, as themicrographs for the deposits plated at 1.8 and 2.4 mA/cm 2 (Figures 5b, 5c) show acolumnar structure, matching the preferred orientation found in the x-ray data for thesecurrent densities. The deposit plated at 3.2 mA/cm2 (Figure 5d) is much less columnar ororiented, which is also reflected in the x-ray data.

338 Electrochemical Society Proceedings Volume 99-9

Page 349: _sWni7aGN

CONCLUSIONS

The addition of between 0.01M and 0.06M ethylene diamine to a chloride salt-basedAu/Sn plating solution affects the microstructure of the electroplated deposits, as anincrease in ethylene diamine concentration leads to a higher deposition rate, and aresulting coarser grain structure. An ethylene diamine content of 0.11M is detrimental toAu/Sn alloy plating. Decreasing the Sn content in the solution leads to a lower Sncontent in the resulting electrodeposit. Lastly, the average current density during platingaffects the homogeneity of the structure in the electroplated deposit, with a loss ofpreferred orientation as the current density exceeds 2.4 mA/cm2.

ACKNOWLEDGMENTS

The authors would like to thank Nortel Networks and the Natural Sciences andEngineering Council (NSERC) of Canada for funding this project.

REFERENCES

1. W. J. Plumbridge, Journal of Materials Science, 31, 2501-2514 (1996).2. A. Katz, C. H. Lee and K. L. Tai, Materials Chemistry and Physics, 37, 304-307

(1994).3. C. C. Lee, C. Y. Wang and G. Matijasevic, IEEE Transactions Comp. Hybrids,

Manufacturing Technology, 14, 407 (1991).4. L. Buene, H. Falkenberg-Areil and J. Tafto, Thin Solid Films, 65, 248 (1980).5. D. G. Ivey, Micron, 29, 251 (1998).6. C. Kallmayer, H. Oppermann, G. Engelmann, E. Zakel and H. Reichl, 1996

IEEE/CPMT Int'l Electronics Manufacturing Symposium, (1996) p. 20.7. W. Sun and D. G. Ivey, Materials Science and Engineering B, accepted June 1999, 29

manuscript pages.8. W. Sun, MSc Thesis, University of Alberta (1998) pp. 77-81, 85.9. H. Leidheiser Jr. & A. R. P. Ghuman, J Electrochem. Soc., 120, 486 (1973).10. W. H. Safranek, Plating & Surface Finishing, 75, 10 (June 1988).

Electrochemical Society Proceedings Volume 99-9 339

Page 350: _sWni7aGN

ZINCATION TREATMENTS FOR ELECTROLESS NICKEL UNDER-BUMPMETALLURGY IN THE FLIP-CHIP PACKAGING

Tze-Man Ko'*, Wei-Chin Ng', William T. Chen2

'National University of Singapore, Chemical & Environmental Engineering Department,10 Kent Ridge Crescent, Singapore 1192602Institute of Materials Research and Engineering,

Blk S7, Level 3, Lower Kent Ridge Road, Singapore 119260*Contact person. E-mail: [email protected],

Tel: (65) 8745004, Fax: (65) 7791936

ABSTRACT

One of the methods to mount a flip chip is by solder bumping that utilizes Ni/Aumetallurgy as the under-bump material. Experiments were carried out to determine theoptimum conditions of the aluminum surface for nickel adhesion, through the studies ofsurface morphology and transformation during pretreatment. Zincation baths were usedto condition the aluminum surfaces for nickel plating. The effects of the period and thenumber of times of the zincation process to the mechanical strength of the electrolessnickel deposits were investigated. From the SEM and AFM characterization, transitionsof zinc grain size and surface roughness were observed. Grains were large with distinctgrain boundaries for immersion time of 5 s but decreased in size and lost theircharacteristic shapes as the zincation time increased. A double zincation produced amore compact deposit with smaller size grains compared to single zincation. Length ofimmersion time during the second zincation also affected the physical properties such asshear strength after 1 h of electroless nickel plating on the 80 [tm x 80 Pjm Al bond-padsof a commercial bare microchip. By using SEM-EDX and XPS, the elementalcomposition transitions of the zinc deposits formed by different zincation time and bathcompositions are also investigated.

INTRODUCTION

Flip chip technology is a simple idea of 'flipping a chip' to connect its device I/Osdownside directly on the printed circuit boards. The apparent advantages are shorterelectron pathways, increased number of I/Os per unit area for increased speed and power,cost reduction, and increased package density [1].

The mounting of flip-chip by utilizing UBM (under-bump metallurgy) forms thebasis of our study in this paper (Fig. 1). Nickel bumps act as adhesive layers for stableand reliable contacts to the Al bond-pads, protect Al from oxidation, and form a diffusionbarrier for subsequent layers or contacts. The process of Ni bumps mounting engageswet chemistry through an electroless plating bath, avoiding the more expensivephotomasking since the reaction is selective and autocatalytic [2]:Oxidation:Zn -+ Zn2+ + 2e' E0 = 0.76 VH2PO2" + H2 0 -- H2PO3 + 2H+ + e' E0 = 0.50 VReduction:

340 Electrochemical Society Proceedings Volume 99-9

Page 351: _sWni7aGN

Ni2÷ + 2e - Ni0 E0 = -0.25 V

Overall:Zn + 2H 2PO 2 + 2Ni 2

+ + 2H 20 -4 Zn2+ + 2H 2PO3" + 2Ni + 4H+ E0 = 1.26 V

Typically, before Ni coating on Al, a zincation pretreatment of the Al is essentialto enhance the Al-Ni interfacial contact, acting as a sacrificial layer during theautocatalytic electroless nickel plating process [3]. This paper focuses on the zincationtreatments for electroless nickel plating by analyzing the surface morphology and thedeposited Ni properties.

EXPERIMENTAL

Zinc pretreatment baths are prepared by varying the amount of zinc oxide in astrong alkaline bath. A commercial zincation bath is also analyzed for the purpose ofcomparison. Three different types of substrates are used: CMOS wafer chips withmultiple Al bond pads, sputtered silicon wafers, and silicon wafers coated with e-beamevaporated Al. Morphologies of the 3 types of substrates vary in terms of grain size androughness (Fig. 2). Thickness of the Al films ranges from 5000 A to 1 Pim.

Experiment IA commercial zincation bath is used. Single zincation time is varied between 0-

30 s, and a second zincation time of 5-30 s. CMOS wafer chip samples are retained aftereach designed stage. Process steps like degreasing, soak clean and rinsing is the same forall experiments. The following outlines the typical zincation process for electrolessnickel plating:Step 1: Degrease, 5 min at 60'C.Step 2: Soak clean, 5 min at 60'C.Step 3: NaOH etch for 15 s at room temperature.Step 4: 1st zincation at room temperature.Step 5: Nitric acid etching for 10 s at room temperature.Step 6: 2 "d zincation at room temperature.Step 7: Electroless nickel plating at 90'C for 1 h (only for CMOS chips)

Experiment 2:Solution I (100g/l of ZnO) is used (Table 1). Samples are retained at each

individual step. Run 1 sample is just after NaOH etch. Run 2 is just after nitric acid etch,but without any zincation. All 3 types of substrates are used. Only CMOS wafer chipsare plated with electroless Ni for 1 h after each run.

Table 1. Experiment 2 Runs 1-9.Run Samples

I NaOH etch and rinsed2 Nitric acid etch and rinsed3 5 s single zincation4 10 s single zincation5 20 s single zincation6 30 s single zincation7 30 s for 1st and 5 s for 2" zincation

Electrochemical Society Proceedings Volume 99-9 341

Page 352: _sWni7aGN

8 30 s for I st and 20 s for 2"d zincation9 30 s for 1st and 30 s for 2" zincation

Experiment 3:Solutions 1-5 are used (Table 2). Samples at 30 s single zincation and 30/30 s

double zincation are retained [4]. SEM-EDX is done on single zincation whereas XPSdone only for double zincation. CMOS wafer chip and sputtered Al silicon wafer arezincated but only CMOS wafer chips are electroless nickel plated for 1 hour.

Table 2. Experiment 3 zincation solutions 1-5.Solution 1 2 3 4 5ZnO (g/1) 100 50 75 125 150

NaOH (g/l) 500 500 500 500 500

RESULTS AND DISCUSSION

Degrease and soak cleanCommercial cleaning solutions are used in the pre-clean step. From optical

microscopy and AFM results, both steps do not adversely affect the surface of thealuminum bond pads for all 3 types of substrates. Cleaning is done at 60'C for 5 min.

NaOH etchAluminum etching takes place in the NaOH bath. Dissolution rate of aluminum

during NaOH etch can be determined [5]. From the AFM scans, surfaces of thealuminum are roughened slightly during the 15 s bath time.

Nitric acid etchAluminum etching also takes place during the 10 s nitric acid dip. Being a

stronger etching bath than the NaOH bath, aluminum surfaces are more adversely etchedbut roughened evenly. Following the I" zincation, nitric acid further roughens thealuminum surface with the deposited zinc grains. Removal of the deposited zinc ispossible during the acid etching; however, the purpose of homogenizing the zinc layer isalso achieved after the nitric acid etch.

First zincationFor all 3 types of substrates, the distinct feature of 1st zincation after a period of 5

to 30 s immersion time is the extreme roughness of the surfaces (Fig. 5). FromSEM/EDX analysis, large grains of zinc form the major bulk of the deposition. Coverageby these grains is neither homogeneous nor extensive. Aluminum is still detected on theuncovered areas. However, dissolution of the large grains into smaller and finer grainsproceeds as immersion time lengthens. From 5 s immersion, both AFM and SEM showlarge hexagonal shaped deposits of sizes up to 2 jim [6]. As immersion time is increasedto 20 s, coverage of the area increases but the average particle size is decreased to lessthan 1 Vim. By the 3 0 th second, the surface is extensively covered by small, singularpieces of zinc, with gaps of aluminum among the covered areas.

342 Electrochemical Society Proceedings Volume 99-9

Page 353: _sWni7aGN

Second zincationBefore the 2 zincation takes place, nitric acid is used to homogenize the pre-

zincated surface in roughness and coverage. After the 2 nd zincation, from opticalmicroscopy, the surface is lighter in color and shade compared to the surface after the I"szincation which is much darker and rougher. From the SEM and AFM results (Fig. 6),grain size of zinc is markedly reduced even after only 5 s immersion, with the I`zincation fixed at 30 s for all samples. Particles are minute and in submicron rangethroughout the covered region. AFM results show that the film coverage develops asimmersion time increases. There are no distinguishable grain boundaries similar to thoseafter the 1st zincation. As the zincated film is too thin for SEM/EDX analysis, XPS isperformed for all doubly zincated films with a spot size of 150 [Lm x 150 ýum. For allzincation solutions 1-5, aluminum is not detected on the surface by XPS after a doublezincation of 30 s. This same trend is observed when using the commercial zincationsolution on the CMOS wafer chips.

Zincation solutions 1-5Zincation solutions I to 5 are strong alkali solutions containing 500 g/l of NaOH

each (Table 2) [7], with varying amounts of zinc oxide added. The lowest ZnOconcentration is solution 2 (50 g/l); the highest is solution 5 (150 g/l). Solution 1 is thechosen standard solution at 100 g/l. Zincation experiments are performed for all 5solutions on sputtered Al substrates. Single zincation is done at 30 s immersion timewhereas double zincation is done at 30/30s. After single zincation, samples reveal largeparticles of deposited Zn. SEM and SEM/EDX results (Fig. 7) show that the distributionof the particles on the Al bond pads is random with varying grain sizes. However,SEM/EDX results also reveal that the dark regions on the SEM micrographs are notcovered by Zn. Strong Al signals are depicted after 30 s of single zincation immersionfor all 5 types of zincation solutions on these dark areas. There is no distinguishabledifference for all 5 types of solutions after single zincation on SEM. After doublezincation, sizes of the Zn grains are markedly reduced. Basically, a thin film of Zn iscoated on the Al. XPS results (Fig. 8) do not show a proportional relationship betweenthe ZnO concentration in the zincation baths vs Zn concentrations or grain sizes in thedeposited zincation films.

Electroless nickel plating Runs 1-9A controlled set of experiment is performed to determine the shear force

properties of Ni-P bumps plated by electroless method on the Al bond pads of the CMOSchips. A commercial electroless nickel bath, with hypophosphite as the reducing agent inthe solution, is used throughout for consistency. Zincation solution 1 is chosen for thezincation bath. The Al bond pads of the CMOS chips are coated with electroless Ni andsheared [8]. At least 5 bond pads in the same region of the chip are sheared in order toobtain an average for statistical comparison. Samples of Runs I and 2, which are onlycleaned and etched by NaOH and HNO 3, show poor adhesion or no adhesion of the Nibumps on the Al bond pads (Figs. 9a and b). On some Al bond pads, Ni does not evenform on the surfaces after I h of electroless nickel plating. In general, the electrolessnickel formed in Runs 1 and 2 are not uniform and cannot be controlled. For Runs 3-9,SEM micrographs (Figs. 9c and d) show that uniform Ni bumps with good surfaces areformed after 1 hour of electroless nickel plating. Although the zincation time is varied inthese runs, homogeneously formed electroless Ni is found on all Al bond pads even afterjust 5 s single zincation dipping. Comparing Runs 3 to 9, there is no significant

Electrochemical Society Proceedings Volume 99-9 343

Page 354: _sWni7aGN

difference in the appearance of the deposited electroless Ni bumps although the surfacesof those treated by double zincation appear to be smoother and more uniformly coatedthan those treated by single zincation. Shear force tests are performed for samples ofRuns 3-9 using the DAGE series 4000 shearing machine (Fig. 10). All of the measuredshear strength of the nickel bumps formed on the 80 lim x 80 Rtm Al bond pads exceeds100 g force. The average is about 140 ± 20 gf. A slight decrease in shear strength isrecorded for samples of Run 6, which is a single zincation for 30 s. The highest shearstrength is measured for samples of double zincation at 30/30 s of about 158 gf.

Electroless nickel plating for zincation solutions 1-5The shear forces of all electroless nickel bumps formed from zincation solutions

1-5 exceed 100 g. The lowest shear forces recorded are samples from zincation solution2 at single zincation and the highest values are those from zincation solution 1 at doublezincation. SEM micrographs depict smooth and uniform nickel plating on the Al bondpads for all the zincation solutions except zincation solution 2 which shows a more'bumpy' morphology than the others (Fig. 11). The overall plating rate of the nickelbumps is approximately 15 [um/h obtained by measuring the cross-sectional thicknessafter 1 h electroless nickel deposition. Fig. 12 also shows that the shear forces of thenickel bumps on the Al bond pads are generally slightly higher by a double zincationtreatment than a single zincation treatment.

CONCLUSION

Zincation treatment is applied on Al bond pads in order to activate the Al surfacesfor the adhesion of electroless Ni bumps. Large particles of Zn are deposited on the Albond pad surfaces within the first few seconds of zincation. As immersion time proceeds,the size of the particles gets smaller but the coverage of the substrate by Zn particlesincreases. By SEM/EDX analysis, the dark regions between the deposited particles areshown to remain as untreated Al. Grain boundaries are distinctly observed. Variation ofthe ZnO content in the zincation baths does not have a visible impact to the depositionduring first zincation. Nitric acid etch after the Ist zincation homogenizes the surfacebefore deposition by the 2nd zincation takes place.

SEM and AFM results show that the doubly zincated films are much morecompact than the singly zincated films. XPS shows extensive coverage of a thin layer ofZn on the doubly zincated Al surfaces. Reduction of grain size takes place when thezincation time increases while the coverage of the surface increases. The same trends ofthe zincation effects are observed on all 3 types of Al substrates and of all 5 types ofzincation solutions as well as the commercial zincation bath solution. Shear force testresults show good mechanical properties of the deposited electroless Ni bumps with anaverage of 140 gf on the 80 pum x 80 prm Al bond pads, with the highest of zincationsolution 1 after a double zincation treatment. In contrast, samples without zincationtreatments show poor adhesion characteristics or no nickel formation at all. Therefore, azincation pretreatment of the Al substrates is essential for good electroless nickel bumpformation.

344 Electrochemical Society Proceedings Volume 99-9

Page 355: _sWni7aGN

ACKNOWLEDGMENTS

1. Plaschem Co. kindly supplies the soak cleaning, zincation and electroless nickelsolutions for the experiments.

2. Millice Co. is greatly appreciated for allowing us to use their DAGE series 4000shear force testing machine.

3. The Department of Physics at the National University of Singapore providestremendous support for the use of the AFM, XPS and sputtering equipment.

4. XPS data are obtained with the kind assistance of Dr. Li Kun from the Institute ofMaterials Research and Engineering.

5. The e-beam evaporated Al films are deposited by Mr. Walter Lim in theMicroelectronics Laboratory of the Department of Electrical Engineering at theNational University of Singapore.

REFERENCES

I. J.H. Lau, Flip Chip Technologies, McGraw-Hill, New York (1995).2. G.O. Mallory, Electroless plating: Fundamentals and Applications, ASM

International, Ohio (1991).3. W. Riedel, Electroless Nickel Plating, American Electroplaters and Surface Finishers

Society (1990).4. C.C. Tsui, T.B. Lim, Y.C Teo, and C.Q. Cui, "Low cost underbump metallization by

electroless Ni/Au plating," EEP-Vol. 19-1, Advances in Electronic Packaging, 119-123, ASME (1997).

5. S.G. Robertson, I.M. Ritchie, and D.M. Druskovich, "A kinetic and electrochemicalstudy of the zincate immersion process for aluminum," J Appl. Electrochem., 25,659-666 (1995).

6. X.G. Zhang, Corrosion and Electrochemistry of Zinc, Plenum Press, New York(1996).

7. J.I. Han, S.I. Hong, "Nickel electroless plating process for solder bump chip on glasstechnology," Jpn. J Appl. Phys., Vol. 36, 2091-2095 (1997).

8. G. Motulla and K. Heinricht, "A low cost bumping service based on electroless nickeland solder printing," Advances in Electronic Packaging, 19(1), 57 (1997).

Electrochemical Society Proceedings Volume 99-9 345

Page 356: _sWni7aGN

Fig. 1. UBM - Nickel bump on Al bond pad.

Nipaissivation

Al bondpadSI w ;:fIrSi wafor "

Fig. 2. a and b: AFM (- 8000 A) and XPS of CMOS wafer chip; c and d: AFM (- 1 pm)

and XPS of sputtered Al on silicon wafer; e and f: AFM (5000 A) and XPS of e-beamevaporated Al on silicon wafer.

(b() (d

Fig. 3. AFM scans after (a) NaOH etch and (b) nitric acid etch for CMOS chip.

Vat

346 Electrochemical Society Proceedings Volume 99-9

Page 357: _sWni7aGN

Fig. 4. SEM micrographs after (a) NaOH etch and (b) nitric acid etch for CMOS chip.

a) (~b) :=,

Fig. 5. AFM of CMOS wafer chip after single zincation of (a) 5 s, (b) 20 s, and (c) 30 s;AFM of sputtered Al after single zincation of (d) 5 s and (e) 30 s; AFM of e-beamevaporated Al after single zincation of (f) 30 s.

Electrochemical Society Proceedings Volume 99-9 347

Page 358: _sWni7aGN

Fig. 6. AFM of CMOS wafer chip after a double zincation of (a) 30/5 s, (b) 30/20 s, and(c) 30/30 s; AFM of sputtered Al after a double zincation of (d) 30/5 s, (e) 30/30 s; AFMof e-beam evaporated Al after a double zincation of(f) 30/30 s.

(I) (C)

i(d) (C c ]I)I

Fig. 7. (a) SEM of 30 s zincated surface by zincation solution 2. (b) SEM/EDX on agrain of the 30 s zincated surface by zincation solution 2 showing that the grain ispredominantly zinc. (c) SEM of 30/30 s zincated surface by zincation solution 1. (d) XPSof 30/30 s zincated surface by zincation solution I showing no Al on surface.

At'V6

(a) (b)

(c) (d)

348 Electrochemical Society Proceedings Volume 99-9

Page 359: _sWni7aGN

Fig. 8. A typical XPS surface survey scan of detectable elements after double zincationat 30/30 s on sputtered Al substrates.

14- Peak Baground (

12C ---

1CZn

so

600

I II

Zn

40i

1200 S 1000 li ,i 600 600 400 71 200 i0Binding Energy (WY)

Fig. 9. SEM of electroless nickel plated Al bond pads on CMOS chips of (a) Run 1, (b)Run 2, (c) Run 3, and (d) Run 9.

(c)

Electrochemical Society Proceedings Volume 99-9 349

Page 360: _sWni7aGN

Fig. 10. Shear force results for samples of Runs 3-9.

S180 30/30s

c 30/15C.)

140

gge Double0)

2 Zincation .Zincatdon

100

3 6 9Run Number

Fig. 11. SEM of electroless Ni bumps on Al bond pads formed from (a) zincationsolution 2 and (b) zincation solution 5 by double zincation treatments.

(a)3oSoV

350 Electrochemrical Society Proceedings Volume 99-9

Page 361: _sWni7aGN

Fig. 12. Shear forces of electroless nickel bumps formed on Al bond pads after treatmentby different zincation solutions: (a) single zincation treatment and (b) double zincationtreatment.

Single zincation

160.00

S( 140.00

120.00

50 100 150ZnO concentration (g/l)

(a)

Double zincation

160.00

140.00

120.00

50 100 150ZnO concentration (g/l)

(b)

Electrochemical Society Proceedings Volume 99-9 351

Page 362: _sWni7aGN

MICROFABRICATION OF MICRODEVICES BY ELECTROLESSDEPOSITIONT.N.Khoperia

Institute of Physics, Georgian Academy of Sciences6 Tamarashvili st., 380077, Tbilisi, Georgia

Fax:(995 32)536937E-m ail: tek_! phy i.s.ce•. 4..p.g

1. INTRODUCTION

At present, metallization of dielectrics and semiconductors is carried out either

by means of high-temperature, long fusing of metal-containing pastes, or by means of

sputtering, condensation at vacuum-thermal evaporation, deposition from vapor-gas

mixtures, electroless metallization with preliminary activation by the salts of noble

metals, etc. (1-32).

Among disadvantages of the existed methods of metallization are: large

consume and lose of noble metals, long time for making devices, complexity and

expensiveness of equipment for vacuum or steam-gas metallization, high energy

consumption, the difficulty of obtaining the coatings of uniform thickness on the articles

having complex profiles, in some cases, impossibility of plating the inner, hardly

accessible surface, especially of small hollow articles, difficulty of continuous

metallization of three-dimensional articles, difficulty of alloy deposition of the given

chemical and phase compositions and given structures, difficulty of obtaining of thin

selective, pore-free coating or thick coating with low internal stress and with high

adhesion to the substrate by electroless method metallization on polished dielectrics.

Many of these disadvantages of the existing methods of metallization are

excluded when integrating electroless deposition and electroplating with vacuum-

thermal evaporation and deposition from vapour-gas mixtures (1-6,13,18,28-31).

According to the results of the proposed investigations all above mentioned

disadvantages are excluded and coatings with predetermined physical-chemical

properties are obtained, in particular, on the basis of nickel alloys with different

metalloids and metals (1-6,13,18,29-31).

The department headed by the author of the article, the thorough investigations

of electroless plating by pure metals and alloys of dielectric, semiconducting and

metallic materials began thirty years ago (5,6). The developed technological processes

were widely introduced into microelectronics, radioelectronics, piezoengineering,

computing and aerospace techniques of Commonwealth of Independent States (1-7, 0,

!3, 15, 18,29-31).

352 Electrochemical Society Proceedings Volume 99-9

Page 363: _sWni7aGN

2. EXPERIMENTAL

The results of investigations of adsorption and desorption of tin and palladium

ions obtained by the methods of radioactive isotopes, XPS and photometry under

different experimental conditions (1-4, 13, 15, 18, 25, 30). The glass and quartz plates

were immersed into the solution containing 113 Sn and 103 Pd radioactive isotopes

introduced as chlorides.

Radioactivity of samples relative to 13-radiation was measured by gas-flow

counter, MCT-17. Measurement precision was ± 5% and the data reproducibility 30%.

The sensitization and subsequent activation of samples, with the exception of

specific cases, were carried out in the following solutions: SnCI2 2H2 0 - 20 g/l, HCI

conc.- 40 ml/l, pH 0.5 for 10min and PdCI 2 2H 2 0 -1.5 g/l, pH 2, respectively.

For investigating the adsorbed ion states, serial X-ray photoelectron

spectrometer ES-100 was used.

The samples were attached to holders and were placed in the spectrometer

chamber, which was evacuated to - 6 • 10 -5 Pa at -100 0 C.

Surface concentrations were determined by measurement of intensity relative to

Si2p intensity. Precision of E bonding determination was ± 0,2 eV, precision of surface

concentration determination was -20%.

Atomic ratios were determined according to the following equation (1):

Me I'A cs, As,

Si I, C0

Akl 2Am

where Me/Si is the atomic ratio of metal and Si, IMe/ISi is the measured ratio of

intensity of Me and Si, o Me and aSi are the sections of photoionization of

corresponding levels for metal and silicon; XMe and XSi are the depths of free leakage

of photoelectrons with the given kinetic energies; in the first approximation X was

supposed to be proportional to E 1 /2 kin (1).

3.RESULTS AND DISCUSSION

When the glass had not been sensitized in advance but only activated, the

number of the adsorbed palladium ions is several times less under the same conditions

(1-4,25).

Electrochemical Society Proceedings Volume 99-9 353

Page 364: _sWni7aGN

The number of palladium adsorbed on the glass appeared to be greater, than that

of tin ions.

It is shown that the existence of adsorbed tin ions ensures not only a greateramount of palladium on the glass, but also a greater strength of bonding palladium to

the surface.

Reduction of the adsorbed Pd (II) ions up to the metallic state at sensitization orwithout it takes place at the subsequent treatment of activated glass in the

hypophosphite solution.

On the one hand, the surface pretreatment in the SnCI2 .2H 20 solution increases

the adsorption of Pd ions, on the other hand tin and palladium ions, as well as reducedpalladium atoms exist on the surface after sensitization and activation. Thus, we can

conclude, that the sensitization stimulates the adsorption of palladium ions and part ofnon-reduced palladium ions is reduced by hypophosphite. This is confirmed by the factthat, after surface activation and its hypophosphite treatment, i.e. when the process is

carried out without sensitization, palladium atoms presented on the surface.It is established that a part of palladium ions, not reduced by sensitization.

Sn (II) + Pd (1I) = Sn (IV) + Pd [2]

appears to be partially reduced at subsequent interaction with hypophosphite

according to reaction

PdCI42 - + H2 PO2- + H2 0 = Pd + H2 PO3- + 2H ++ 4C1 - [3]

The developed methods of metallization of different materials are widely used in

the enterprises of the Commonwealth of Independent States (CIS) for production ofquartz resonators and filters (several tens of mln. were produced), monolithicpiezoquartz filters, photomasks, piezoceramic devices for hydroacoustics and delay

lines of colour TV sets (several hundreds of min. were produced), casings of integrated

circuits and semiconducting apparatus, ceramic microplates, precise microwire resistors

and other devices.

With this method:-the use of gold and silver is excluded in the process of metallization, and the

technology is significantly simplified;- time of the technological cycle of metallization is reduced 10 times and labor intensity

of the process decreases sharply;-the production volume per square meter of the production increases 8 times as

compared to the metallization by fusing silver paste;-maintenance, quality and operational characteristics of photomasks increase;

-the reliability of quartz resonators is increased 1.8 times and dynamic resistance isdecreased by 30%, as compared to the resonators with silver plated piezoelements;

-the accuracy of fixing precise microwire resistors is increased 10 times.

354 Electrochemical Society Proceedings Volume 99-9

Page 365: _sWni7aGN

The integration of the vacuum-thermal and the electroless methods of

metallization gave the possibility to carry out microfabrication (microminiaturization)of selectively semitransparent masking elements of photomasks. Semitransparency

(semitransparency in visible and non-transparency in ultraviolet range of the spectrum)

of masking edges (with about 3 micron dimensions) of elements in the lower Si layer

(deposited by vacuum-thermal method) were obtained under non-transparent masking

elements of the upper layer of nickel - phosphorous alloy. This alloy was deposited by

electroless method. In the given case a new technology, and a new design for theproduction of two-layer selectively semitransparent photomask with semitransparent

edges (of silicon) were proposed based on application of high-productive, singlecontact photolithography (1,3,4,13,29).

The semitransparency of such photomasks is reached by the shape identity of the

elements of electroless plated upper NiP layer and of the vacuum, plated lower Si layer.Symmetry of the elements in upper and lower layers coincides. However, the area of

upper NiP elements is less than that of elements of the lower silicon layer.By our technology two-layer film is obtained, the lower semitransparent layer is

inert to the etchant, dissolving the upper layer.

The lower film is etched by the solution subetching the upper layer as well. The

magnitude of undercutting is regulated (depending on the circuit complexity) by the

component ratio of the solution for etching the lower semitransparent film. Thedimensions and smoothness of the edges are determined by elements produced in the

lower layer of the semitransparent film (base film).The selectively semitransparent double-layer photomasks produced on the basis

of the given invention have the following advantages as compared to conventional

chromic photomasks:1) Application of such photomask with semitransparent edges of masking

elements significantly simplifying and increasing one of the most important operational

characteristics - the precision of photomask alignment. Simplification and increase of

alignment precision is induced by the fact that through the semitransparent edges ofmasking elements in the visible region of spectrum the operator can visually observe thewhole IC under the photomask in the process of alignment of the photomask and IC

pictures.

2) Significantly low defectiveness as compared to one-layer photomasks (pore-

free films are obtained) since as a rule, the centers of lower Si layer crystallization do

not coincide with the centers of upper Ni-P alloy layer crystallization; transparent

defects, pin holes and holes in the upper layer of Ni-P alloy are not continuation oftransparent defects, pin holes and holes in the lower layer of Si.

Electrochemical Society Proceedings Volume 99-9 355

Page 366: _sWni7aGN

As a result of mutual lapping of transparent defects in different layers (due tomismatch of transparent defects, and of crystallization centers in upper and lower layers

of photomask) almost defect-free photomask is obtained.

3) High wear-resistance obtained as a result of annealing of Ni-P alloy and

formation of hard intermetallic (Ni3P) substance.In the given case it should be noted, that the edges of the lower layer elements

defining the picture (topology) of photomask are not subjected to friction at contactphotolithography (as they are protected by the elements of the upper layer), that

increases percentage of IC output.

4) The existence of gaps between transparent sections of the photomasksubstrate and the surface of exposing photoresist, as well as the of channels between the

upper elements of the photomask, solving the problems of contact photolithography(1,3).

At the contact photolithography the common problem is the capture photoresistof a by photomask and the swelling of the photoresist.

At the contact photolithography in which quinonediazide resists are widely usedunforeseen separation of photomask from IC plate is observed in some cases due to

pressure of nitrogen evolved during resist exposure.

By means of the given photomask design of the surface of the masking elementsbeing in contact with photoresist is decreased (as in the given case only upper maskingelements are connected with photoresist at contact printing), photoresist adhesion tothe photomask and photoresist capture by photomask are also decreased. Besides, theexistence of gaps and channels between upper masking elements simplifies the removalof gases evolved at the photoresist exposure and the eliminates unforeseen separationof photomask from IC plate at contact photolithography.

On the basis of our invention practically pore-free, wear-resistant, selectivelysemitransparent double-layer (Si-NiP) precision photomasks were produced andintroduced into radioelectronic industry with large technical-economic effect

(1,3,4,13,29).The new competitive methods of making photomasks with semitransparent

submicron size elements on the basis of contact, single photolithography or of themodified resistless (maskless) technology are proposed (4,13,18, 29-3 1).

The new proposed competitive method solves one of the main problem in

modern microelectronics. The invention allows us to manufacture photomasks withsemitransparent submicron size elements by high-productive, group method of exposureof the whole substrate (29).

The proposed method is much more advantageous and simple than otherexpensive and complicated method such as e-beam, X-ray lithography, or the

356 Electrochemical Society Proceedings Vohlme 99-9

Page 367: _sWni7aGN

production of photomasks with light phase shift. The new method allows us to avoid theapplication of e-beam exposure equipment costing more than $4 000 000 and other

complicated equipment, as well as X-ray masks with gold masking elements. It also

increases the output of production. The invention prevents the existance of irreparableradiation defects of devices, since the application of high-energy e-beam and X-rays

used in e-beam and X-ray lithographies for the production of submicron size elements isexcluded.

Disadvantages of photomasks used in contact photolithography are induced

(from the point of view of submicron technology) by the limitations imposed by

geometrical and wave optics fundamental laws. The limitations mentioned above,

consist, in particular, in parasitic intensive reflection of masking elements resulting inmultiple reflection of exposing radiation, in decrease of resolution. The above

disadvantages are induced, in particular, by the wave nature of the light and aremanifested in undesirable diffraction of actinic radiation.

The technology developed by us is based on the possibility of elimination of the

acuity of the results of limiting fundamental laws of geometrical and wave optics.

On the basis of the new technological principles proposed for manufacturing

working copies of submicron photomasks, an inexpensive photomask with elements

larger than 1 micron size can be used as a master photomask (4,13,29-3 1).

The above mentioned possibility is due to the fact, that the suggested fabrication

method of submicron elements on working copy of photomask is not based on

transmission of exposing radiation through the similar transparent sections of submicron

dimensions or nontransmission of exposing radiation through the submicron opaque

masking elements on the master photomask. For realization of the invention thetransparent sections of photomask are made by selective etching of modified submicron

size boundaries between opaque masking elements (on fabricating photomask). The size

of both opaque masking elements and transparent sections on the master photomask

can be much more than a submicron.

The invention allows us to obtain more wear-resistant photomask as compared

to chromic ones, to increase the alignment precision due to semitransparency of the

masking elements in the visible region of the spectrum, to reduce the reflectioncoefficient of the masking elements and to provide the sharp contours of the obtained

circuit.This new submicron technology allows us to produce devices with adjacent

elements made of various materials of different thickness by single lithography. Theseadvantages increase the possibilities for device design and simplify the removal of

undesirable gases and heat dissipation.

Electrochemical Society Proceedings Volume 99-9 357

Page 368: _sWni7aGN

The developed construction and new technological processes of makingphotomasks withh submicron size elements solve problems of contact photolithographyand have a number of advantages over the technologies existing so far.

1. Selective semitransparency of submicron masking elements in the visibleregion of the spectrum that guarantees the high alignment precision and better

application conditions (better performance characteristics).2. High percentage of production output and simplification of the process,

significantly cheap price of the manufacture technology.

The scientific basis of the new method of making photomask with submicron

size elements consists in that the technological processes carried out in such a way that

the difference between the boundary properties of materials and bulk properties of the

same materials are revealed to the utmost.

The given achievement enables us to increase considerably the informationcapacity of the memory banks, to increase the speed of operation and working range of

the frequencies of UHF transducers of surface-acoustic-waves and, besides to decreasesharply the consumed power of computer technique.

The invention simplifies and makes cheaper the technology of fabrication ofphotomasks with submicron size elements. Besides, the application of expensive and

complex equipment is eliminated, the output is increased, alignment precision and

resolution, as well as wear-resistance, are also increased.A competitive, patentable , true additive method of formation of multiple

conducting, dielectric layers, contact filling materials and pads on Si, GaAs, or othersubstrate for ULSI is developed. This method differs from analogues in that it entirelyexcludes the etching of conducting and dielectric films deposited on different levels, aswell as cutting in dielectric layers and reactive ion etching.

ACKNOWLEDGEMENTS

The author is indebted to International Scientific and technology Center, theIndivisible State Fund of Social Maintenance and Medical Insurance of Georgia for thesupport of this work.

358 Electrochemical Society Proceedings Volume 99-9

Page 369: _sWni7aGN

REFERENCES

1. T.N. Khoperia, Electroless Nickel Plating of Nonmetallic Materials (in Russian),

Moscow, ed. "Metalurgia", 144 (1982), Monograph.

2. T.N. Khoperia, Proceeding of the 1 0 th World Congress of Metal Finishing, Kyoto,

147-151 (1980).3. T.N. Khoperia, T.J. Tabatadze, T.I. Zedginidze, Electrochim. Acta, . 42, 3049-3055

(1997).

4. T.N. Khoperia, T.J. Tabatadze, T.I. Zedginidze, Proceedings of the International

Conference Micro Materials, Berlin, April, 818-823 (1997).

5. T.N. Khoperia and R.G. Kharaty, Plating, 59, 3, 232-235 (1972).

6. TN. Khoperia,Russ. Journal Priborostroenie, Moscow N9, 29-31 (1961). Chem.

Abstr., 56, 6825g (1962).

7. V.V. Sviridov, TN. Vorobjeva, T.V. Gaevskaya and L.I. Stepanova, Electroless

Metal Deposition in Agueous Solution (in Russian), Belarussian State University,

Minsk, 270 (1987).

8. K.M. Gorbunova, A.A. Nikiforova, G.A. Sadakov, V.P. Moiseev, M.V. Ivanov,

Physical-Chemical Bases of the Process of Electroless Cobalt Plating (in Russian),

Moscow, ed. "Nauka", 219 (1974).

9. Gavrilov, Chemishe (Stromlose) Vernicklung, 239, Saulgau, WMrttenberg (1974).

10. T.N. Khoperia, G.I. Jishkariani, R.G. Kharati, Extended Abstracts, 33th Meeting of

the International Society of Electrochemistry, Lyon, France, 1, 401-403 (1982).

11. Kh.B. Petrov, Galvanizirune na Plastmasi, 247, Technika, Sofia (1982).

12. M. Shalkauskas, A. Vashkialis, Electroless Metallization of Plastics (in Russian),

Leningrad, ed. "Khimia", 144 (1985).

13. T.N.Khoperia, The 193rd Meeting of the Electrochremical Society, San Diego,

Abstract N 261 (1998).

14. C.H. Ting, M. Paunovic, P.L. Pai, G. Chiu, J. Electrochem. Soc., 136, 462 (1989).

15. T.N. Khoperia and A.V. Ulanova, Extended Abstracts, 4 0 th Meeting, of the

International Society of Electrochemistry, Kyoto, 2, 1297-1298 (1989).

16. L.T. Romankiw, Abstracts, 4 2 nd Meting of the International Society of

Electrochemistry, Montreux, Switzerland, Abstract PL 2 (1991).

17. T. Osaka, Abstracts, 4 2 nd Meeting of the International Society of electrochemistry,

Montreux, Switzerland, Abstract K.L. 2-1 (1991).

18. T.N. Khoperia, T.J. Tabatadze, T.I. Zedginidze, N.T.Khoperia, Abstracts, Meeting

of the Electrochemical Society, Los Angeles, California, May 5-10, 375 (1996).

19. L.T. Romankiw, Electrochim. Acta, 42, 2985-3005 (1997).

Electrochemical Society Proceedings Volume 99-9 359

Page 370: _sWni7aGN

20. C.J. Sambusetti, E.O. Sullivan, J. Marino, C. Uzoh, Abstracts, The 1997 JointMeeting of the Electrochemical Society and of the International Society of

Electrochemistry, Paris, France, 535 (1997).

21. T. Osaka, J. Kawaguchi, in Electrochemical Technology: Innovation and New

Developments (Edited by N. Masuko, T. Osaka and Y. Ito) 3-17, Kodansha

&Gordon and Breach, Tokyo and Amsterdam (1996).22. C.J.Sambusetti, in Electrochemical Technology: Innovation and New Developments

(Edited by N. Masuko, T. Osaka and Y. Ito) 69-91, Kodansha & Gordon andBreach, Tokyo and Amsterdam (1996).

23. Electroless Deposition of Metals and Alloys, Edited by M.Paunovic and I.Ohno, PV88-12, The Electrochemical Society Softbound Proceedings Series, Pennington, NJ,

306 (1989).

24. T.N. Khoperia, Z.Sh. Glonty, Russ. Journal Fisichescoi Chimii, Moscow, 49, 3,702-705 (1975).

25. T.N. Khoperia, N.A. Balashova, M.I. Kuleznova and B.V. Pailodze, Russ.J. ZashitaMetallov, Izdatelstvo "Nauka", 13, 6, 741-744 (1977).

26. T.N. Khoperia, A.V. Ulanova and V.V. Jdanov, Russ.J. Electrokhimia, 16, 1735-

1738 (1980).27. T.N. Khoperia, Abstract, International Conference, Progress in Electrocatalysis,

Ferrara, Italy, 281-282 (1993).28. T.N. Khoperia, T.J. Tabatadze, T.I. Zedginidze, Proceeding of the International

Symposium Surface Electrochemistry, Alicante, Spain, 95-96 (1997).

29. T.N.Khoperia, International Simposium on Electrodeposition and Corrosion

Science at Kyushu Institute of Technology, Kitakyushu, Japan, 17-19, September

(1998).30. T.N.Khoperia, The 195t" Meeting of the Electrochemical Society, Seattle, Abstracts

#308 and # 475 (1999).31. T.N.Khoperia, Replacement of Au and Ag by Ni Alloys and New Competitive

Submicron, LIGA and Resistless Technologies, Monograph in preparation.32. GO.Mallory and J.B.Hajdu, Editors, Electroless Plating: Fundamentals and

Applications, AESF, Orlando (1990).

360 Electrochemical Society Proceedings Volume 99-9

Page 371: _sWni7aGN

NOTCH- AND FOOT-FREE DUAL POLYSILICON GATE ETCH

Seung-joon Kim, Hong-seub Kim, Kwan-ju KohKae-hoon Lee and Jung-wook Shin

Etch Engineering Team, Anam Semiconductor Industrial222, Dodang-dong, Wonmi-gu, Buchon

Kyunggl-do, Korea 420-130e-mail: [email protected]

ABSTRACT

Vertical gate profile Is the most desirable and can be controlled/obtained by using directionally reactive ion etch for the uniformlydoped polysilcon. For the CMOS devices, on the other hand, sameprofile of NMOS gate and PMOS gate are difficult to achievesimultaneously. Instead, either notched and footed profiles will beobtained for one type of gate while the other having desired profile.This Is attributed to the different etch rate and etch characteristicsresulting from the different doping levels and species existing inNMOS gate material and PMOS gate material. In this study, we findthat by using longer breakthrough etch step, we can Improve the etchprofiles to close to vertical.

INTRODUCTION

The notch and foot formed during dual polysilicon gate etch need to beeliminated, since these Influence the effective gate channel length. The notch in theundoped polysilicon (p-type) forms due to etch rate differences resulting frompolysilicon doping effects(l). The foot In the n-type polysilicon (n-type) forms as aresult of polymer formation on the polysilicon sidewall. In order to eliminate theaforementioned issues, a new dual polysilicon gate etch process is proposed in thispaper. Excellent gate etch profiles have also been successfully demonstrated.

EXPERIMENTAL

P-type(100) Si wafers with a resistivity of 8-10 "cm were used. The isolationregions were defined by the shallow trench isolation (STI) technique. A gate oxidefilm of 5.4nm was grown, and then the polysilicon gate electrode was deposited upto250nm. An n-type region was formed in the polysilicon by implanting P+ ions at40KeV with 1.5E15 Ions/cm 2. This was followed by a 20min anneal at 900°C in a N2

Electrochemical Society Proceedings Volume 99-9 361

Page 372: _sWni7aGN

ambient. The polysilicon was patterned using a photoresist mask defined by an I-line stepper and then etched using the dual gate etch process on a magneticallyenhanced reactive ion etcher (MERLE).

RESULTS AND DISCUSSION

Fig.1-a & 1-b show the notch and the foot in the polysilicon gate profiles,etched with the conventional etch process. In this process, at the end of the mainetch (M.E) step, the oxide Is exposed in the n-type region but some polysiliconremains in the p-type region. During the first few seconds of the over etch (O.E)step, the n-type gets a uniform layer of polymer added on top of the polymer fromthe M.E. In the p-type, however, only the portion of the polysilicon exposed duringthe M.E step gets a similar added polymer layer. The unexposed portion gets amuch thinner layer of polymer, resulting from the O.E step only. At the end of thefirst few seconds into the O.E step, the oxide under the p-type polysilicon is alsoexposed. From this point on, for the remaining time in the O.E step, the ions arereflected off the oxide surface which attack the thin polymer at the foot of the p-type, thus eventually creating a notch. The n-type, however, is well protected fromthe reflected ions, by the added layers of polymer from the M.E and O.Echemistries. This results In the formation of a foot In the n-type region after gateetch.

To eliminate the notch in the p-type, we considered, a) increasing the etch timein the breakthrough (B.T) step, b) delaying the end point (EP) in the M.E stepand/or c) Improving the ion directionality during the O.E step. The third casebrings with it the risk of leaving polysilicon stringers in regions sensitive toshadowing from the etch species. To eliminate the foot in the n-type polysilicon, weconsidered reducing the amount of polymer in the M.E step by increasing thechlorine partial pressure. This, however, also reduces the polysilicon to oxideselectivity(2). Hence, it was decided that the optimum process to achieve a notch-and foot-free profile Is a combination of increasing the etch time In the SF6 basedB.T step and delaying the EP in the M.E step.

Since doping affects the etch rate of polysilicon, we investigated the etch ratecharacteristics of each gas used in the etch process (Table 1). We discovered that,the polysilicon etch rate with SF6 was Independent of doping effects. To minimizethe isotropic etch characteristics of SF6 in the B.T step(3), we used HBr, which Is awell known polymer forming gas, with a SF6:HBr ratio of 1:0.75. With this newprocess, vertical profiles were obtained after the B.T step, in both types ofpolysilicon. At the end of the B.T step, the remaining polysilicon thickness in the n-type was comparable to the p-type and was less than the amount of polysilicon afterthe B.T step in the conventional process (Fig. 2-a & 2-b). Also, the later the EP inthe M.E step, the thinner was the remaining polysilicon in the p-type, thus

362 Electrochemical Society Proceedings Volume 99-9

Page 373: _sWni7aGN

increasing the probability of reducing the notch. Similarly, the foot in the n-typewas also greatly reduced by the combination of longer B.T step time and delayedEP in the M.E step. Thus, notch and foot-free profiles were obtained by increasingthe probability of endpolnting on the oxide simultaneously in both types ofpolysilicon (Fig. 3-a & 3-b).

CONCLUSION

The phenomenon of notch and foot formation in the polysilicon hasbeen studied in this work. We found that the lesser the remaining polysiliconthickness after B.T step and the lesser the remaining polysilicon thicknessdifference between the n-type and p-type polysilicon after B.T and M.E steps, thehigher is the possibility of eliminating the notch and the foot. We also found thatincreasing the etch time of an optimized B.T process is the dominant factor inreducing the notch and the foot.

ACKNOWLEDGEMENTS

The authors would like to thank Mr. Vidyasagar Jayaraman (Kilby Center,Texas Instruments, Inc.) for his many useful discussions.

REFERENCES

1. Dennis M. Manos and Daniel L. Flamm, Plasma Etching, p148, AcademicPress, Inc(1989)2. L Y. Tsou, Highly Selective Reactive Ion Etching of Polysilicon with HydrogenBromide, J. Electrochem. Soc., 136, 3003(1989).3. C. J. Mogab and H. J. Levinstein, Anisotropic Plasma Etching of Polysilicon, J.Vac. Scd. Technol., 17, 721 (1980).

Electrochemical Society Proceedings Volume 99-9 363

Page 374: _sWni7aGN

(a) Notch in the p-type polysilcon (b) Foot in the n-type polysilicon

Fig.1 SEM images using the conventional etch process

Table 1 Etch rate characteristics of different as ratiosGas Etch rate

Chemistry Mixing Ratio Ratio (n/p)

SF6: IBr 1: 0.00 1.001 : 0.75 1.00

C12:HBr 1: 0.00 1.171 : 0.50 1.161 : 0.00 1.061 : 0.10 1.31

(a) Notch-free in the p-type polysilicon (b) Foot-free in the n-type polysilicon

Fig.2 SEM images using the new etch process

364 Electrochemical Society Proceedings Volume 99-9

Page 375: _sWni7aGN

(a) Notch-free in the p-type polysilicon (b) Foot-free in the n-type polysilicon

Fig.3 SEM images using the new etch process

Electrochemical Society Proceedings Volume 99-9 365

Page 376: _sWni7aGN

INTERFACIAL STRUCTURE OF Si/SiO2 STUDIED BY ANDIC CURRENTS

IN HF SOLUTION

Naomi Mizuta, Hirokazu Fukidome, and Michio Matsumura

Research Center for Photoenergetics of Organic Materials,

Osaka University

1-3 Machikaneyama, Toyonaka, Osaka 560-8531, Japan

When n-Si(ltl)/SiO2 electrodes were immersed in HF solution at

concentrations under anodic bias, a current peak appeared. The total

charge of the current was about 5 x 10.' C/cm2, which is a little below the

value expected based on the model for the ideal interface. The value was

lower for the samples prepared by the wet-oxidation than those did by the

dry-oxidation. The value for the samples prepared by wet-oxidation,

however, increased by annealing. These tendencies of the change in the

charge agree with the change in quality of the Si/SiO2 interfaces. The

anodic current is therefore considered to be a useful measure of the quality

of the Si/SiO2 interfacial structure.

INTRODUCTION

The quality of the Si/SiO, interface is crucial in MOS devices. The interracial

structure or the flatness on the atomic scale becomes very important as the demands for

very thin oxide increases. The Si/SiO2 interfacial structure has been studied by TEM,

and by AFM/STM for the surfaces after the oxide layer is removed by chemical etching.

Here, we report a novel electrochemical method for the evaluation of the interracial

structure, which can be applicable to a wide range of the thickness of the oxide layers.

The unique properties of Si/SiO 2 electrodes in HF solution have been known in

the field of electrochemistry [1-3]. Namely, an anodic peak current appears just when

the Si/SiO2 interface is exposed to the solution. Following the anodic current peak, the

Si surface is hydrogen-terminated. The aim of our present study is to correlate the

anodic current peak to the structure of the Si/SiO 2 interface.

366 Electrochemical Society Proceedings Volume 99-9

Page 377: _sWni7aGN

EXPERIMENTAL

The n-type Si(l 1I) wafers with resistivity of 10 .Qcm were cut into 10 x 10

mm 2 pieces, and oxidized under several conditions to make samples with different

Si/SiO2 structures. The anodic current flowing at the Si/solution interface was

measured using a Pt counter electrode and an Ag/AgCI reference electrode. The

potential of the Si working electrode was adjusted to +0.5V vs. the Ag/AgCI electrode.

For some measurements, we used Si(100) wafers.

RESULTS AND DISCUSSION

Figure 1 shows the typical anodic current profile observed when a Si( Il )/SiO2

electrode is immersed in a HF solution. The oxide layer dissolves into the solution in

the time period before the anodic current starts. This time period is almost

proportional to the thickness of the oxide layer. When the Si surface layer existing

under the oxide layer is exposed to the solution, the restructure of the surface takes

place. During the period, some Si atoms are dissolved into the solution as SiF62 ,

releasing electrons. This process causes the anodic current. After the restructuring of

the surface, the surface is terminated with Si-HI bonds [3]. The whole process is

schematically shown in Fig. 2. In the solutions with relatively high ItF concentrations,

the interfacial surface forming Si-O bonds is converted to Si-F bonds, as the result of

the replacement of OH with F. The surface is, then, converted to the hydrogen-

terminated one through the cleavage of the back Si-Si bonds.

We define the amount of charge passed during the anodic peak current as QP,which we consider to have useful information about the Si/SiO2 interfacial structure.

We started with the studies on the dependence of Q, on the HF concentration and on

the properties of the oxides.

Figure 3 shows the dependence of Qp, on the HF concentration for the

Si(O tI )/Si0 2(1 7 nm) electrodes. The QP is almost constant at concentrations above

1.5%, but becomes larger at lower concentrations. At low HF concentrations, the Si-

OH may not fully converted to Si-F. This can lead to a different process for the

Electrochlemical Society Proceedings Volume 99-9 367

Page 378: _sWni7aGN

cleavage of the Si-Si back bonds, and, therefore, different Q, values. In the following

studies, we used 2% HF for the measurements.

It is proposed that the oxidation of the Si(l 11) surface proceeds in the layer-by-

layer fusion [41. It is also known that the Si(I II) surface is flattened on an atomic

scale after the wet treatments with ammonium fluoride solutions or with pure water.

Figure 4 gives the restructuring process of the interface, which is drawn by combining

these pictures. By taking the atomic density of 7.8 x 10'4/cm 2 for the Si(ll I) surface,

the amount of charge released during the process is calculated to be 7.5 x 10.4 C/cm2 .

The experimentally obtained values for the Si(l I l)/SiO2 were normally in the range

from 4.5 x 10' C/cm2 to 5.5 x 10' C/cm2, as shown in Fig. 5. The highest value so far

obtained was 6.8 x 10-4 C/cm2. Generally, these values are in good agreement with the

value expected from the interfacial model. The lower Q., values obtained by the

experiments than the prediction is probably due to the deviation of the Si/SiO, interface

from the ideal one.

It is known that the Si(1I1l)/SiO2 interracial structure is improved by annealing,

especially for those of the wet-oxidation [5]. The results shown in Fig. 6 indicate that

the QP value approaches the ideal value by the annealing.

The QP value for the Si(100)/Si0 2 interface was about 3.5 x 10- C/cm2. It is

reasonable that Si(100)/SiO2 interface has lower Qp than the Si(lll)/SiO2 interface,

considering the interracial structures. If the Si(100)/SI0 2 interface is supposed to have/0/H ./H

the Si\ structure and it changes to Si,, the Q., becomes null. However, the Sh, 1

surface is too crowded to form stable surface. To avoid this hindrance, the dissolution

of the lower level layer follows to form the stable surface. This process produces the

anodic current, which was observed experimentally. However, we have not made the

correlation between the QP and the model, because the structure of the stabilized

surface is still controversial.

ACKNOWLEGDEMENTS

We thank to Dr. Watanane of Fujitsu Research Co. for allowing us to use the

oxidation furnace at his laboratory. This study was supported by Grants-in-Aid for

Scientific Research from Japanese Ministry of Education, Science, Sports, and Culture

(No. 09875211 and No. 10131245).

368 Electrochemical Society Proceedings Volume 99-9

Page 379: _sWni7aGN

REFERENCES

[1] M. Matsumura and S. R. Morrison, J. Electroanal. Chem., 147, 157 (1983).

[2] M. Matsumura and H. Fukidome, J. Electrochem. Soc., 143, 2683 (1996).

[3] J. Rappich and H.J. Leverenz, J. Electrochem. Soc., 142, 1233 (1995).

[4] A. Omura, H. Sekikawa, and T. Hattori, Appl. Surf. Sci., 117/118, 127 (1997).

[5] P.O. Hahn, S. Yokohama, and M. Henzler, Surf. Sci., 142, 545 (1984).

Electrochemical Society Proceedings Volume 99-9 369

Page 380: _sWni7aGN

70

60+0.5V vs Ag / AgCI

0 1% HFO 5

<Oxide 3nm• 40

* 30a)2 20C

= 10100

"0 10 20 30 40 50

Time / s

Figure 1. Anodic peak current observed by immersing an n-Si(1 I 1)/SiO 2(3 nm) electrode

in 1% HF solution at 0.5 V vs. Ag/AgCI.

SiO 2 H FFFFFF F!E. HHHHHH

Si Si Si

Figure 2. Changes in the structure of Si/SiO 2 in HF solution.

370 Electrochemical Society Proceedings Volume 99-9

Page 381: _sWni7aGN

30

25

E 20

to•b15

5

0 1 2 3 4

HF concentration (%)

Figure 3. Dependence ofQ, on the concentration of HF.

0: Si atom0 atom

0: H atom

Si F6 2

- Y- - -

Figure 4. Restructuring of Si(l I l)/SiO2 interface in HF solution.

Electrochemical Society Proceedings Volume 99-9 371

Page 382: _sWni7aGN

5.2

5.0 Dry 025.0E 4.8 %

r4.6Wet 02

-4.40

4.2

4.0

0 20 40 60 80

Si0 2 thickness / nm

Figure 5. Q., values obtained for the Si(11 l)/SiO 2 electrodes with as a function of the SiO2thickness.

5.4

5.3. 5.2E 5.1

- 4.9 Wet 02g 4.6474"82" 20nm

4.5

0 50 100 150

annealing time! min

Figure 6. Effect of annealing at 900 on the QP for the Si(1 I 1)/SiO2(20 nm) prepared by wet-

oxidation.

372 Electrochemical Society Proceedings Volume 99-9

Page 383: _sWni7aGN

EFECT OF DISSOLVED OXYGEN ON SURFACE MORPHOLOGY OF Si(l11)

IMMERSED IN NH 4F AND NH4OH SOLUTIONS

Hirokazu Fukidome and Michio Matsumura

Research Center for Photoenergetics of Organic Materials, Osaka University,1-3 Machikaneyama, Toyonaka, Osaka 560-8531, Japan

Dissolution processes of n- and p-type Si(1 11) surfaces in 40% NH 4F and2.5% NH4OH solutions were investigated. Usually, monohydride stepsappeared on the surface when flattened on the atomic scale. However,straight dihydride steps were formed on p-type Si(I 11) slightly misorientedin the direction of [112], when it was treated with 40% NH4F solutioncontaining dissolved oxygen. This was in contrast to the appearance ofmonohydride steps by the treatment of the surface with 40% NH4F solutionwithout dissolved oxygen. For n-type Si(l 11) slightly misoriented in thedirection of [112], monohydride steps appeared regardless of the existenceof dissolved oxygen. In alkaline solutions containing dissolved oxygen,Si( 11l) surface was not flattened, while it was atomically flattened ifoxygen was removed from the solution. From the measurements of the rateof dissolution of Si(l 11) surface and anodic current, oxygen dissolved in thesoluitons were concluded to have the passivation effect of the Si( 11)surface.

INTRODUCTION

It is well known that the Si(l 11) surface can be atomically flattened and hydrogenterminated by the treatment with NH4F solution [1]. It is also reported that the surfacecan be flattened in alkaline solution when an n-Si wafer is polarized cathodically[2]. Wehave studied the electrochemical properties of n-Si in fluoride-containing solutions [3, 4],and found that dissolved oxygen has a strong influence on the properties [5]. We alsofound that sulfite ions are very efficient deoxygenator for the NH 4F solution and affectthe electrochemical properties of Si electrodes [5] and also the flattening process in thesolution [51.

EXPERIMENTAL

Samples were firstly cleaned by the RCA method, followed by the removal of the oxide by HFtreatment. Then, sampleas were immersed in 40% NH4 F or NH4OH solutions. Dissolved oxygen waspurged by bubbling high-purity nitrogen-gas into the slutions or by addition of sulfite ion into solutions.The concentration of sulfite is 0.05 mol/l. The surfaces of the samples were imaged with a tapping modeAFM (Digital Instruments, Nanoscope I11a). Amounts of H2 evolved from solutions as the result of thedissolution of Si was quantitatively determined using a gas chromatograph (Shimadzu, GC-14B).

RESULTS AND DISCUSSION

When the Si( 111) surface with a misorientation in the [112] direction was treatedwith 40% NH4F with and without oxygen, straight and parallel steps were formed on thesurface[5]. These steps are assigned to the monohydride steps. Monohydride silicon isconsidered to be more stable than dihydrode and trihydride silicon on the surface. As the

Electrochemical Society Proceedings Volume 99-9 373

Page 384: _sWni7aGN

result, the steps formed on the flattened n- and p-Si( 11) surfaces in the solution aremonohydride steps[6]. However, we found that when the p-Si(III) surface slightlymisoriented in the (112] direction was treated with 40% NH 4F with dissolved oxygen,dihydride steps appeared on the surface. Figure 1 (a) shows the AFM image of such asurface with dihydride steps. Interestingly, however, when the surface was treated with40% NH4F without oxygen, zigzag steps were observed on the surface, as shown in Fig. 1(b). These steps are assigned to monohydride steps. Hence, it is concluded that oxygendissolved in the solution affects the surface morphology of the flattened p-Si(1 11) surface.In addition, it was found that the oxygen dissolved in the solution slows down theflattening rate of n- and p-Si(1 11) surfaces. The result that the dihydride stpes appearonly on the p-Si( 111) surface suggests that holes accelerates the dissolution of the stepedges or kinks on the dihydride steps.

Although alikaline solutions are also good etchant of Si, it is difficult to get flatSi(1 11) surface on the atomic scale by the treatment with these solutions [2]. Theformation of flattened Si(1 11) surface by the treatment with alkaline solution has onlybeen reported under the application of cathodic bias[2]. However, we found that thesurface can be easily flattened if oxygen is removed from the alkaline solution. Figure 2shows the AFM images of the n-Si(1 11) surfaces after treatment with 2.5% NH14OH withdifferent concentrations of dissolved oxygen. When the content of oxygen is high, or thesolution equilibrated with air, flattened surface cannot be obtained even after a treatmentfor a long period (Fig. 2a). In contrast, atomically smooth terraces and monohydride stepsappeared on the surface by lowering the content of oxygen. Figure 2b shows the surfaceafter the treatment with the 2.5% NH-4OH solution bubbled with nitrogen gas; theconcentration of oxygen was about 0.1 ppm. The surface treated with the solution fromwhich oxygen was removed by sulfite ions show atomically smooth terraces and verystraight steps, as seen in Fig. 2c. The concentration of oxygen in this solution wasestimated to be lower than 5 ppb. Such flattend surfaces were also obtained using p-Si(1 11) wafers. On the flattened Si(I 11) surface formed by the treatment with alkalinesolutions without dissolved oxygen, only mono-hydride steps are formed.

We tried to elucidate the reasons for the specific effect of dissolved oxygen on themorphology of Si(1 11) surface after the wet processes. Although further studies have tobe done, we found two results relating to the effect of oxygen on the wet-etching ofSi(1 11). First, we found that the etching rate of Si(l 11) is lowered by the oxygendissolved in solutions. The rates can be determined by monitoring hydrogen, whichevolves with the dissolution of Si into the solution. For example, the rate of thedissolution of Si( 1111) into the 2.5% NH4OH solution fell almost 50% by the dissolvedoxygen at the concentration of 9 ppm. Second, the anodic current of n-Si(1 11) electrode,which relates to the etching and flattening process [5], is lowered by the presence ofoxygen in the solution, as shown in Fig. 3. These two results suggest that oxygendissolved in the solution has the effect to passivate the Si(I 11) surface. The appearanceof dihydride steps by the treatment with oxygen-containing 40% NH4F suggests thatdihydride steps are preferentially passivated by oxygen.

ACKNOWLEGDEMENTS

This study was supported by Grants-in-Aid for Scientific Research fromJapanese Ministry of Education, Science, Sports, and Culture (No. 09875211 and No.10131245).

374 Electrochemical Society Proceedings Volume 99-9

Page 385: _sWni7aGN

REFERENCES

1. G. S. Higashi, Y. J. Chabal, G. W. Trucks, and K. Raghavachari, Appl. Phys. Lett. 56(1990) 656.2. P. Allongue, V. Kieling, H. Gerischer, J. Electrochem. Soc., 140, 1008 (1993)3. M. Matsumura and H. Fukidome, J. Electrochem. Soc., 143, 2683 (1996)4. H. Fukidome and M. Matsunura, J. Electrochem. Soc., 144, 679 (1997)5. H. Fukidome and M. Matsumura, Appl. Surf Sc., 130-132, 146 (1998)

Electrochemical Society Proceedings Volume 99-9 375

Page 386: _sWni7aGN

(a)

(b)

Fig. 1 AFM images of p-type Si(l 11) slightly misoriented in the direction of [-1-12]treated with 40% NH4F, with oxygen (a), without oxygen (b) where oxygen wasremoved by sulfite ions. Scan areas areS00 x 500 nm2.

376 Electrochemical Society Proceedings Volume 99-9

Page 387: _sWni7aGN

(a) (b)

(c)

Fig. 2 AFM images of n-type Si(l l1) slightly misoriented in the direction of [1121treated with 40% NH4F, with oxygen (a), without oxygen by bubbling high-puritynitrogen gas (b), without oxygen by addition of sulfite ions (c). Scan areas are 1000 x1000 nm2.

Electrochemical Society Proceedings Volume 99-9 377

Page 388: _sWni7aGN

70.7 0 " ' " ......... .......... . ........... . ............. ........... . .. . . . :

t i

"4j 4 ........ ................... .=................... .t...... ........ i . ..70 -................. i!!i .:60 ] Without oxygen-- 3 0 .................. I. ............. ............. .. ................... ............... ... Wi t O x g _

.. .... ... .... ......... ................... .................... ....... ......... ......... .................

50i i Il40 . .......... E,...........•-t:-' .... :,-"

S/ iI WthOxygen

10 ........ ........ . ... . .............................. ..... .."''.,

, o ''-i ..........-

0 L~~

0 50 100 150 200 250 300

Time (s)

Fig. 3 Anodic current of n-Si(I 11) in 40% NH4F solutions with and without oxygen.

378 Electrochemical Society Proceedings Vohlme 99-9

Page 389: _sWni7aGN

POROSITY AND SURFACE ENRICHMENT BY TELLURIUM

OF ANODIZED p-Cd0 .g5Zn 0.osTe

B.H. Ern6, J. Vigneron, C. Mathieu, C. Debiemme-Chouvy, and A. Etcheberry

Institute Lavoisier (IREM) UMR CNRS CO1 73, Universitj de Versailles St-Quentin-en-

Yvelines, 45 Avenue des Etats-Unis, F-78035 Versailles, France

ABSTRACT

By anodic treatment in acidic solution, p-Cd0.95Zn0 .05Te becomes porous.

Coulometry and chemical analysis by X-ray photoelectron spectroscopy indicatethat the pore walls are covered by a more or less homogeneous layer of elemental

tellurium. A passivating effect of this layer could explain why anodic etching ofthe p-type material yields a porous morphology, and the layer could also be

responsible for changes observed in the photoelectrochemical properties.

INTRODUCTION

Present-day infrared detector technology is based on semiconducting materials from

the (Hg,Cd,Zn)(Se,Te) family. Two important examples are the infrared-absorber Hg1-xCdjTe

and the material on which it is usually epitaxially grown, p-Cdo.95Zno.0 5Te. As thesematerials are mechanically fragile and cannot be heated much above room temperature, their

industrial surface preparation relies heavily on (electro)chemical treatments, for polishing,

surface passivation, etc. A major complication is that almost any wet surface treatment will

cause the surface to become enriched with the II or the VI element [1]. The processes which

lead to these changes in surface stoichiometry often involve charge transfer between the

semiconductor and the electrolyte solution, as when CdTe is exposed to Ce4+ etchingsolutions [2]. Electrochemical studies are therefore crucial in order to improve theunderstanding of the wet (electro)chemical behavior of II-VI materials. In the present work,we investigate surface changes at p-Cdo. 95Zn0.05Te by cyclic voltammetry, coupled with

surface analysis by X-ray photoelectron spectroscopy (XPS). We reveal that after anodic

treatment in acidic solution, p-Cdo.95Zno.05Te is porous, with elemental tellurium on the porewalls. The role of the tellurium layer in the etching mechanism and the effect of the layer on

the photoelectrochemical properties are discussed.

Electrochemical Society Proceedings Volume 99-9 379

Page 390: _sWni7aGN

EXPERIMENTAL

Experiments were carried out at room temperature on (100)-oriented p-CdO. 95Zno.05Tewith a dopant density ofrl0 cm" . Gold ohmic contacts were obtained at room temperatureby electroless deposition. A classical three-electrode setup was used, with a p-Cdo. 95Zno.05Teworking electrode, a platinum counter electrode, and a saturated mercurous sulphate referenceelectrode (MSE = +0.64 V vs SHE). XPS surface analysis was carried out using a VGESCALAB 220i-XL (5 10"9 Torr pressure, monochromatic Al K. X-ray radiation). Airexposure was avoided during transfers by using an argon-filled glove box.

SURFACE CHEMISTRY

Cyclic voltammograms were recorded for p-CdO.q5Zno.05Te in 0.5 M H2SO4. Potentialcycling was interrupted repeatedly for surface analysis of the electrode by XPS. The transfersto ultrahigh vacuum did not appear to have an effect on electrochemical behavior. Avoltammogram is shown in Fig. 1 and the corresponding XPS spectra in Fig. 2. The Cd5/2signals are not shown, since for all the experiments, the only cadmium atoms detected werethose present inside the p-CdO.95ZnO.05Te crystal. In contrast, the shape of the Te5/2 signal didchange, due to variations in surface coverage by elementary tellurium (Te'). Initially (a), apolished p-CdO. 95Zno.05Te surface (treated with Br 2/CH 3OH, followed by 0. 1 M KOH) is only

Te 3dS123.0- ii)

'. 2.0- bb

' 1.0- al Z'.Q 0.0-

-1.0- Aa

-2.0-

-1.0 -0.5 00 0,5 10 574 573 572ElVvsIVISE Binding Energy/eV

Figure 1. Cyclic voltammogram for Figure 2. XPS spectra of the 3d5/2p-Cdo.95Zno.0 5Te in 0.5 M H2S0 4 under tellurium level recorded after prolongedillumination; (a), (b), and (c) refer to polarization at different points of thepoints where measurement of the cyclic voltammogram (Fig. 1). Spectralvoltammogram was interrupted for XPS shape changes because the ratio ofanalysis (see Fig. 2). elemental tellurium to tellurium inside

p- Cdo.9 5Zn0.05Te changes, from 0.5 (a)to 2.0 (b) and 1.4 (c).

380 Electrochemical Society Proceedings Volume 99-9

Page 391: _sWni7aGN

slightly enriched with elemental tellurium (Te°) at pH 0. Anodic treatment (b) causes anodic

dissolution and growth of a thicker Te' layer. Cathodic treatment removes Te°. This is clearfor Te° produced by etching in a Br 2 solution, but Te° obtained anodically is removed less

completely (c). Coulometric analysis of the cathodic peak related to the removal of Te"

suggests that the longer the anodic treatment, the more Te' is obtained at the surface of the

electrode. The amount of Te° is more or less linear with the etching time. After prolonged

anodic treatment, the amount of Te' detected coulometrically corresponds to a layer which is

several microns thick. In contrast, the XPS signals continue to have a strong contribution

from p-Cdo. 95Zno.05Te, suggesting that the Teo layer thickness is only a few nanometers.

POROUS MORPHOLOGY

The apparent discrepancy between coulometry and XPS analysis is explained by the

porous morphology of the anodized material, with a uniform layer of Te' at the surface of thepore walls. A cross section of anodized p-Cdo.95Zno.05Te is examined in Fig. 3. The porous

layer is obtained below the initial surface, which remains largely unaffected (constant z-

position, Fig. 3a). Porous features are observed on the scale of several microns and on the

submicron scale (Fig. 3b). Coulometry detects all Te° on the porous surface, in electrical

contact with the non-porous substrate. However, XPS analysis probes only the first 10 nm of

the sample, the retrieval depth of electrons. This is illustrated schematically in Fig. 4.

probe depth

4 - N

Figure 3. Scanning electron Figure 4. Schematic illustration of themicrographs of the porous layer (PL) porous layer etched into p-revealed by a cross section of Cdo.95Zno.05Te. Te° is present on thep-Cd0.95Zno.05Te after prolonged anodic pore walls.etching (total anodic charge 66 C cm 2).

Electrochemical Society Proceedings Volume 99-9 381

Page 392: _sWni7aGN

The observation in Fig. 3a of a porous layer with a largely unchanged initial surface isimportant information about the dissolution mechanism. It implies that three requirements arefulfilled: (1) the etching rate is kinetically determined and anisotropic, since etching starts atselected "weak spots" of the initial surface, such as at dislocations; (2) the etching rate ishighest where the electric field is highest, so that pores propagate once initiated, due togeometrical enhancement of the electric field at the pore tips; and (3) pores stop to widenonce they have been created, else the boundary of the porous layer would not remain at thesame z-position as at the start of porous etching.

The third condition means that the dissolution rate is very low at the pore walls. This

condition is not generally fulfilled with p-type electrodes, at least when the dissolutionproducts dissolve easily in the electrolyte solution. For instance, p-type GaAs does not

become porous during anodic etching at pH 0 [3]. The reason is that for a p-type material,holes are abundant-they are the majority electrical carriers-so that etching occurs acrossthe entire surface and pore walls are not stable. The result is electropolishing. The situationis different under conditions where the dissolution products are not very soluble. When thesurface is partially passivated by an oxide layer, etching may just occur at sites where theelectric field is sufficiently high to break through the oxide (i.e., at pore tips), while the rest of

the surface remains passivated (i.e., the pore walls). In this way, porous etching of p-typeGaAs has been observed at pH values where surface oxides are present [3].

The porous etching of p-CdO. 95ZnO.05Te could therefore be related to a partial surface

passivation process occurring at the same time as anodic etching. Examination of themicroscopic dissolution mechanism suggests what this partial passivation process could be.At pH 0, the CdTe-like material dissolves in two steps, with elemental tellurium as an

intermediate [4]:+ 2-

CdTe + 2h -->Cd 2+(q) +Te' (1)Te' + H20 + 4 h+ --* HTeO 2+(aq) + 3 Ht (aq) (2)

A Te' phase is known to accumulate at the CdTe surface during anodic etching [5]. It wasobserved during photoanodic etching of n-type CdTe, which does not become porous [5].Etching can thus clearly stop at Te', indicating that step (2) is slower than step (1). Ittherefore seems plausible that the etching rate of p-Cd0.95ZnO.0 5Te could locally decrease asthe local thickness of the Te' layer increases, thus passivating the surface of the pore walls.

An alternative explanation was proposed by Wehrspohn et al.[6] for the porousetching of p-type materials under conditions where the resistivity of the semiconductormaterial exceeds that of the electrolyte solution; under those conditions, an instability in thespatial distribution of the electric field can lead to macroporous etching. Conditions for thatmechanism are fulfilled in our case, as the p-Cdo. 95Zn0.05Te has a resistivity of 2000 0) cm,much higher than that of the electrolyte solution, 5 0 cm for 0.5 M H2SO 4 [7].

382 Electrochemical Society Proceedings Volume 99-9

Page 393: _sWni7aGN

PHOTOELECTROCHEMICAL PROPERTIES

Porous etching of semiconductors often leads to fundamental changes in the opto-

electrical properties. Nanoporous etching of silicon causes the change from weak

luminescence in the infrared range to strong luminescence in the visible range [8].

Microporous etching of gallium phosphide was recently shown to lead to strong photonic

effects 19]. Here, we will focus on the effect of porosity on the photoelectrochemical

properties. With gallium phosphide, it was demonstrated that porous etching can cause an

enormous increase in the subgap photocurrent quantum yield [10,11].

The effect of porous etching on the photoelectrochemical properties of

p-Cd0.95Zn0.05Te is shown in Fig. 5 (uncorrected for the lamp spectrum). The photocurrent

yield drops across the entire spectral range, but a peak is observed at about 810 nm, close to

the direct bandgap of the material. The decrease in photocurrent is probably due to the

appearance of Te' at the surface. It was demonstrated with n-CdTe that a planar Te' layer at

the surface of CdTe decreases the photocurrent by absorbance of the light, especially at low

wavelengths [5]; but in that case, CdTe is not porous and no photocurrent peak as that in Fig.

5 is observed. A partial explanation could be that the peak in Fig. 5 results from two

opposing effects, the photocurrent-lowering effect of absorbance by Te° at low wavelengths

and the photocurrent-enhancing effect of porosity at high wavelengths [10,111. Further study

is required in order to conclude on the exact cause of the photocurrent peak.

Figure 5. Cathodic photocurrentspectra of p-Cd0.95Zn0.05Te in 0.5 MH2S0 4 at -1 V vs MSE (hydrogenevolution) (a) before anodic etching, a0.2and (b,c,d) after respectively 4, 30, and60 seconds at + 1 V vs MSE porousb

etching). A weak light intensity wasused so that the total cathodic charge C 0.1during spectral recording correspondedto less than 1 mC per cm initial surface d(detection using a chopper and a lock-in _00at 60 Hz). I I

500 700 900

wa velength / nm

Electrochemical Society Proceedings Volume 99-9 383

Page 394: _sWni7aGN

CONCLUSIONS

Anodization makes low-doped p-Cd0.95Zn0.05Te become macroporous, and the surfaceof the porous network appears to be covered everywhere by about the same thickness ofelemental tellurium. Porous etching affects the opto-electrical properties, leading to a peak inthe cathodic photocurrent spectrum at a wavelength close to the direct bandgap. A

passivating effect of the tellurium layer on the pore walls could be largely responsible forobtaining a porous morphology, the layer stabilizing pore walls against further dissolution.

ACKNOWLEDGEMENT

We thank A. Million (LETI/CEA, Grenoble, France) for the p-Cdo 9sZno o5Te.

REFERENCES

1. A. Etcheberry, F. Iranzo-Marin, E. Novakovic, R. Triboulet, and C. Debiemme-Chouvy,

J. Cryst. Growth, 184/185, 213 (1998).2. F. Iranzo-Marin, J. Vigneron, D. Lincot, A. Etcheberry, and C. Debiemme-Chouvy, J.

Phys. Chem., 99, 15198 (1995).

3. P. Schmuki, J. Fraser, C.M. Vitus, M.J. Graham, and H.S. Isaacs, J. Electrochem. Soc.,143, 3316(1996).

4. D. Lincot and J. Vedel, J. Electroanal. Chem., 220, 179 (1987).5. F. Iranzo-Marin, C. Debiemme-Chouvy, I. Gdrard, J. Vigneron, R. Triboulet, and A.

Etcheberry, Electrochim. Acta, 42, 211 (1997).6. R.B. Wehrspohn, J.-N. Chazalviel, and F. Ozanam, J. Electrochem. Soc., 145, 2958

(1998).

7. R. Pointeau, in Nouveau Traitj de Chimie Minirale, Vol. 13-2, P. Pascal Editor, p. 1358,Masson, Paris (1961).

8. A.G. Cullis and L.T. Canham, Nature, 353, 335 (1991).

9. F.J.P. Schuurmans, D. Vanmaekelbergh, J. van de Lagemaat, and A. Lagendijk, Science,284, 141 (1999).

10. B.H. Erad, D. Vanmaekelbergh, and J.J. Kelly, Adv. Mater., 7, 739 (1995).11. B.H. Ernm, D. Vanmaekelbergh, and J.J. Kelly, J1 Electrochem. Soc., 143, 305 (1996).

384 Electrochemical Society Proceedings Volume 99-9

Page 395: _sWni7aGN

Passivation Processes of Hg 0 .7 9 Cd0 .2 1 Te by Oxydation in Basic Media.

Frank Lefevrea, Dominique Loransa, C, Debiemme-Chouvyb, A. Etcheberry,Dominique Ballutaudc and Robert Tribouletc,

aSA GEM SA, 26 avenue des Hauts-de-la-Chaume, 86281, St-Benoit Cedex, France.bIREM-UVSQ,45 Avenue des Etats-Unis, 78035 Versailles Cedex,

France.CLaboratoire de Physique des solides de Bellevue, CNRS, I place AristideBriand 92195 Meudon Cedex, France.

ABSTRACT

Mercury cadmium telluride (HgCdTe) is a direct bandgapsemiconductor widely used as a material for infrared detectors due tohis narrow variable band gap. The achievement of high-performancedetectors depends critically on a low surface recombination velocityof the minority carriers. The chemical growth of a passivationoxidized superficial layer in an aqueous Fe(CN) 6 3- basic solution isstudied in this work. The depth profiles of the different elements inthe oxidized layer superficial layer and its thickness are studied by X-ray photoelectron spectroscopy. The electrical properties of theinterface are evaluated from MIS devices. The conditions ofoxidation have been optimized.

INTRODUCTION

In Hg0.7 9 Cd 0 .2 1 Te, the band bending due to the surface potential is of the sameorder as the narrow gap energy (2.5 eV at 300 K) itself and may give rise to chargedepletion, accumulation or inversion. Consequently the properties of the passivationlayer/Hg0.7 9 Cd 0 .2 1 Te interface has an important effect on the CdHgTe detector

performances. The oxidized layer has to be processed at low temperature (<380 'C),to passivate the surface defects, to be reproducible, to lead to a stable interface, andto present good mechanical properties (adhesion). By oxydation process the surfaceis removed. But the conditions of oxidation may affect the microstructure of theoxide/Hg0 .7 9 Cd 0 .21Te interface and the underlayer electronic properties.

Several oxidation techniques may be considered, such as oxygen plasma

treatments, electrochemical anodic oxidation or chemical oxidation. The plasmatreatment leads to a degraded interface and the anodic oxidation should require amodification of the detector achievement process. The chemical oxidation process of

Electrochemical Society Proceedings Volume 99-9 385

Page 396: _sWni7aGN

Hg0. 79 Cd0.2 1 in an aqueous Fe(CN)63- basic solution is presented in this work and

an oxidation mechanism is proposed.

EXPERIMENTAL

The investigated Hg 0.79 Cd0 .2lTe samples were single crystals grown by theTravelling Heater Method (THM) technique [1]. The n-type doping level ofHg0. 79Cd0 .2 1Te was 2.7 1015 cm-3 . They were cut into wafers and the preparedsurface (Hg-Cd face) was orientated following the <111> direction. The crystalswere mechanically polished, then etched by a bromine-ethylene glycol solution. Theoxides were grown at room temperature using a Fe(CN) 6

3 basic (KOH, pH about11) aqueous solution under various stirring conditions (rotation).

The XPS analyses performed to determine the chemical composition and thethickness of the oxidized superficial layer on Hg. 79Cd0 .2 1Te were carried out on aLeybold Heraus XPS spectrometer with a hemispherical analyser. Photoelectronswere excited by the MgK• radiation. After the oxidation treatment, the samples weretransferred into the analysis chamber. Sputter profiling of the surface was performedwith Ar+ ions (3kV, 10 mA, 5.10-6 mbar). Due to the different sputtering ratesobserved on HgCdTe ternary compounds [2], the atomic sensivity factors used forthe element concentration calibration were empirically obtained from aHg0. 79Cd 0 .2 1Te reference sample prealably etched by a bromine-ethylene glycolsolution and sputtered ten minutes with the same ion beam parameters with theassumption that the values were the same in the oxidized layer and in the samplebulk. These profiling results provide a qualitative understanding of the oxidized layerstoichiometry. The sputter depth calibration was obtained by measuring the step onthe edge with a TENCOR profilometer.

The different types of oxides grown on Hg 0 .79Cd 0.21 Te were analysed as afunction of the stirring rotation speed. Sample etching occuring simultaneously withoxide deposition, some samples were partly masked in order to measure separatlythe etching rate and the oxide growth. The etched depth was measured with aTENCOR profilometer.

The electrical properties of the oxide layer/Hg0. 79 Cd0 .21Te interface wereanalysed in the dark by C(V) measurements at 1MHz. The MIS structure wasachieved by a gold grid. For comparison, a MIS structure was performed bydeposition of ZnS directly on Hg0 .79Cd 0 .2 1Te.

386 Electrochemical Society Proceedings Volume 99-9

Page 397: _sWni7aGN

RESULTS

Effects of the stirring speed during oxidationThe results concerning the influence of the rotation speed on

Hg0. 79Cd 0.21 Te oxidation are presented in table I.

Table 1: Etched thickness and oxidized layer thickness as a function of therotation speed (treatment during 4 min).

Stirring Rotation Speed Etched Thickness Oxide Layer Thicknessrot/min nm nm

0 70 50

66 120 100

84 200 180

129 210 200

168 240 160

The results show that for all rotation speeds the etched thickness is higher than theoxide layer thickness. For speeds higher than 168 rot/min the thickness of the oxidelayer is not homogeneous and it does not stick. The combination of etching andoxide growth during the same process step allows to perform in the same timedecontamination of the surface and growth of the passivation layer.

XPS resultsFigure 1 and 2 show the concentration profiles of tellurium, cadmium,

mercurium and oxygen in the same sample calculated from the XPS spectra ofTe3d5/2, Cd3d5/2, Hg4 f-/2 and 0 Is levels obtained after oxidation without stirring(figure 1) and with stirring (150 s, 66 rot/min) (figure 2). The thickness of theoxidized layer obtained is about 100 A in the first case and 700 A in the secondcase. The error on XPS profiles comes mainly from roughness and layersmixing. It can be observed that the oxidized layer is highly depleted withmercurium and tellurium, and that it presents a large excess of cadmium. The XPSCd3d5/2 spectra corresponding to figure 2, obtained for a 400 A sputter depth,is reported on figure 3, curve a. It exhibits a peak maximum at 405.7 eV, with a fullwidth at half maximum (FWHM) of 1.10 eV, while for a 900 A sputter depth,

Electrochemical Society Proceedings Volume 99-9 387

Page 398: _sWni7aGN

0.6 - -Ols

S0.5- -HgMf0. - Te 3d (ox)

4H 4

0.4 - Te 3dCL 0.3 - "- • " " - - - - =Cd 3d

S0 .2 -.

0

0 100 200 3 0 Obepth A 400

Figure 1: Depth profiles of the elements in the oxide layer (oxidation without stirring)

O"-7 - Ols

S....... 3d52

.............. Te 3d5/2 (ox)

0.3 - - -- Te 3d 52

Q 2 f....H4f7/2

0 ,- - -. . .- .. .. - . .•-

0 200 40 600 thA 1000

Figure 2: Depth profiles of the elements in the oxide layer (oxidation withstirring, 66 rot/min)).

3100

2680

2114

600

588 586 564 582 580 578 576 574 572 570 568

,V

Figure 3: XPS analysis of the core level Te3dS/2 in the oxide layer; (a) at thesurface; (b) in the oxide layer; (c) in the bulk.

388 Electrochemical Society Proceedings Volume 99-9

Page 399: _sWni7aGN

the maximum of this peak is at 405.2 eV with a FWHM of 0.8 eV (figure 3, curveb), values generally found in the CdTe bulk after sputtering [3]. Besides, it must bepointed out that the oxygen profile follows roughly the cadmium one (figure 2).Except for a few monolayers at the surface, (figure 3, curve a) the tellurium, theconcentration of which is about 10 % at 400 A deep in the oxidized layer, is mainlyelementary tellurium Te0 , as shown by the Te3d5/2 XPS spectrum (figure 3, curveb) which presents a peak maximum at 573.1 eV. Elementary tellurium has beenpreviously evidenced by some of the authors at the surface of CdTe oxidized in aC4+ or H2 SO 4 solution [3][4]. This elementary tellurium does not seem to be theresult of argon sputtering, since it was not detected in sputtered CdTeO 3 [3]. In theHg0 ,79Cd0 .2 1Te bulk (900 A sputter depth), the Te(-II) bonds XPS signal appearsat 572.6 eV (figure 3, curve c), this same binding energy being observed in CdTe[4]. Considering the low mercurium concentration, and the absence of oxidizedtellurium, it may be assumed that the cadmium in the oxidized layer is mainly in theform of oxide CdO, and perhaps partly in the form of Cd(OH) 2 [4].

Previous studies performed on CdTe by Etcheberry et al [4] allow to assumethat the oxidation mechanism occurs through hole injection in the valence bandwhen Fe(CN) 6

3 - is used as oxidizing agent, as suggested by the relative positions ofthe electronic levels. The first step is a dissolution by oxidation of theHg0. 79Cd0 .2 1Te species, then, when the species solubility limits are reached, thesecond step, precipitation, occurs. Varying the stirring speed modifies thecompetiton between these two mechanisms, which explains the very differentthicknesses of the oxide layers that are observed without and with stirring (figure 1and 2).

The fact that the composition of the oxidized layer is mainly governed bycadmium and oxygen can be explained by the different solubilities of oxidizedcadmium, tellurium and mercurium in basic media. For pH values about 11, the ionicsolubility of Cd2+ is much lower than the Hg2 + or Te 4+ one. Aspnes et al [5] haveshown that at a pH of 11, a fraction of the oxide formed on HgCdTe in basic mediais highly soluble leading to a porous layer. By stirring the solution during theoxidation process, the more soluble TeO2 and HgO are removed from the samplesurface, while the least soluble CdO is forming the most part of the oxidized layer onthe Hg0.79Cd 0.21Te. The oxidation of HgTe in a Fe(CN) 6

3 basic aqueous solutionconfirms this mechanism, as no oxide layer growth is observed on pure HgTe,except the native oxide.

Electrical properties of the interface oxidized layer/Hg 0 .79 Cd0 .21TeThe oxidized layer charge densities and interface state densities are reported

in table I1.

Electrochemical Society Proceedings Volume 99-9 389

Page 400: _sWni7aGN

Table II: Charge densities in the oxide layer and interface state densities Jor theoxide layer growth with stirring and without stirring (during 150 s).

MIS Steady Charge Mobile Charge Interface StateDensity (cm-2 ) Density (cm-) Density (cm-2 )

ZnS 1.8 1011 4.4 1011 8 1011

Oxide formedwithout stirring 1.2 1012 5.3 1011 1.7 1011

Oxide formedwith stirring 5.1 1011 1.7 1011 2.8 1011(66 rot/min)

Oxidationwith two different 1 1012 not detectable 4 1010successive stirringspeeds

By combining the two modes - oxidation with stirring and without stirring - theinterface state density is strongly decreased. The increase of the steady chargedensity in the oxide layer should lead to an improvement of the photoconductordevice. The lifetime value of the minority carriers at the interface is about 5 10-8 s,(bulk value: 10- 7 s). The control of the kinetics of etching of the Hg 0 .79 Cd0 .21Tesurface and deposition of the passivation oxide layer should allow to improve theelectrical properties of the interface and the oxide layer and consequently thephotodetector performances.

References[1] R. Triboulet, T. Nguyen Duy and A Durand, J. Vac. Sci. Technol. A3 (1985)

95.[2] U. Solzbach and H. J. Richter, Surface Science, 97 (1980) 191.[3] C. Debiemme-Chouvy, F. Iranzo Marin, U. Roll, M. Bujor and A.

Etcheberry, Surface Science 352-354 (1996) 495.[4] F. Iranzo Marin, J. Vigneron, D. Lincot A. Etcheberry and C. Debiemme-

Chouvy, J. Phys. Chem. 99 (1995) 15198.[5] D. E. Aspnes and H. Arwin, J. Vac. Sci. Technolog. A2(3) (1984) 1309.

390 Electrochemical Society Proceedings Volume 99-9

Page 401: _sWni7aGN

AUTHOR INDEX

Acosta, Eddie 103Agarwala, B. 1Alieman, J. 309AIlongue, P. 160, 177Andricacos, P.C. 1, 52, 111

Baker, Brett C. 103Ballutaud, Dominique 385Barkey, D. 134Barnes, Peter A. 282Batchelor, W. 309Beaunier, L. 263Bizetto, F.C. 221Bhattacharya, R.N. 309Boldo, E.M. 221Bozack, Michael J. 282

Cabral, C. 111Cachet, H. 263Carnell, C. 1Chen, L. 71, 122Chen, Michelle 25Chen, William 340Chiu, Shao-Yu 256Chowdhury, Rina 103Chung, D. 1, 111Contolini, R.J. 83Cooney III, E. 1Cote, W. 1Cunningham, Tim 238

Dal, Bau-Tong 256Dawson, Dean J. 238Debiemme-Chouvy, C. 379, 385Delatorre, R.G. 221Deligianni, H. 52, 83de Oliveira, L.S. 221Diaz, R. 160Doesburg, J. 329Dordi, Yezdl 25Dukovic, J.O. 52, 83Duquette, David J. 61, 212

Electrochemical Society Proceedings Volume 99-9 391

Page 402: _sWni7aGN

D'Urso, John 25

Edelstein, Daniel C. 1Elbahnasawy, R.F. 242Ern•, B.H. 379Etcheberry, A. 231, 379, 385

Feng, Ming-Shiann 256Flori, M.A. 221Flowers, Jr., Billy H. 272Fluegel, J. 111Forni, F. 294Foresti, M.L. 294Froment, M. 263Fukidome, Hirokazu 366, 373Fung, H.P. 194

Ge, Larry M. 238Geffken, R. 111Gighuhi, Anthony 282Gignac, L. 111Gill, William, N. 61Goh, Wang Ling 168Gomes, W.P. 156Gorostiza, P. 160Grebs, T. 185Cumbo, J. 185

Hamilton, Greg 103Happek, Uwe 272Herrick, Matthew 103Hey, Peter 25Heyns, M.M. 156Hoffmann, Peter M. 149Horkans, J. 111Hsu, Jyh-Wel 256

Inman, M.E. 201Innocenti, M. 294Ivey, D.G. 329

Keane, J. 309Kelly, James J. 16Khoperla, T.N. 352Kim, Hong-seub 361

392 Electrochemical Society Proceedings Volume 99-9

Page 403: _sWni7aGN

Kim, Seung-joon 361Ko, Tze-Man 340Koh, Kwna-ju 361Krishnamoorthy, Ahila 212Kwietniak, K. 111

Lauffer, J. 185Landau, Uziel 25Lee, Charles Y. 61Lee, Kae-hoon 361Lee, YI-Fon 96Leedy, K.D. 201Lefevre, Frank 385Lipin, Andrew 25Liu, Kai Yu 168Locke, P. 1,111Long, John G. 149Lopatin, Sergey 9Lorans, Dominique 385Luce, S. 1

Malhotra, S. 111Malik, Atif 25Martins, L.F.O. 221Mason, A. 309Mathieu, C. 379Matsumura, M. 366, 373Maurin, G. 263McHugh, P.R. 71Mclnerney 242Megivern, C. 1Mertens, P.W. 156Mizuta, Naomi 366Moffat, T.P. 41Morante, J.R. 150Munford, M.L. 221Murarka, Shyam P. 212

Ng, Wei-Chin 340Noufl, R.N. 309

O'Keefe, M.J. 201Oskam, Gerko 318

Papapanaylotou, Demetrius 96

Electrochemical Society Proceedings Volume 99-9 393

Page 404: _sWni7aGN

Pasa, A.A. 221Parks, C. illPatton, E. 83Pena, David 103Pezzatini, G. 294Pillier, F. 177

Radisic, Aleksandar 149Reid, J. 83Ridley, Sr., R.S. 185Rltzdorf, T. 122Rodbell, K.P. ill

Sanz, F. 160Sartorelli, M.L. 221Schwarzacher, W. 221Searson, Peter C. 149, 318Seligman, L. 221Shannon, Curtis 282Shih, Han-C 256Shin, Jung-wook 361Simpson, Cindy R. 103Spindler, J. 185Stickney, John L. 272Strubbe, K. 156Sun, J.J. 201Sutter, E.M.M. 231

Taylor, E.J. 201Teerlinck, I. 156Ting, Chiu H. 96Triboulet, Robert 385Tsai, Ming-Shih 256Tsai, R. 111Tse, Man Siu 168Tung, I-Chung 256

Uzoh, C. 111

Varadarajan, Desikan 61Via, G.D. 201Vigneron, J. 231,379

Wachnik, R. 1, 111Wade, Travis L. 272

394 Electrochemical Society Proceedings Volume 99-9

Page 405: _sWni7aGN

Walton, E.G. 1,52, 83, 111Wan, C.C. 194West, Alan C. 16Wilson, G.J. 71Wu, Q. 134

Zambelli, T. 177Zanchi, 0. 221Zhou, C.D. 201Zhu, Mel 96

Electrochemical Society Proceedings Volume 99-9 395

Page 406: _sWni7aGN

SUBJECT INDEX

Additives 16, 52, 103111

Adsorption 9, 16, 41AES 242Ag 294ALE 272, 282, 294Alternate Underpotential Deposition 294Aluminum 256Annealing 168Anodic Characterization 242Anodic Properties 242Aspect Ratio 9Atomic Layer Epitaxy (ALE) 272, 282, 294Atomic Force Microscopy (AFM) 134, 168, 242

272, 340, 373Atomic Force Profllometry 238Au 282, 318, 340AuSn 328Automatic Bath Replenishment 96

Backmetal 185Backside Metallization 185Bath Aging 96, 111Bis-(3-sulfopropyl)-disulfide (SPS) 16Binding Energy 231Bromide 41

CdSe 263CdTe 272CdZnTe 379CMP 238, 256Cobalt 221, 282Copper 1, 9, 16, 25,41

52, 61, 71, 8396, 103, 111,122, 134, 149156, 168, 177185, 194, 201

396 Electrochemical Society Proceedings Volume 99-9

Page 407: _sWni7aGN

221,231,238Copper Alloy 212,309Copper Indium Gallium Selenide 309Copper - Zinc 212CoSb 282Chloride 25,41, 134CMOS 1Computational Fluid Dynamics 71Conduction Band 156, 160Current Distribution 25,52Cu(100) 41, 134Cu(110) 41, 134Cu(111) 41Cup Plater 83

Damascene 1,52, 83, 122Defect 1Deposit Thickness 25Depth Profiling 242Dopants 111Doping Effect 361Double Layer 9

ECD Seed Layer 122Electrochemical Atomic Layer Epitaxy (ECALE) 272, 282, 294Electroless Copper 168Electroless Deposition 168, 309, 340

352Electroless Nickel 340Electrolyte Conductivity 25,83Electron Capture 156Endpoint 361Energy Band Diagram 318Eutectic 329

Faceting 134Flip-Chip 340Foot 361Flux 52

GaAs 231,242, 263

Electrochemical Society Proceedings Volume 99-9 397

Page 408: _sWni7aGN

GaAs (100) 242Gap Filling 96Gate 361

HF 156, 366HgCdTe 385Holefiil 1Hole Injection 156, 160

Impurities 103, 111Infrared Detector 379Inhibition 52Inlaid Metallization 122InAs 272InP 242, 263, 329InP (100) 242Interconnect 1, 9, 25

Janus Green B (JGB) 16

Kerr Effect 221

Leveling 16, 25Limiting Current 16, 25, 71

Macroprofile 201Manufacturing 1Mass Transport 25, 61, 71,111Microprofile 201Modulated Reverse Current 201Mott - Schottky Plot 221

Nickel 160, 221,340Notch 361n-type Si 156, 160, 177

221, 318, 366373

398 Electrochemical Society Proceedings Volume 99-9

Page 409: _sWni7aGN

Nucleation 149, 318

Passivation 242PEG 16Photovoltaic Device 309Platinum 160Polysilicon 361Porosity 379Power Devices 185Precursor 309p-type GaAs 231p-type Si 156, 160, 373Pulse 9, 61, 201,212

329Pulse Reverse 9, 103, 201Pyrophosphate 149

RBS 221, 263Resistive Seed 25, 83Resistivity Transients 103, 111Roughening 134Roughness 242

Scaling Analysis 25,83Schottky Parameters 177, 318Self Annealing 96, 103, 111Semiconductor Manufacturing 1, 71Shape Evolution 52, 61Sheet Conductance 83Si/Au Schottky Junction 318Si/S1O 2 Interface 366SIMS 103, 111, 242

Simulation 16, 25, 52, 83Solder 329SPC 1SPS 16Scanning Tunneling Microscopy (STM) 41Sequential Underpotential Deposition 282Stress 103Sulfidation 242

Electrochemical Society Proceedings Volume 99-9 399

Page 410: _sWni7aGN

Superfllling 1, 52, 111

Tantalum 185Tellurium 379Terminal Effect 25,83Thermodynamics 134Thermoelectric 282TIN 149, 194

Underpotential Deposition 282, 294

Valence Band 156, 160

Wafer 1, 25, 83

XPS 231, 242, 340379, 385

Zincation 340ZnS 294

200 mm 83

300 mm 83

400 Electrochemical Society Proceedings Volume 99-9

Page 411: _sWni7aGN

Edtr

i ELEC•!OCHMICALPF•On:ESiI$a2,sI~lll~lliiiilll Searsonli-5a~ir7 ::ii P~mIA~fS, ~iSimpson l]rF'L,._ !i•; CnM-ENG