Top Banner
SoC Design Flow and To ols CADENCE NCLAUNCH TUTORIAL 2003/10/6
26

SoC Design Flow and Tools CADENCE NCLAUNCH TUTORIAL 2003/10/6.

Dec 13, 2015

Download

Documents

Welcome message from author
This document is posted to help you gain knowledge. Please leave a comment to let me know what you think about it! Share it to your friends and learn new things together.
Transcript
Page 1: SoC Design Flow and Tools CADENCE NCLAUNCH TUTORIAL 2003/10/6.

SoC Design Flow and Tools

CADENCE NCLAUNCH TUTORIAL

2003/10/6

Page 2: SoC Design Flow and Tools CADENCE NCLAUNCH TUTORIAL 2003/10/6.

Outline

Introduction Setting up the environment Invoking NCLaunch Components of NCLaunch Examples LAB Reference

Page 3: SoC Design Flow and Tools CADENCE NCLAUNCH TUTORIAL 2003/10/6.

Introduction

NCLaunch is a graphical user interface that helps you manage large design projects and lets you configure and launch your Cadence simulation.

It help user simulate Verilog, VHDL, or mixed-language design.

Page 4: SoC Design Flow and Tools CADENCE NCLAUNCH TUTORIAL 2003/10/6.

Setting up the environment Creating a new directory under your home directpry to st

ore all your vhdl/verilog design. /home/username/ >mkdir test It is important that you must have two files in this new di

rectory before you begin working. These are the cds.lib file and the hdl.var file. cds.lib SOFTINCLUDE $CDS_INST_DIR/tools/inca/files/cds.lib DEFINE test /home/username/test hdl.var SOFTINCLUDE $CDS_INST_DIR/tools/inca/files/hdl.var DEFINE work test

Page 5: SoC Design Flow and Tools CADENCE NCLAUNCH TUTORIAL 2003/10/6.

Invoking NCLaunch Do with thefollowing command in a shell windows: >cd /usr/local/cadence/ldv5.0/tools/bin >nclaunch &

(若可在自己的工作目錄下 (home/username/)直接打 nclaunch &也可以 )

Page 6: SoC Design Flow and Tools CADENCE NCLAUNCH TUTORIAL 2003/10/6.

Components of NCLaunchMenu Bar Toolbar Icons

Design AreaFile Browser

Console Window

Page 7: SoC Design Flow and Tools CADENCE NCLAUNCH TUTORIAL 2003/10/6.

Components of NCLaunch

a. Edit File. By selecting a file and clicking this icon, a text editor (defined in your Preferences) appears with the files contents to review or modify.b. Refresh. Updates your browsers with any changes.c. Compile VHDL Files (multi-step only). Compiles selected VHDL files that will appear as design units under your work library in the Library Browser.d. Compile Verilog Files (multi-step only). Compiles selected Verilog files that will appear as design units under your work library in the Library Browser.e. Elaborate Files (multi-step only). By selecting the top level design unit and clicking this icon, your design will be elaborated.f. Run Simulation. Starts a simulation of your selected design.g. Browse Logfiles. Launches the NCBrowse message browser to analyze selected log files.h. Waveform Viewer. Starts a session of the waveform viewer tool with selected database files.

a b c d e f g h

Page 8: SoC Design Flow and Tools CADENCE NCLAUNCH TUTORIAL 2003/10/6.

Examples

Assume that we have two vhdl file (trial.vhd and test_trial.vhd) and cds.lib file and hdl.var file in the directory (/home/username/test).

Step 1: Set Design Directory

Page 9: SoC Design Flow and Tools CADENCE NCLAUNCH TUTORIAL 2003/10/6.

a. Choose your design directory.

b. Load the library file (load the cds.lib file that haven edited in your design directory).

c. Set Work Library name as same as your directory name (set in cds.lib and hdl.var).

Page 10: SoC Design Flow and Tools CADENCE NCLAUNCH TUTORIAL 2003/10/6.

Assume that we have two VHDL file (trial.vhd and test_trial.vhd) and cds.lib file and hdl.var file in the directory (/home/username/test).

Step 2: Compiler the two VHDL files

then, it will produce two file

Page 11: SoC Design Flow and Tools CADENCE NCLAUNCH TUTORIAL 2003/10/6.
Page 12: SoC Design Flow and Tools CADENCE NCLAUNCH TUTORIAL 2003/10/6.

After Step 2, it will produce two file. (trial and test_trial)

In this Step, you can also use the button in the toolbar.

Page 13: SoC Design Flow and Tools CADENCE NCLAUNCH TUTORIAL 2003/10/6.
Page 14: SoC Design Flow and Tools CADENCE NCLAUNCH TUTORIAL 2003/10/6.

Step 3: Elaborating the Design type command in the console windows

>ncelab my_lib.top:behav

nclaunch>ncelab test.trial:trial_rtl

nclaunch>ncelab test.test_trial:tb_arch

After it, the elaborated design hierarchy is stored in a simulation snapshot file, which is used by the simulator.

Page 15: SoC Design Flow and Tools CADENCE NCLAUNCH TUTORIAL 2003/10/6.
Page 16: SoC Design Flow and Tools CADENCE NCLAUNCH TUTORIAL 2003/10/6.
Page 17: SoC Design Flow and Tools CADENCE NCLAUNCH TUTORIAL 2003/10/6.

Step 4: Initiating the simulation

type command in console window

nclaunch>ncsim –gui test_trial &

before invoking the Signalscan, it is important

to select the signals to display on the

waveform. Choose Select -> Signals from

the menu of the simulator.

Page 18: SoC Design Flow and Tools CADENCE NCLAUNCH TUTORIAL 2003/10/6.
Page 19: SoC Design Flow and Tools CADENCE NCLAUNCH TUTORIAL 2003/10/6.
Page 20: SoC Design Flow and Tools CADENCE NCLAUNCH TUTORIAL 2003/10/6.
Page 21: SoC Design Flow and Tools CADENCE NCLAUNCH TUTORIAL 2003/10/6.

To invoke the Signal scan click on the button in the upper right corner.

Page 22: SoC Design Flow and Tools CADENCE NCLAUNCH TUTORIAL 2003/10/6.
Page 23: SoC Design Flow and Tools CADENCE NCLAUNCH TUTORIAL 2003/10/6.
Page 24: SoC Design Flow and Tools CADENCE NCLAUNCH TUTORIAL 2003/10/6.

LAB

1.Run this example throughout. 2.The waveform for the time range in 100ns,

250ns, 300ns. Mail three pictures to TA. 3.deadline: 10/13 12:00PM 4. http://embedded.cs.ccu.edu.tw/~suner/codesign.htm

Page 25: SoC Design Flow and Tools CADENCE NCLAUNCH TUTORIAL 2003/10/6.

Reference 1. Affirma NC VHDL Simulator Tutorial usr/local/cadence/ldv5.0/doc/ncvhdltut/ncvhdltut.pdf. 2. NCLaunch User Guide usr/local/cadence/ldv5.0/doc/nclaunch/ nclaunch.pdf. 3. Affirma NC VHDL Simulator Help usr/local/cadence/ldv5.0/doc/ncvhdl/ncvhdl.pdf. 4. Affirma NC Verilog Simulator Help usr/local/cadence/ldv5.0/doc/ ncvlog/ncvlog.pdf. 5. SignalScan Waves User Guide usr/local/cadence/ldv5.0/doc/ signalscanwaves/ signalscanwaves.pdf. 6. Cadence VHDL/Verilog Simulation Guide and Tutorial

Page 26: SoC Design Flow and Tools CADENCE NCLAUNCH TUTORIAL 2003/10/6.