Top Banner
Smart Non-Default Routing for Clock Power Reduction Andrew B. Kahng , Seokhyeong Kang, Hyein Lee DAC’13
23
Welcome message from author
This document is posted to help you gain knowledge. Please leave a comment to let me know what you think about it! Share it to your friends and learn new things together.
Transcript
Page 1: Smart Non-Default Routing for Clock Power Reduction

Smart Non-Default Routing for Clock Power Reduction

Andrew B. Kahng , Seokhyeong Kang, Hyein Lee

DAC’13

Page 2: Smart Non-Default Routing for Clock Power Reduction

Outline

• Introduction• Problem Formulation• Algorithm• Implementation Flow• Experimental Results• Conclusions

Page 3: Smart Non-Default Routing for Clock Power Reduction

Introduction

• non-default routing rules (NDRs) have become an integral element of clock tree synthesis (CTS) methodology as a means of reducing electromigration (EM) violations and delay variations.

Page 4: Smart Non-Default Routing for Clock Power Reduction

Introduction

Page 5: Smart Non-Default Routing for Clock Power Reduction

Introduction

Page 6: Smart Non-Default Routing for Clock Power Reduction

Introduction

Page 7: Smart Non-Default Routing for Clock Power Reduction

Introduction

Page 8: Smart Non-Default Routing for Clock Power Reduction

Problem Formulation

Page 9: Smart Non-Default Routing for Clock Power Reduction

Problem Formulation

• RC modeling of wire is given by Equation (2), where le , we and se are the length, width and spacing of edge e, respectively.

Page 10: Smart Non-Default Routing for Clock Power Reduction

Problem Formulation

Page 11: Smart Non-Default Routing for Clock Power Reduction

Problem Formulation

• We use the Elmore delay model [8] to calculate the delay of clock tree.

Page 12: Smart Non-Default Routing for Clock Power Reduction

Problem Formulation

• For wire slew calculation, we apply the PERI model [10]. The slew at node v, where s is the clock source.

Page 13: Smart Non-Default Routing for Clock Power Reduction

Problem Formulation

• The skew constraint should be checked for all pairs of source-to sink timing paths with the upper bound Uk.

Page 14: Smart Non-Default Routing for Clock Power Reduction

Problem Formulation

• For EM constraints, we use a simplified IRMS model derived from Black’s Equation [12].

Page 15: Smart Non-Default Routing for Clock Power Reduction

Algorithm-Iterative LP

Page 16: Smart Non-Default Routing for Clock Power Reduction

Algorithm

Page 17: Smart Non-Default Routing for Clock Power Reduction

Algorithm

Page 18: Smart Non-Default Routing for Clock Power Reduction

Implementation Flow

Page 19: Smart Non-Default Routing for Clock Power Reduction

Experimental Results

• We use the Synopsys 32/28nm PDK cell library• We synthesize the designs using Synopsys

Design Compiler vF-2011.09• place-and-route with Cadence Encounter DIS

v10.1• We solve the wire sizing problem formulated

above using Mathworks MATLAB R2012b

Page 20: Smart Non-Default Routing for Clock Power Reduction

Experimental Results

Page 21: Smart Non-Default Routing for Clock Power Reduction

Experimental Results

Page 22: Smart Non-Default Routing for Clock Power Reduction

Experimental Results

Page 23: Smart Non-Default Routing for Clock Power Reduction

Conclusions

• In this work, we have assessed the potential for capacitance and power reduction from “smart NDRs” that substitute narrower-width NDRs for selected clock segments while maintaining all skew, slew, insertion delay and EM reliability criteria.