Top Banner
3 2012 IEEE International Solid-State Circuits Conference ISSCC 2012 / SESSION 23 / ADVANCES IN HETEROGENEOUS INTEGRATION / 23.1 23.1 A 2.5D Integrated Voltage Regulator Using Coupled- Magnetic-Core Inductors on Silicon Interposer Delivering 10.8A/mm 2 Noah Sturcken 1 , Eugene O’Sullivan 2 , Naigang Wang 2 , Philipp Herget 3 , Bucknell Webb 2 , Lubomyr Romankiw 2 , Michele Petracca 1 , Ryan Davies 1 , Robert Fontana 3 , Gary Decad 3 , Ioannis Kymissis 1 , Angel Peterchev 4 , Luca Carloni 1 , William Gallagher 2 , Kenneth Shepard 1 1 Columbia University, New York, NY; 2 IBM T. J. Watson, Yorktown Heights, NY, 3 IBM Research, Almaden, CA; 4 Duke University, Durham, NC Energy consumption is a dominant constraint on the performance of modern microprocessors and systems-on-chip. Dynamic voltage and frequency scaling (DVFS) is a promising technique for performing “on-the-fly” energy-perform- ance optimization in the presence of workload variability. Effective implementa- tion of DVFS requires voltage regulators that can provide many independent power supplies and can transition power supply levels on nanosecond timescales, which is not possible with modern board-level voltage regulator modules (VRMs) [1]. Switched-inductor integrated voltage regulators (IVRs) can enable effective implementation of DVFS, eliminating the need for separate VRMs and reducing power distribution network (PDN) impedance requirements by performing dc-dc conversion close to the load while supporting high peak current densities [2-3]. The primary obstacle facing development of IVRs is inte- gration of suitable power inductors. This work presents an early prototype switched-inductor IVR using 2.5D chip stacking for inductor integration. Figure 23.1.1 shows the complete 2.5D chip stack. A prototype IC, fabricated in IBM’s 45nm SOI process, contains buck converter circuitry, decoupling capaci- tance and a realistic digital load. This IC is flip-chip mounted onto an interposer that holds custom fabricated coupled power inductors for the buck converter while breaking out signals and the 1.8V input power supply to wirebond pads on the perimeter of the interposer. Figure 23.1.2 shows a system level diagram of the IVR. The buck converter control circuitry resides on the IC and is composed of two control loops, a slow voltage-mode outer loop that provides low-frequen- cy regulation and a fast inner loop that responds to high-frequency load tran- sients. The control circuitry occupies 0.178mm 2 , while the bridge FETs occupy 0.1mm 2 . The controller is designed to accommodate any number of inductor phases up to eight, with variations of inductance values and coupling strengths. The digital pulse-width modulator (DPWM) receives an eight-bit voltage identi- fier code (VID), from which it derives up to eight pulse-width modulation (PWM) signals with programmable switching frequency, f s , and phase relationships. The resolution of the DPWM is limited to the 250ps period of a high-frequency ref- erence clock provided by an on-chip PLL. The DPWM also generates an analog reference voltage, V REF , from a clean 1.8V for the outer feedback loop. The com- pensator for the outer feedback loop is a low-pass filter with programmable pole frequency, typically chosen 10 to 16 times lower (depending on inductance value) than the effective switching frequency Nf s , where N is the number of phases in operation. The outer feedback voltage, V FB,O , drives a delay line that modulates the DPWM output to create the reference PWM signal, V PWM , which subsequently drives the fast non-linear inner control block. The fast inner loop is shown in Fig. 23.1.2. Signal V PWM drives an RC filter to generate the inner reference voltage, V REF,I , while the bridge output voltage for each phase, V BRIDGE , drives another RC filter to generate the inner feedback volt- age, V FB,I [3]. The pole in both RC low-pass filters is chosen to be below f s so that the steady state amplitude of V REF,I and V FB,I is around 150mV, which gives a small signal feedback gain of ~30V/V and ensures stable loop dynamics. In steady state, V FB,I will slew behind V REF,I and the resultant evaluation of the com- parator causes V BRIDGE to closely track V PWM . In the event of a large load current transient, the error in the output voltage, V OUT , will couple across C FB onto V FB,I and the comparator will react immediately to reduce overshoot in V OUT . This fast non-linear response can reduce the required decoupling capacitance on the out- put voltage [3]. Also residing on the IC is a 64-tile network-on-chip (NoC) con- sisting of four parallel, heterogeneous, physical network planes with independ- ent frequency domains. The NoC provides realistic load behavior and supports experimentation on supply noise and DVFS. In addition, an artificial load on the IC is capable of generating large current transients with ~2A/100ps slew. A total of 48nF of deep-trench (DT) and thick oxide MOS capacitance decouples V OUT and occupies 0.40mm 2 , while 21nF of DT occupying 0.52mm 2 decouples the 1.8V input supply to compensate for the large PDN impedance. Two sets of four coupled power inductors, shown in Fig. 23.1.3, are fabricated on the silicon interposer such that one terminal of each inductor connects to a pair of V BRIDGE C4 receiving pads, while the opposite terminals are shorted and connected to several pads across the interposer for distribution of V OUT . The inductor topology is an elongated spiral with a Ni-Fe magnetic core encasing the copper windings on the long axis [4]. Similar topologies have shown high induc- tance density and quality factor at relevant frequencies [5,6]. The Ni-Fe magnet- ic alloy is chosen for its low hysteresis, high permeability and amenability to electroplating deposition. The Ni-Fe is deposited under a magnetic biasing field so that the hard axis of magnetization forms along the width of the core as shown in Fig. 23.1.3. Inverse coupling between adjacent inductors, driven with V BRIDGE signals that are ~180° out of phase, is utilized to avoid magnetic satura- tion of the core and consequently improve current density and ripple. The induc- tor fabrication involves successive electroplating deposition of the bottom mag- netic core, copper windings, and top magnetic core. The windings are electrical- ly isolated from the bottom magnetic core with a layer of silicon nitride, while a hard-baked resist process is used for electrical isolation from the top core. The hard-baked resist provides physical support to the top magnetic core and has a gentle taper to the sidewalls so that the top core arches over the windings with- out any abrupt transitions that would cause undesirable micromagnetic effects. The space between top and bottom magnetic cores is minimized at the device edge to provide a low reluctance path through the core and hence a large induc- tance. The inductance decreases and resistance increases with frequency due to eddy currents, skin effect and domain wall motion as shown in Fig. 23.1.4. Efficiency versus switching frequency and load current for the IVR chip stack are shown in Figs. 23.1.5 and 23.1.6, respectively. Efficiency peaks at 74% with input voltage of 1.8V, conversion ratio of 0.61, switching frequency of 75MHz and load current of 3A. The FEOL current density is 10.8A/mm 2 , which we define as load current divided by the FEOL area of the switches and controller, likewise the silicon interposer current density is 0.94A/mm 2 , which we define as load cur- rent divided by the total inductor area, 3.2mm 2 . At peak efficiency, inductor DC and AC losses contribute approximately 26% and 48% of the total power loss, respectively, while switching and conduction of the bridge FETs contribute 25%. The peak current density occurs at the thermal limit of the IC with a load current of 5.4A and efficiency of 64%. Further improvement of the inductor structures, in particular lamination of the magnetic material to reduce eddy-current losses, will significantly improve the efficiency. Acknowledgments: This work was supported in part by the U.S. Department of Energy (DE- EE0002892), the National Science Foundation (CCF-1018236 and EECS- 0903466), the DARPA LEAP Program, and the SRC Focus Center Research Program. References: [1] W. Kim, et al., “System level analysis of fast, per-core DVFS using on-chip switching regulators,” High Performance Computer Architecture, pp. 123-134, Feb. 2008. [2] G. Schrom, et al., “A 60MHz 50W Fine-Grain Package Integrated VR Powering a CPU from 3.3V,” Applied Power Electronics Conference and Exposition, Special Presentation, Feb. 2010. [3] N. Sturcken, et al., “An integrated four-phase buck converter delivering 1A/mm 2 with 700ps controller,” IEEE Custom Integrated Circuits Conf., pp. 1-4, Sept. 2011. [4] N. Wang, et al., “Integrated On-chip Inductors With Electroplated Magnetic Yokes,” paper EH-01 at the 56th Magnetism and Magnetic Materials (MMM) Conference, Nov. 2011. (to appear) [5] D. Gardner, et al., “Review of On-Chip Inductor Structures With Magnetic Films,” Magnetics, IEEE Trans. Magnetics, pp. 4760-4766, Oct. 2009. [6] P.R. Morrow, et al., “Design and Fabrication of On-Chip Coupled Inductors Integrated With Magnetic Material for Voltage Regulators,” Magnetics, IEEE Trans. Magnetics, pp. 1678-1686, June 2011. 978-1-4673-0377-4/12/$31.00 ©2012 IEEE Session_23_Digest 11/21/11 10:21 PM Page 3
3

Session 23 Digest - Columbia University

May 11, 2022

Download

Documents

dariahiddleston
Welcome message from author
This document is posted to help you gain knowledge. Please leave a comment to let me know what you think about it! Share it to your friends and learn new things together.
Transcript
Page 1: Session 23 Digest - Columbia University

3 • 2012 IEEE International Solid-State Circuits Conference

ISSCC 2012 / SESSION 23 / ADVANCES IN HETEROGENEOUS INTEGRATION / 23.1

23.1 A 2.5D Integrated Voltage Regulator Using Coupled-Magnetic-Core Inductors on Silicon Interposer Delivering 10.8A/mm2

Noah Sturcken1, Eugene O’Sullivan2, Naigang Wang2, Philipp Herget3,Bucknell Webb2, Lubomyr Romankiw2, Michele Petracca1, Ryan Davies1,Robert Fontana3, Gary Decad3, Ioannis Kymissis1, Angel Peterchev4,Luca Carloni1, William Gallagher2, Kenneth Shepard1

1Columbia University, New York, NY; 2IBM T. J. Watson, Yorktown Heights, NY,3IBM Research, Almaden, CA; 4Duke University, Durham, NC

Energy consumption is a dominant constraint on the performance of modernmicroprocessors and systems-on-chip. Dynamic voltage and frequency scaling(DVFS) is a promising technique for performing “on-the-fly” energy-perform-ance optimization in the presence of workload variability. Effective implementa-tion of DVFS requires voltage regulators that can provide many independentpower supplies and can transition power supply levels on nanosecondtimescales, which is not possible with modern board-level voltage regulatormodules (VRMs) [1]. Switched-inductor integrated voltage regulators (IVRs)can enable effective implementation of DVFS, eliminating the need for separateVRMs and reducing power distribution network (PDN) impedance requirementsby performing dc-dc conversion close to the load while supporting high peakcurrent densities [2-3]. The primary obstacle facing development of IVRs is inte-gration of suitable power inductors. This work presents an early prototypeswitched-inductor IVR using 2.5D chip stacking for inductor integration.

Figure 23.1.1 shows the complete 2.5D chip stack. A prototype IC, fabricated inIBM’s 45nm SOI process, contains buck converter circuitry, decoupling capaci-tance and a realistic digital load. This IC is flip-chip mounted onto an interposerthat holds custom fabricated coupled power inductors for the buck converterwhile breaking out signals and the 1.8V input power supply to wirebond pads onthe perimeter of the interposer. Figure 23.1.2 shows a system level diagram ofthe IVR. The buck converter control circuitry resides on the IC and is composedof two control loops, a slow voltage-mode outer loop that provides low-frequen-cy regulation and a fast inner loop that responds to high-frequency load tran-sients. The control circuitry occupies 0.178mm2, while the bridge FETs occupy0.1mm2. The controller is designed to accommodate any number of inductorphases up to eight, with variations of inductance values and coupling strengths.The digital pulse-width modulator (DPWM) receives an eight-bit voltage identi-fier code (VID), from which it derives up to eight pulse-width modulation (PWM)signals with programmable switching frequency, fs, and phase relationships. Theresolution of the DPWM is limited to the 250ps period of a high-frequency ref-erence clock provided by an on-chip PLL. The DPWM also generates an analogreference voltage, VREF, from a clean 1.8V for the outer feedback loop. The com-pensator for the outer feedback loop is a low-pass filter with programmable polefrequency, typically chosen 10 to 16 times lower (depending on inductancevalue) than the effective switching frequency Nfs, where N is the number ofphases in operation. The outer feedback voltage, VFB,O, drives a delay line thatmodulates the DPWM output to create the reference PWM signal, VPWM, whichsubsequently drives the fast non-linear inner control block.

The fast inner loop is shown in Fig. 23.1.2. Signal VPWM drives an RC filter togenerate the inner reference voltage, VREF,I, while the bridge output voltage foreach phase, VBRIDGE, drives another RC filter to generate the inner feedback volt-age, VFB,I [3]. The pole in both RC low-pass filters is chosen to be below fs sothat the steady state amplitude of VREF,I and VFB,I is around 150mV, which givesa small signal feedback gain of ~30V/V and ensures stable loop dynamics. Insteady state, VFB,I will slew behind VREF,I and the resultant evaluation of the com-parator causes VBRIDGE to closely track VPWM. In the event of a large load currenttransient, the error in the output voltage, VOUT, will couple across CFB onto VFB,Iand the comparator will react immediately to reduce overshoot in VOUT. This fastnon-linear response can reduce the required decoupling capacitance on the out-put voltage [3]. Also residing on the IC is a 64-tile network-on-chip (NoC) con-sisting of four parallel, heterogeneous, physical network planes with independ-ent frequency domains. The NoC provides realistic load behavior and supportsexperimentation on supply noise and DVFS. In addition, an artificial load on the

IC is capable of generating large current transients with ~2A/100ps slew. A totalof 48nF of deep-trench (DT) and thick oxide MOS capacitance decouples VOUTand occupies 0.40mm2, while 21nF of DT occupying 0.52mm2 decouples the1.8V input supply to compensate for the large PDN impedance.

Two sets of four coupled power inductors, shown in Fig. 23.1.3, are fabricatedon the silicon interposer such that one terminal of each inductor connects to apair of VBRIDGE C4 receiving pads, while the opposite terminals are shorted andconnected to several pads across the interposer for distribution of VOUT. Theinductor topology is an elongated spiral with a Ni-Fe magnetic core encasing thecopper windings on the long axis [4]. Similar topologies have shown high induc-tance density and quality factor at relevant frequencies [5,6]. The Ni-Fe magnet-ic alloy is chosen for its low hysteresis, high permeability and amenability toelectroplating deposition. The Ni-Fe is deposited under a magnetic biasing fieldso that the hard axis of magnetization forms along the width of the core asshown in Fig. 23.1.3. Inverse coupling between adjacent inductors, driven withVBRIDGE signals that are ~180° out of phase, is utilized to avoid magnetic satura-tion of the core and consequently improve current density and ripple. The induc-tor fabrication involves successive electroplating deposition of the bottom mag-netic core, copper windings, and top magnetic core. The windings are electrical-ly isolated from the bottom magnetic core with a layer of silicon nitride, while ahard-baked resist process is used for electrical isolation from the top core. Thehard-baked resist provides physical support to the top magnetic core and has agentle taper to the sidewalls so that the top core arches over the windings with-out any abrupt transitions that would cause undesirable micromagnetic effects.The space between top and bottom magnetic cores is minimized at the deviceedge to provide a low reluctance path through the core and hence a large induc-tance. The inductance decreases and resistance increases with frequency due toeddy currents, skin effect and domain wall motion as shown in Fig. 23.1.4.

Efficiency versus switching frequency and load current for the IVR chip stack areshown in Figs. 23.1.5 and 23.1.6, respectively. Efficiency peaks at 74% withinput voltage of 1.8V, conversion ratio of 0.61, switching frequency of 75MHzand load current of 3A. The FEOL current density is 10.8A/mm2, which we defineas load current divided by the FEOL area of the switches and controller, likewisethe silicon interposer current density is 0.94A/mm2, which we define as load cur-rent divided by the total inductor area, 3.2mm2. At peak efficiency, inductor DCand AC losses contribute approximately 26% and 48% of the total power loss,respectively, while switching and conduction of the bridge FETs contribute 25%.The peak current density occurs at the thermal limit of the IC with a load currentof 5.4A and efficiency of 64%. Further improvement of the inductor structures,in particular lamination of the magnetic material to reduce eddy-current losses,will significantly improve the efficiency.

Acknowledgments:This work was supported in part by the U.S. Department of Energy (DE-EE0002892), the National Science Foundation (CCF-1018236 and EECS-0903466), the DARPA LEAP Program, and the SRC Focus Center ResearchProgram.

References:[1] W. Kim, et al., “System level analysis of fast, per-core DVFS using on-chipswitching regulators,” High Performance Computer Architecture, pp. 123-134,Feb. 2008.[2] G. Schrom, et al., “A 60MHz 50W Fine-Grain Package Integrated VRPowering a CPU from 3.3V,” Applied Power Electronics Conference andExposition, Special Presentation, Feb. 2010.[3] N. Sturcken, et al., “An integrated four-phase buck converter delivering1A/mm2 with 700ps controller,” IEEE Custom Integrated Circuits Conf., pp. 1-4,Sept. 2011.[4] N. Wang, et al., “Integrated On-chip Inductors With Electroplated MagneticYokes,” paper EH-01 at the 56th Magnetism and Magnetic Materials (MMM)Conference, Nov. 2011. (to appear)[5] D. Gardner, et al., “Review of On-Chip Inductor Structures With MagneticFilms,” Magnetics, IEEE Trans. Magnetics, pp. 4760-4766, Oct. 2009.[6] P.R. Morrow, et al., “Design and Fabrication of On-Chip Coupled InductorsIntegrated With Magnetic Material for Voltage Regulators,” Magnetics, IEEETrans. Magnetics, pp. 1678-1686, June 2011.

978-1-4673-0377-4/12/$31.00 ©2012 IEEE

Session_23_Digest 11/21/11 10:21 PM Page 3

Page 2: Session 23 Digest - Columbia University

4DIGEST OF TECHNICAL PAPERS •

ISSCC 2012 / February 22, 2012 / 1:30 PM

Figure 23.1.1: Diagram of 2.5D integrated voltage regulator (IVR) chip stack.IC with buck converter and load circuitry flips onto interposer with powerinductors, which wirebonds to a ball grid array substrate.

Figure 23.1.2: Complete IVR system overview (top) and fast non-linear controlloop (bottom).

Figure 23.1.3: Top view of four single-turn, coupled power inductors (left),cross-section of magnetic cores and windings (top right) and magnetizationcurves for the Ni-Fe core material (bottom right).

Figure 23.1.5: IVR efficiency as a function of switching frequency.Figure 23.1.6: IVR efficiency as a function of load current at 75MHz switching frequency.

Figure 23.1.4: Inductance (L), coupling coefficient (K) and resistance (R) of coupled single-turn inductors.

23

Session_23_Digest 11/21/11 10:21 PM Page 4

Page 3: Session 23 Digest - Columbia University

5 • 2011 IEEE International Solid-State Circuits Conference 978-1-4673-0377-4/12/$31.00 ©2012 IEEE

ISSCC 2012 PAPER CONTINUATIONS

Figure 23.1.7: Photo of IC (top left), silicon interposer (bottom left) and assembled chipstack (right).

Session_23_Digest 11/21/11 10:21 PM Page 5