Top Banner
Item Description 1 001008401 REV B SN 1123 AND 4279 2 01011001 /1011001 / 10001001 For Matrix 10 Plasma Asher AWDD29001 3 01011210 ? 01011013 For Matrix 10 Plasma Asher AWDD342001 4 015000260300 Pump Assy TE5CMD 1Ph Marchpump Baldor Reliance Industry Motor 5 015533 Rev 2 chamber Plate 6 0230103 1010448 ? AC Power Discussion For Matrix Asher Etcher AWDD211007 7 0230103 AC Power Discussion For Matrix Asher Etcher Systems AWDD211005 8 0230103 AC Power Discussion For Matrix Asher Etcher Systems AWDD211006 9 0230103? 1010448 AC Power Dis For Matrix Asher Etcher Systems AWDD211018 10 03385 KRPA14AG12V ASSEMBLED In Mexico Potter & Brumfield Control Components 11 0530020 Chamber ,Branson/IPC L3200 Gasonics 12 054000901 Quartz Fitting For Branson IPC 3000, 2000, 4000 Series Plasma Asher 13 055003201: Pump Adapter Seal ,for Branson IPC Plasma asher 14 0641025301 With 7310105801 For AG Associates Heatpulse IDAWS016 15 0641025401 With 7310105901 For AG Associates Heatpulse IDAWS016 16 0641038601 For AG Asscoiates Heatpulse 17 0641038601 retro kit, clg sta sen, 0500022001 18 0641079602 &7310473001 A , 0710042503 For AG Associates Heatpulse 19 0641079602 KIT,FLOWER / FLOWSW RETRP 20 0641082601 (7310242301 7100562801 7310466601 ) Pyrometer Parts AG 21 0641082901) 7310242301 7100562801 7310466601 ?) Pyrometer. DCP Rework 22 0641083001(7310242301 7100562801 7310466601 ?) Pyrometer Parts AG 23 0641094101 With 7100599201 Etc For AG Associates Heatpulse IDAWS016 24 06764001, A9000506 C , A9001401 B ,A9003001 C PCBs AWDD283001 25 06764001, A902608 A, A902607 B ,A902609 A PCBs AWDD311001 26 08244017 ? 21036018 244287001 E / 244288001 M Ester Interface AWW1024014 27 09.20475 Bellow For Thin Film Deposition Equipment 28 099240375 Electrode Full mesh Groud, for Matrix 103,106,105,205,108, 206 plasma 29 099260477 Rev B Robot Arm Effector Matrix 30 099270051 ELECTRODE, SILVER, POSITIVE for Matrix 103,106,105,205,108, 206 31 099510512 INDEXER,CASSETTE for Matrix 103,106,105,205,108, 206 32 09A208 Transistdc 2N4233A 33 10 Inch Shield Panel IDAWS011 34 1010049 DC Power Distribution For Matrix Asher Etcher Systems AWDD211003 35 1010049 DC Power Distribution For Matrix Asher Etcher Systems AWDD211016 36 1010049 DC Power Distribution For Matrix Asher Etcher Systems AWDD211017 37 1010178 AC Controller Assy 1010446 For Matrix Asher Etcher AWDD211012 38 1010178 AC Controller Assy 1010446 For Matrix Asher Etcher AWDD211013 39 1010178 AC Controller Assy 1010446 For Matrix Asher Etcher AWDD211014 40 1010178 AC Controller Assy 1010446 For Matrix Asher Etcher AWDD211015 41 1010178 AC Controller Assy For Matrix Asher Etcher Systems AWDD211001 42 1010178 AC Controller Assy For Matrix Asher Etcher Systems AWDD211011 43 1010461 ? System Main Controller For Matrix Asher Etcher AWDD211008 44 1010461 ? System Main Controller For Matrix Asher Etcher AWDD211009 45 1010461 ? System Main Controller For Matrix Asher Etcher AWDD211010 46 1010461 ? System Main Controller For Matrix Asher Etcher Systems AWDD211004 www.semistarcorp.com Contact us for the availability of the items.Appreicate your time! [email protected] Contact us for the availability of the items.Appreicate your time! 1 [email protected]
77

SemiStar Corp Semiconductor Equipment Parts Inventory May 2020

Mar 27, 2022

Download

Documents

dariahiddleston
Welcome message from author
This document is posted to help you gain knowledge. Please leave a comment to let me know what you think about it! Share it to your friends and learn new things together.
Transcript
SemiStar Corp Semiconductor Equipment Parts Inventory May 2020.xlt1 001008401 REV B SN 1123 AND 4279
2 01011001 /1011001 / 10001001 For Matrix 10 Plasma Asher AWDD29001
3 01011210 ? 01011013 For Matrix 10 Plasma Asher AWDD342001
4 015000260300 Pump Assy TE5CMD 1Ph Marchpump Baldor Reliance Industry Motor
5 015533 Rev 2 chamber Plate
6 0230103 1010448 ? AC Power Discussion For Matrix Asher Etcher AWDD211007
7 0230103 AC Power Discussion For Matrix Asher Etcher Systems AWDD211005
8 0230103 AC Power Discussion For Matrix Asher Etcher Systems AWDD211006
9 0230103? 1010448 AC Power Dis For Matrix Asher Etcher Systems AWDD211018
10 03385 KRPA14AG12V ASSEMBLED In Mexico Potter & Brumfield Control Components
11 0530020 Chamber ,Branson/IPC L3200 Gasonics
12 054000901 Quartz Fitting For Branson IPC 3000, 2000, 4000 Series Plasma Asher
13 055003201: Pump Adapter Seal ,for Branson IPC Plasma asher
14 0641025301 With 7310105801 For AG Associates Heatpulse IDAWS016
15 0641025401 With 7310105901 For AG Associates Heatpulse IDAWS016
16 0641038601 For AG Asscoiates Heatpulse
17 0641038601 retro kit, clg sta sen, 0500022001
18 0641079602 &7310473001 A , 0710042503 For AG Associates Heatpulse
19 0641079602 KIT,FLOWER / FLOWSW RETRP
20 0641082601 (7310242301 7100562801 7310466601 ) Pyrometer Parts AG
21 0641082901) 7310242301 7100562801 7310466601 ?) Pyrometer. DCP Rework
22 0641083001(7310242301 7100562801 7310466601 ?) Pyrometer Parts AG
23 0641094101 With 7100599201 Etc For AG Associates Heatpulse IDAWS016
24 06764001, A9000506 C , A9001401 B ,A9003001 C PCBs AWDD283001
25 06764001, A902608 A, A902607 B ,A902609 A PCBs AWDD311001
26 08244017 ? 21036018 244287001 E / 244288001 M Ester Interface AWW1024014
27 09.20475 Bellow For Thin Film Deposition Equipment
28 099240375 Electrode Full mesh Groud, for Matrix 103,106,105,205,108, 206 plasma
29 099260477 Rev B Robot Arm Effector Matrix
30 099270051 ELECTRODE, SILVER, POSITIVE for Matrix 103,106,105,205,108, 206
31 099510512 INDEXER,CASSETTE for Matrix 103,106,105,205,108, 206
32 09A208 Transistdc 2N4233A
33 10 Inch Shield Panel  IDAWS011
34 1010049 DC Power Distribution For Matrix Asher Etcher Systems AWDD211003
35 1010049 DC Power Distribution For Matrix Asher Etcher Systems AWDD211016
36 1010049 DC Power Distribution For Matrix Asher Etcher Systems AWDD211017
37 1010178 AC Controller Assy 1010446 For Matrix Asher Etcher AWDD211012
38 1010178 AC Controller Assy 1010446 For Matrix Asher Etcher AWDD211013
39 1010178 AC Controller Assy 1010446 For Matrix Asher Etcher AWDD211014
40 1010178 AC Controller Assy 1010446 For Matrix Asher Etcher AWDD211015
41 1010178 AC Controller Assy For Matrix Asher Etcher Systems AWDD211001
42 1010178 AC Controller Assy For Matrix Asher Etcher Systems AWDD211011
43 1010461 ? System Main Controller For Matrix Asher Etcher AWDD211008
44 1010461 ? System Main Controller For Matrix Asher Etcher AWDD211009
45 1010461 ? System Main Controller For Matrix Asher Etcher AWDD211010
46 1010461 ? System Main Controller For Matrix Asher Etcher Systems AWDD211004
www.semistarcorp.com
Contact us for the availability of the items.Appreicate your time! [email protected]
Contact us for the availability of the items.Appreicate your time! 1 [email protected]
47 1010461 System Main Controller For Matrix Asher Etcher Systems AWDD211002
48 1010500VM 5V Valve
49 1010999 /01010999 For Matrix 10 Plasma Asher AWDD29002
50 1011000 For Matrix 10 Plasma Asher AWDD29003
51 1011000 For Matrix 10 Plasma Asher AWDD29004
52 111640601 Tegal 1513e Assembly Lower Electrode Spare Part
53 111968 Electrode Upper Insulator UHV Clean For STS ICP STS PRO ICP
54 12 Inch  Si Wafer With 4 Inch Pocket
55 12 Inch  Si Wafer With 8 Inch Pocket
56 12 Inch  Si Wafer With 8 Inch Pocket
57 12 Inch Cassette Box
58 12 Inch Wafer With 1 Of  6 Inch Pocket
59 12 Inch Wafer With 13 Of 1.25x1.25 Inch Pockets
60 12 Inch Wafer With 2.25x3.25 Inch Pocket
61 12 Inch Wafer With 3 Of 2 Inch Pocket
62 12 Inch Wafer With 3 Of 4 Inch Pocket
63 12 Inch Wafer With 3 Of 4 Inch Pocket And 3 Of 1.25x1.25 Inch Pocket
64 12 Inch Wafer With 5 Of 2 Inch Pocket
65 12 Inch Wafer With 7 Of Holes
66 12 Inch Wafer With 9 Of 2 Inch Pocket
67 125ml 4oz HDPE
68 126310010 Multipin Electrical Feedthrough PerkinElmer
69 13 Mhz Matching Unit Controller SRE 134040 ISS. 3 MACB3622 AWDD1–14001
70 1379401 Branson IPC VIDEO, PWB 1379301
71 1384201 APPLIED MICRO TECHNOLOGY
72 1395201 A For  Branson/IPC  Asher (?) IDAWSk51006
73 1467901 Branson IPC,Made in USA, CUBIT DIV PROTEUS INDUSTRIES
74 14908001 , MITCHELL ELECTRONICS, 00200AD01 , 002000001
75 14inch 4430 RF Diode Backing Plate w SiO2 target
76 14inch Moly Pallet no pocket
77 14inch Perkin Elmer 4430 RF Diode Backing Plate w TiW target
78 14inch PerkinElmer 4430 Moly Pallet 12x3inch pockets
79 150072900 CLAMP, SPINDLE HUB MOUNT, Lam Research Novellus
80 156x156mm Cassette
81 1598801 A Branson IPC Temperature Input
82 1666G2Y Micro HOKE. MITE Regulator Valves5
83 16x2 Inch  Susceptor, SiC , Base And Cover
84 1704111400 A RA8000 2796  For Matrix 10 Plasma Asher AWDD342002
85 1731701  AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWDD212001
86 1731701  AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWDD212006
87 1731701  AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWDD212007
88 1731701  AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWDD212008
89 1731701 AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWDD212003
90 1731701 AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWDD212004
91 1731701 AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWDD212005
92 1731701D AC MOD/PWR For Gasonics Aura 3010 Plasma Asher Stripper AWDD212002
93 1735101 SST Cover For Gasonics Aura 3010 , 3000, L3510 ? AWDD317003
www.semistarcorp.com
Contact us for the availability of the items.Appreicate your time! [email protected]
Contact us for the availability of the items.Appreicate your time! 2 [email protected]
94 1738201 Temperature Control Gasonics Aura 3010 , 3000, L3510 AWDD3114001
95 1738201 Temperature Control Gasonics Aura 3010 , 3000, L3510 AWDD3114002
96 1738201 Temperature Control Gasonics Aura 3010 , 3000, L3510 AWDD3114003
97 1746101 SST Cover For Gasonics Aura 3010 , 3000, L3510 ? AWDD317002
98 1754201 A SST Cover For Gasonics Aura 3010 , 3000, L3510 ? AWDD317001
99 1E011 Tegal Spare Part, Bellow
100 2 Inch Wafer, Material Sapphire? GaN? Sapphire With GaN Epi?
101 2 Inch Wafer, Thin, 50100um? Material Si? InP?
102 2000001301 AG Associates Heatpulse PCB
103 2000001303 AG Associates Heatpulse PCB
104 2100000601 AG Associates Heatpulse PCB Rev A.5
105 2100000602 AG Associates Heatpulse PCB Rev A.2 ZiaTech ZT8850
106 210000071000002 AWEtch1000002 PCB AWME52008
107 210000081000016 pcb
108 2100002201 AG Associates Heatpulse PCB
109 2100003101 AG Associates Heatpulse PCB
110 2100003201 AG Associates Heatpulse PCB
111 21000060 AG Associates Heatpulse PCB 2100006002 ZiaTech ZT8812
112 21000110 AG Associates Heatpulse PCB
113 21000120 MIO24 AG Associates Heatpulse PCB
114 21000150 AG Associates Heatpulse PCB
115 21000160 AG Associates Heatpulse PCB
116 2100017001 AG Associates Heatpulse PCB
117 21000190 ROCKCADAC 3V0 000226F
118 21000212 01 AG Associates Heatpulse PCB
119 2100024101 ZiaTech ZT8820B E.2 AG Associates Heatpulse PCB 21000241009
120 2100024301 AG Associates Heatpulse PCB DASBFGA 14139 REV B PC7462
121 21000250 AG Associates Heatpulse PCB
122 2100025101 AG Associates Heatpulse PCB
123 2100025301 AG Associates Heatpulse PCB
124 2100025302 AG Associates Heatpulse PCB
125 2100030704 AG Associates Heatpulse PCB
126 210522025112(214) CAP Quartz ROHM Device
127 210522025212(214) ? Pedestal Base, Quartz Base ROHM Device
128 210522025212(214) Pedestal Base, Quartz Base ROHM Device
129 210522025212(214) Pedestal Base, Quartz Base ROHM Device
130 210522025412(214) Cap Temp Check  ROHM Device
131 210532002712 Rev 0 Rev 12214Quartz Shutter ROHM Device
132 210542002512 Rev 0 Bart Tip Quartzware Quartz Part Rohm Corporation
133 210542004512 Rev 0 Injector Sleeve Quartzware Quartz Part Rohm Corporation
134 210542005412 Rev NA Quartzware Quartz Part Rohm Corporation
135 210542012812 Rev 12 Sleeve Quartzware Quartz Part Rohm Corporation
136 210542029411 (214) Pipe, Intake Gas  Quartzware Quartz Part Rohm Corporation
137 212402 SL5410SM 2PW FV4 FV4 APTech Regulated Valves
138 2440GS40 O Ring GB33SOL 0384164
139 25020040 For AG Associates Heatpulse
140 250649121 / 250649121 / 250649101rev A PCB Interconnection Gas Mat81003421
www.semistarcorp.com
Contact us for the availability of the items.Appreicate your time! [email protected]
Contact us for the availability of the items.Appreicate your time! 3 [email protected]
141 2601000301 Fan For AG Associates Heatpulse , ETRI Model 99XU 99XU2182000
142 261200005 AP1101SHM 2PW FV4 MV4 4.00 APTech Regulated Valves
143 2817310 Perkin Elmer Ultek LN2 Feedthrough
144 2900401501 CONTROLLER, MULTIL
145 2908004101 Meter, 1/2?, BRASS,0.54GPM,H2O
146 2908006001 Meter H2O Flow 36 GPM KOBOLD SMW7117B For AG Asscoiates Heat
147 2oz Clear Glass Black Plastic Lids 12 Pack X000WUM5UH
148 2oz Clear Glass White Plastic Lids
149 2S700601(2S003017) Japan With STK6722H AWMB45008
150 3 Inch InP Wafer
151 3 Inch Susceptor Wafer Carrier  MPT RTP600s RTP800s AG Associates AG 610
152 3 Inch Susceptor Wafer Carrier For MPT RTP600s RTP800s AG Associates AG 610
153 301 FSVP N2 10 SLM Mass Flow Controller MFC Porter Instrument Company
154 30203103 230176 PCB
155 32 Bit Interface Model No. 120 Semi fusion Corp #356 Tr. ST9 Or ST600 Or ST009?
156 33295001 A Tegal Spare Part
157 3700011001 Nitsuko Corporation Model BCR26 BCV5100 001100008 DC Power Supply
158 39535003 Tegal Spare Part
159 39562001 Tegal Spare Part
160 39793001 Tegal Top Electrode Raines Technologies
161 4 Inch Metal Cassette
162 4 Inch Metal Cassette
163 4 Inch Plastic Cassette And SST Tank With Small Holes For Wet Process
164 4 Inch Si Wafer Carrier With 2 Inch Pocket
165 4 Inch Si Wafer With 3 Inch Pocket With Flat
166 4 Inch Teflon Cassette PFA EMPAK X710001 PFA Wafer Cassette
167 4 Inch Teflon Cassette PFA FLUOROWARE AI8239M PFA Wafer Cassette
168 4 Inch Teflon Cassette PFA FLUOROWARE AI8239MLBH  LZ Wafer Cassette
169 4 Inch Teflon Cassette PFA FLUOROWARE AI8239MLBY PFA Wafer Cassette
170 4 Inch Wafer Handle
171 4 Of 2 Inch Susceptor Wafer Carrier  MPT RTP600s RTP800s AG Associates AG 610
172 400 Series AIRCO Regulated Valves With Marsh Meters Gauges
173 4000104406 Lamp PWR CNTL
174 4000104407 Lamp Driver 208VAC
175 4000104407 Lamp Driver 400VAC
176 4004000401 Model WI4037100/xx,xp Converter Concepts Inc
177 40040020 Condor MPS140W Power Supply AG Associates Heatpulse RTP
178 40040050 AG Associates  Power One Model HN125.1A Power Supply
179 40040060 (AG Associates )Power One HDD155A Power Supply 7126904 Gasonics ?
180 401243 Barrel, Susceptor, 5 inch,XYCARB CERAMICS
181 40215001 Tegal Spare Part
182 40329002  Tegal Spare Part
183 403E1IS SCR AG Associates Heatpulse Chips
184 4050101601  AG Associates Heatpulse TSCRN, CUSTOM
185 4050102301  AG Associates Heatpulse TSCRN MON, STAND ALONE
186 4100001711 PuraLITE Barnsread A110B For AG Asscoiates Heatpulse
187 4145A Semiconductor Parameter Analyzer Yokogawa Hewlett Packard IDAWW63002
www.semistarcorp.com
Contact us for the availability of the items.Appreicate your time! [email protected]
Contact us for the availability of the items.Appreicate your time! 4 [email protected]
188 4145A Semiconductor Parameter Analyzer Yokogawa Hewlett Packard IDAWW64001
189 4145A Semiconductor Parameter Analyzer Yokogawa Hewlett Packard IDAWW74001
190 4145B Semiconductor Parameter Analyzer Yokogawa Hewlett Packard IDAWW63001
191 41500804 310819 Veriflo Regulated Valves With Span Instruments Meters Gauges
192 42A13DCH2AA025 MKS Baratron Pressure Switch  1000 TorrG
193 4501000601 LC1FF43JV24/60 AQO 105 AMP 3 Pole Contactor IDAWMD5001
194 4502904101 VALVE, DIAPH, QTR TURN
195 4502904201 VALVE, DIAPH
196 4502904301 Aptach Valve
197 4855 Digital Beam Control Interface Fisons Kevex Instrumentals IDAWMD1007
198 48600136 4 88,42700383 4 88,42800891,48600133,42700384 VERIFLO
199 48V Control Board Assy 06763005 Rev3 For Gasonics Aura 2000LL AWDD2812010
200 4Z(A)PR4VTSS Parker Valve
201 5 Cassette Entegris X91250407 Wafer Cassette
202 5 Inch Teflon Cassette PFA FLUOROWARE AI8250MB Wafer Cassette
203 5 Inch Teflon Cassette PFA FLUOROWARE AI8250MBH PFA Wafer Cassette
204 5 Inch Teflon Cassette PFA FLUOROWARE AI8250MU Wafer Cassette
205 500B4 AM27C512205 DC 039UADC AMD AG Associates Heatpulse Chips
206 5059001 ISS 1 SN 18026 TEGAL PCB
207 5102002301 Metron Technology AG Associates Heatpulse
208 5108105901 flow switch, 1450 psi
209 538491120 V GCAPRECISION SCIENTIFIC TEMPERATURE CONTROL P.C. ASSEM
210 599001470001 A 718801940001 Spacer PCB AWMB44005
211 599001470001 A PCB AWMB44006
212 5B16I2L5 AG Associates Heatpulse Chips
213 6 Inch Cassette And Box (1set)
214 6 Inch Si Wafer Carrier With 2 Inch Pocket
215 6 Inch Si Wafer Carrier With 4 Inch Pocket
216 6 Inch Teflon Cassette PFA EMPAK 315014  PFA Wafer Cassette
217 6 Inch Teflon Cassette PFA FLUOROWARE AI8260MB PFA Wafer Cassette
218 6 Inch Teflon Cassette PFA FLUOROWARE AI8260MBH PFA Wafer Cassette
219 6 Inch Teflon Cassette PFA FLUOROWARE AI8260MU PFA Wafer Cassette
220 6.5 Inch Disk , Graphite With SiC Coating
221 6.75 Inch Disc, Cover, Silica With SiC Coated
222 60 Mm Chart ES001 Made In Japan  5 Boxes In A Package IDAWSL12001
223 600AKVL ARAMID / FIBERGLASS BLEND 19 oz Aluminized Para Aramid Blend RN 104083
224 61492293624 No 2293624 UM 45D15SUB/S/ZFKDS Ch. No 3005804625 IDDW642004
225 61492293637 No 2293637 UM 45D25SUB/S/ZFKDS Ch. No 3005815597  IDDW642005
226 633828840 Cable DB15M/DB15F, 20FT For Thin Film Deposition Equipment
227 633828860 Cable DB25M/DB25F ,20FT For Thin Film Deposition Equipment
228 642560TRN20 30 PSIG Max Tescom Regulated Valve Tescom
229 6800146021 Perkin Elmer LH TM11E1501/230CV Power Supply IDAWMD25004
230 6800146021 PerkinElmer Custom Rectifier LH Research Model TM11E1501/230CV
231 6LM1V2858II NUPRO Valve
232 6LVDLBW4 NUPRO Regulator Valve Gas Line SST Tube
233 71000087411 AG Associates Heatpulse RTP ERP Pyrometer Extended Range Pyrometer
234 7100047401 Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
www.semistarcorp.com
Contact us for the availability of the items.Appreicate your time! [email protected]
Contact us for the availability of the items.Appreicate your time! 5 [email protected]
235 7100060601 Rev A , 3 Fans Of ETRI 99 XM 99 XM 2182 99XM2182 AG Associates
236 7100087403 Rev H AG Associates Heatpulse RTP ERP Pyrometer
237 7100087405 Associates Heatpulse RTP ERP Pyrometer
238 7100087409 AG Associates Heatpulse RTP ERP Pyrometer Extended Range Pyrometer
239 7100109101   ( FLUKE 1780A ) FLUKE, MOD (W/O FEET) AG Associates Heatpulse
240 7100113403 Pyrometer AG Associates Heatpulse (7100128203 7100562801)
241 7100113406 Pyrometer AG Associates Heatpulse 8108, 8800, 4108, 4100, 4100S
242 7100118207 AG Associates Heatpulse 8108 ERP Pyrometer
243 7100121304 DCP Pyrometer 71001134059 Pyrometer AG Associates Heatpulse
244 7100128203(7100562202?)Pyrometer AG Associates Heatpulse 8108 8800 4108 8008
245 7100149702 Robot Effector Robot ARM AG Associates Heatpulse + 7200098203
246 7100188501 Rev:   E Oven Assembly, 8108 Standard AG Associates Heatpulse
247 7100197002 (7200101002+7300017303+7310056801) Robot Effector
248 7100228206 , Top Plate Assembly, AG Association Heatpulse 8108, Heatpulse 8800
249 7100275101 Shell A1VANIA Grease 14 oZS Type L AG Associates AWRBB7002
250 7100359701 fork assy, dtc,ncluding: 7310304902C (Plate), 7310305001A
251 7100401501 With B47 2739031 For AG Asscoiates Heatpulse
252 7100401601 With 7310291401 A / B47 2739031 For AG Asscoiates Heatpulse
253 7100401602 With 7310291301 A / B47 2739031 For AG Asscoiates Heatpulse
254 7100407803 Rev:   E Oven Assembly, 8108 Standard AG Associates Heatpulse
255 7100430401 BBU Battery Backup Unit AG Associates 7100427801 7100430701
256 7100517701 AG Associates Heatpulse Chips
257 7100562202 AG Associates Heatpulse RTP DCP Pyrometer Dural Color Pyrometer
258 7100562202 Pyrometer AG Associates Heatpulse 8108 8800 4108 8008
259 7100562202 Pyrometer AG Associates Heatpulse 8108, 8800,4108, 4100, 8008,4100S
260 7100562801 For 7100562202 / 7100128203 Pyrometer AG Associates Heatpulse
261 7100563302 AG Associates Heatpulse PCB Zero Crossing Board 3PH 60Hz AG RTP
262 7100570504 Lamp PWR CNTL
263 7100574601 RING, SLIPFREE,  5IN  7450003205
264 7100574701B RING, SLIPFREE 6 Inch
265 7100575001 assy sf/pkg 6in w/o flat, SLIP FREE RING (7450005906J),A VERSION
266 7100575901 ASM SF/PKG 8IN N/FLT DTC,B VERSION, SLIP FREE RING
267 7100576202 assy sf/pkg notch 6 in. 7310573702
268 7100599901 RING, SLIPFREE, 8IN, B Version
269 7100615905 Lamp Driver
270 7100774802 PENTIUM COMPUTER  AG Associates Heatpulse
271 7100791102 Front Flange AG Associates Heatpulse 8108 Heatpulse 8800, 4108 8008
272 7126802 Gasonics Power One Model HB53/OVPA (HB530VPA)
273 7137 Rev E4, SST8900 FCC ID HNG890CLBBD1TIA1 AWW1026011
274 71528552001 Rev 1 For Lam Research Lam Rainbow 4xxx ( 715285520011 )
275 72000067 SR5014590 ,For AG Associates Heatpulse
276 72000189 A For AG Asscoiates Heatpulse
277 72000213B for AG Associates Heatpulse RTP
278 7200031001 A For AG Asscoiates Heatpulse
279 7200036501 A Plate For Heatpulse 8108 ,8800,4108,8008, 4100, 4100S
280 7200038201 For AG Asscoiates Heatpulse
281 7200038301A For AG Associates Heatpulse
www.semistarcorp.com
Contact us for the availability of the items.Appreicate your time! [email protected]
Contact us for the availability of the items.Appreicate your time! 6 [email protected]
282 7200056402 For AG Asscoiates Heatpulse
283 7200056402 For AG Asscoiates Heatpulse
284 7200056402 For AG Asscoiates Heatpulse
285 7200056402A For AG Asscoiates Heatpulse
286 7200060203 F Chamber Plate,For Heatpulse 8108 ,8800,4108,8008
287 7200060301 A Plate For Heatpulse 8108 ,8800,4108,8008, 4100, 4100S
288 7200060402 B Plate For Heatpulse 8108 ,8800,4108,8008, 4100, 4100S
289 7200060703 D Plate For Heatpulse 8108 ,8800,4108,8008, 4100, 4100S
290 7200064902 E For AG Asscoiates Heatpulse
291 7200065401 7310326501,PLATE, WFR CARR, 8IN POPA
292 7200073101 A For AG Asscoiates Heatpulse
293 7200074602P3 For AG Associates Heatpulse
294 7200081001 B Plate For Heatpulse 8108 ,8800,4108,8008, 4100, 4100S
295 7200081102 D Plate For Heatpulse 8108 ,8800,4108,8008, 4100, 4100S
296 7200084901 Or 7200084001 For AG Asscoiates Heatpulse
297 7200094101 B Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
298 7200094101 C Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
299 7200094101B Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
300 7200094101C + 7310172902 C + 7310252801 A Robot Arm Effector AG Associates
301 7200094501 + 7200098203 D Robot Effector Robot ARM AG Associates Heatpulse
302 7200094501 C Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
303 7200098301 For AG Associates Heatpulse
304 7200109201 AG Associates Heatpulse RTP
305 7200109701 A For AG Asscoiates Heatpulse
306 73100400010 Bracket For AG Asscoiates Heatpulse,with Fittings
307 7310048702 B For AG Asscoiates Heatpulse
308 7310069801 For AG Associates Heatpulse
309 7310069901 For AG Associates Heatpulse
310 7310070001 A Tube N2 Inlet For AG Associates Heatpulse
311 7310071902C / 01148033 Crysta SiC Coated, 6 Inch Single Wafer SUSC
312 7310105801 For AG Associates Heatpulse IDAWS016
313 7310105901 For AG Associates Heatpulse IDAWS016
314 7310106401 B For AG Associates Heatpulse
315 7310184301 B + 7310184401 +7310184501 Robot Effector 7100290601
316 7310222401 Rev C Rear Flange With SST Tube AG Associates Heatpulse RTP
317 7310226902 Rear Flange AG Associates Heatpulse RTP
318 7310288301 A For AG Associates Heatpulse
319 7310288601 A Rear Flange No SST Tube AG Associates Heatpulse RTP
320 7310288601 Rear Flange, DTC, No SST Tube welded
321 7310288702 A RING, SLIPFREE
322 7310288901(7310282901?) B Robot Effector AG Associates
323 7310291301 A For AG Asscoiates Heatpulse
324 7310291401 A For AG Asscoiates Heatpulse
325 7310302602  RING SLIPFREE 6 IN NOTCH, OL
326 7310307401 RING, SLIPFREE, 6IN,A Version
327 7310344501 A Chamber Plate,For Heatpulse 8108 ,8800,4108,8008
328 7310347301 B For AG Associates Heatpulse
www.semistarcorp.com
Contact us for the availability of the items.Appreicate your time! [email protected]
Contact us for the availability of the items.Appreicate your time! 7 [email protected]
329 7310347301 B For AG Associates Heatpulse
330 7310347902 AG Associates Heatpulse Arm , Thin, Vestal Chuck
331 7310347902 B Robot Effector Robot ARM AG Associates Heatpulse + 7310177902 C
332 7310347902 B Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
333 7310347902 B+ 7310184401 +7310184501 Robot Effector AG Associates
334 7310347902 B+ 7310348004D Robot Effector AG Associates
335 7310352601 ring, slipfree 3.0 in
336 7310414601 tube n2/purge retro intel, A Version
337 7310457602 TUBE,PURGE INLET,FLANGE EZDTC ASSY, C Version
338 73104606–01 C Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
339 7310473001 A For AG Associates Heatpulse
340 7310475501 g, SLIP 6",P2 VERSION, SLIP FREE RING
341 7310475501 g, SLIP 6",R2 VERSION, SLIP FREE RING
342 7310578701 A Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
343 7310578701 P4 + 7310348004 D + 7310252801 A Robot Effector AG Associates
344 7310587401 A (7100515602 + 7100515501 )AG Associates Heatpulse RTP
345 7310587401 A Gas Line AG Associates Heatpulse Rtp
346 7310621001 Robot Effector long, Hot, Thin,AG Heatpulse 8800 ( 7310578601)
347 7310621001 Robot Effector long, Hot, Thin,AG Heatpulse 8800 ( 7310578601)
348 7310827801 TUBE,PURGE INLET,7100670501,FLANGE EZDTC ASSY
349 7400008201 E/F Quartz Tray for AG Associates Heatpulse 610 6 inch
350 7450003203 RING, SLIPFREE,  6IN , E VERSION
351 7450003207 RING, SLIPFREE,  6IN , 7100583501A, L version
352 7450003301 RING, SLIPFREE,  5IN
353 7450003604 SR RINGS R/B 7100575101, 8 INCH
354 7450005902 RING, SLIPFREE W/ NO FLAT,5 INCH
355 7450005904 RING, SLIPFREE W/ NO FLAT,4 INCH, H Version
356 7450005906 RING, SLIPFREE W/ NO FLAT,6,INCH, J version
357 7450009001 RING, SLIPFREE 6 INCH
358 7450009101 RING, SLIPFREE 8 INCH
359 74LS 160A  Or 74LS160A 5.22 , Original For TEGAL 901e Tegal 903e Tegal Equipm
360 7500009601 TRAY ALIGNMENT ,4 INCH, D  Version
361 7500009603 TRAY ALIGNMENT ,6 INCH, E  Version
362 7500015506 TRAY ALIGNMENT ,8 INCH
363 7600021601 AG Associates Heatpulse Chips
364 7600025501 V611 OC32 S1 AG Associates Heatpulse Chips
365 7600025601 V611 C555 S2 Interface AG Associates Heatpulse Chips
366 7600025701 V611 E319 S3 AG Associates Heatpulse Chips
367 76000258 V611 GFB8 S4 AG Associates Heatpulse Chips
368 7600027201 AG Associates Heatpulse Chips
369 7650010001 AG Associates Heatpulse SST Tube Gas Line With Fittings10
370 7650010001 Tube Gas Line With Fittings AG Associates Heatpulse
371 7650015701 SST Tube Gas Line With Fittings AG Associates Heatpulse
372 7650015906 tube, purge exh
373 7650029802 B (?)Tube Gas Line With Fittings AG Associates Heatpulse
374 7650029802 B Tube Gas Line With Fittings AG Associates Heatpulse
375 76H4972 J127W036298 943015 PCB AWMB45002
www.semistarcorp.com
Contact us for the availability of the items.Appreicate your time! [email protected]
Contact us for the availability of the items.Appreicate your time! 8 [email protected]
376 7EC4889850 Pall Trinity Micro SST Gas Tube IDAWS021
377 8 Inch  Si Wafer Carrier With 16 Pieces Of 0.5 Inch Diameter Pocket
378 8 Inch  Si Wafer Carrier With 6.25/5.75 Inch Ring
379 8 Inch  Wafer Carrier With 6 Inch Pocket With 1.5 mm Holes
380 8 Inch Cassette With Box
381 8 Inch Si Wafer With 6 Inch Pocket With Flat
382 8 Inch Si Wafer With 6 Inch Pocket With Flat
383 80095256 Pin Lifter Fiber Optic Cable Tegal Spare Part
384 80095278 Rev 10 RW Tegal 901e Tegal 903e Main Control Board PCB AWMG51001
385 80095278 RW 3Tegal 901e Tegal 903e Main Control Board PCB AWMG51004
386 80095278 RW 3Tegal 901e Tegal 903e Main Control Board PCB AWMG51005
387 80095278 RW B Tegal 901e Tegal 903e Main Control Board PCB AWMG51003
388 80095278 RW Tegal 901e Tegal 903e Main Control Board PCB Tegal Spare Parts
389 80095278 RW Tegal 901e Tegal 903e Main Control Board PCB Tegal Spare Parts
390 80095278 Tegal  901e Tegal 903e Main Control Board PCB AWMG51006
391 80095278 Tegal 901e Tegal 903e Main Control Board PCB AWMG51002
392 80095278 Tegal 901e Tegal 903e Main Control Board PCB AWMG51005
393 80095278 Tegal 901e Tegal 903e Main Control Board PCB Profacture AWMG53001
394 80095278 Tegal 901e Tegal 903e Main Control Board PCB Profacture AWMG53002
395 80095278 Tegal 901e Tegal 903e Main Control Board PCB Profacture AWMG53003
396 80202291 Tegal Spare Part
397 80202327 Tegal Spare Part
398 81007138 Bellow  for Tegal equipment
399 8210 SOLENOID VALVE
401 88299000 sch 88299101
402 88299000 sch 88299101 MOD 8332
403 901061 A Watchdog Gasonics Aura 3010 3000 PCB AWD317007 2/2
404 901061 A Watchdog Gasonics Aura 3010 3000 PCB AWDD318003
405 9030030002 For 7100047401 Robot Effector AG Associates
406 920053460X Rev DB02 5930 034542 PCB AWMB45001
407 921DA1P The Foxboro Company Temperature Controller IDAWMD31002
408 94287002 Tegal 1513e Switch , Differential Spare Part
409 944SSFSFF VERIFLO Regulator Valve
410 944SSFSFF VERIFLO Regulator Valve With Plate 0310097 Rev A
411 94C19C6R Valcor Engineering Corp Valve
412 953531 REV. B PCB Sea level Systems
413 953618 Gasonics Lamp Tray For Gasonics Aura 3010, 3000, 2000LL, L3510
414 959100W2PFSMF VERIFLO Regulated Valves
415 9804825 ROBITECH INC SN36367 8 VALVE MODULR 9804800 SERIES
416 98300390 Station AG Associates Heatpulse, With98202020 SVPC1
417 98300390 Station AG Associates Heatpulse, With98202020 SVPC1
418 98302030 Sensor I/O AG Associates Heatpulse PCB
419 98302080 3 Phase Zero Crossing Detector AG Associates Heatpulse PCB 710052110
420 99046001  MEC1 TEGAL PCB 98046001 REV A
421 99046602 REV C MEC2 TEGAL PCB 98046001 REV C
422 99103001 REV E LMB1 TEGAL PCB 98103001 REV A
www.semistarcorp.com
Contact us for the availability of the items.Appreicate your time! [email protected]
Contact us for the availability of the items.Appreicate your time! 9 [email protected]
423 99104001 LMC1 TEGAL PCB 98104001
424 99106001 REV D ATS1 TEGAL PCB 98106001 REV B
425 99111001, AST1, TEGAL PCB
426 99114001 REV B MMC1 TEGAL PCB 98114001 REV A
427 99118001 REV B RFL1TEGAL PCB 98118001 REV 1 A
428 99121001 REV B TEGAL PCB 98121001 REV A
429 99125004 REV D FPI4 TEGAL PCB 98125002 REV A
430 99125004 REV G FPI4 TEGAL PCB 98125004 REV B
431 99125004 Tegal  901e Tegal 903e  PCB AWMG51010
432 99125008 REV C FPI8TEGAL PCB 98125008 REV B
433 99126005 PCB  Tegal 901e Tegal 903e AWMG53008
434 99126006 PCB  Tegal 901e Tegal 903e AWMG53009
435 99128002 TEGAL PCB 98128002 REV 4
436 99128003 REV E ISR3 TEGAL PCB 98128003 REV 1
437 99129004 REV F SHI4 Tegal PCB 98129004 REV A
438 99138001 Tegal  901e Tegal 903e  PCB AWMG51008
439 99138001 TEGAL PCB 98138001 REV 2
440 99138004  Tegal PCB 98138004
441 99142001 Tegal PCB 98142001
442 99172 Tegal PCB 98172001 REV A
443 99172 Tegal PCB 98172001 REV2
444 99172001 REV S IMN1 98172001 REV A, Tegal 901e, Tegal 903e
445 99172002 , IMN3 ,Tegal PCB ,98172001
446 99172002 Tegal 901e Tegal 903e AWMG53007
447 99172003 , IMN3 ,Tegal PCB ,98172003
448 99172003 REV F IMN3 98172003 REV B, Tegal 901e, Tegal 903e
449 99172003 Tegal 901e Tegal 903e AWMG53006
450 99173003  Tegal PCB
451 99173004 REV D RFG4 Tegal PCB 98173003 REV B
452 99173004 Tegal PCB 98173003
453 99173005 REV A RFG5 Tegal PCB 98173005 REVA
454 99173008 Tegal  901e Tegal 903e  PCB AWMG51007
455 99173008/c Tegal  901e Tegal 903e  PCB AWMG51009
456 99181001 B EPD1PCB  Tegal 901e Tegal 903e Item CD 1010 ? AWMG53005
457 99181002 D EPD0 PCB  Tegal 901e Tegal 903e Item CD 1010 ? AWMG53004
458 99181002 REV L EPD2 Tegal PCB ENDPOINT DETECTOR
459 99186001 REV 2A ATT1 and 98186001 Tegal PCB
460 99187002 REV A ATO2 and 98187002 REV 1 Tegal PCB
461 99190002 REV A PMF2 and 98190002 REV A Tegal PCB
462 99195003  Tegal 1513e PCB, Switch Assembly Spare Part
463 99196001 6 ATI2 and 98196001 REV 2 Tegal PCB
464 99196001 J and 98196001 REV C Tegal PCB
465 99196002 P ATI2 and 98196001 REV C Tegal PCB
466 99196002 P ATI2 and 98196001 REV E Tegal PCB
467 99196002 REV E ATI2 and 98196001 REV B Tegal PCB
468 99196002 REV K ATI2 and 98196001 REV C Tegal PCB
469 99196002 REV W ATI2 and 98196001 REV C Tegal PCB
www.semistarcorp.com
Contact us for the availability of the items.Appreicate your time! [email protected]
Contact us for the availability of the items.Appreicate your time! 10 [email protected]
470 99196002 REV W ATI2 and 98196001 REV F Tegal PCB
471 99200001 REV 4 SIS1 PCB TEGAL 98200001 REV 2 (1).JPG
472 99200003 REV B SIS3 PCB TEGAL 98200002 REV 1  Tegal
473 99200003 REV C SIS3 PCB TEGAL 98200002 REV 1 Tegal
474 99200004 REV C SIS4 PCB TEGAL 98200001 REV 2
475 99200005 REV B SIS5 PCB TEGAL 98200002 REV 1
476 99200005 REV E SIS5 PCB TEGAL 98200002 REV 1
477 99200005 REV G SIS5 PCB TEGAL 98200002 REV 1
478 99200005 REV H SIS5 PCB TEGAL 98200002 REV 1
479 99200005 REV H SIS5 PCB TEGAL 98200002 REV A
480 99200005 REV J SIS5 PCB TEGAL 98200002 REV A
481 99207004 REV A MBE4 PCB TEGAL 98207004 REV A  Tegal
482 99207004 REV C MBE4 PCB TEGAL 98207004 REV B Tegal
483 99209001 REV C PCB ADM1 TEGAL 98209001 REV A   , Tegal 901e, Tegal 903e
484 99209001 REV E PCB ADM1 TEGAL 98209001 REV A , Tegal 901e, Tegal 903e
485 99209001 REV F PCB ADM1 TEGAL 98209001 REV 1 , Tegal 901e, Tegal 903e
486 99209001 REV F PCB ADM1 TEGAL 98209001 REV A, Tegal 901e, Tegal 903e
487 99214002 REV 5 PCB TEGAL 98214002 REV 2  , Tegal 901e, Tegal 903e
488 99214003 REV A PCB TEGAL 98214002 REV A , Tegal 901e, Tegal 903e
489 99214003 REV C PCB TEGAL 98214002 REV A  , Tegal 901e, Tegal 903e
490 99214003 REV G PCB TEGAL 98214002 REV 2, Tegal 901e, Tegal 903e
491 99214003 REV H PCB TEGAL 98214002 REV C  , Tegal 901e, Tegal 903e
492 99287001 REV C SST 98287001 REV A, TEGAL CORP , Tegal 901e, Tegal 903e
493 99309001 Rev B OSI1 98309001 Rev A 42730001 Rev B 93318001 Tegal
494 9947500001 70 DG840600169 Assy 994752000 /994751000 AWMB45004
495 9x2 Inch Susceptor, Silica With SiC Coating, One Side Only, Base And Cover
496 A&N Cooperation 2way Flange SST New AWMF26
497 A&N Cooperation 4way Flange SST New AWMF25
498 A.D.smith AC Motor Type 5 S# S48A16 SER 2C00 HP 1/4 RPM 1725 AWMC46
499 A0113201 / 012125 For  Gasonics Aura 3010, L3510? Aura 2000LL ? Chamber Wall
500 A0113201 / 012125 For  Gasonics Aura 3010, L3510? Aura 2000LL ? Chamber Wall
501 A111E1 And 111M4A For AG Asscoiates Heatpulse?
502 A19604 FCC ID B9482335 HP 3345 8233560001 F 822358001 AWW1024002
503 A196B PCB
504 A36B10A15T2000 Globe Motors ,Fan, 115 VAC 50/60 Hz 7/6 W Impedance Protected
505 A400001 QUARTZ TRAY 6 inch
506 A4012000 QUARTZ Tube
507 A4012100 QUARTZ Plate , Thick
508 A41004A 4 Inch Muffle SiC Coat , Susceptor Base
509 A5 ElectroGlas Theta Z Joystick Assy 250262001 Rev E AWW1025007
510 A7 424 Bar Code Reader 4 Port Serial I/O Assy II 246067001 Rev L AWW1025001
511 A81004B 4 Inch Muffle SiC Coat , Susceptor Disc
512 A9303801 Gas Cap, Welment , Gas Feed, For Gasonics Aura 3000, 3010, L3510
513 A9303801 Gas Cap, Welment , Gas Feed, For Gasonics Aura 3000, 3010, L3510
514 A9506001 Gasonics INTL With SST Tube AWDD315006
515 A9510701 B Control Panel For Gasonics Aura 2000LL AWDD2810
516 A9510701 B Control Panel For Gasonics Aura 2000LL AWDD2810003
www.semistarcorp.com
Contact us for the availability of the items.Appreicate your time! [email protected]
Contact us for the availability of the items.Appreicate your time! 11 [email protected]
517 A9520501  With A9004801 ,A9002902 Gasonics Aura 3010 AWDD3114005
518 A9520501 Rev A & A9505302 C Gasonics Lamp Assembly For Gasonics Aura
519 A9520501 Rev A & A9505302 C Gasonics Lamp Assembly For Gasonics Aura
520 AB Bulletin 1492ERL35 A Terminal Items
521 AB Bulletin 1492H6 Terminal Items
522 ABB AL30 AL303010
523 AC DC Power Box For AG Associates Heatpulse
524 AC Remote Box For Lam Research Lam Rainbow 4428 4528 4420 4520 4500 AWDD331
525 AC Service CF 1004 For Tegal 901e 903e AWDD3212
526 Accessories For AG Associates Quartz Tray Fork For Heatpulse RTP
527 Accessories For AG Associates Quartz Tray Fork For Heatpulse RTP
528 Accessories, Package Sale
529 Accurate Gas Control System No. 00746 Water Cooling Jacket OD 4.5”IDAWMD5002
530 Accurate Gas Control Systems Temperature Control AGT2542001 PCB
531 ACE Glass 1253017 Cylinder 2000ML SP Scienceware Cylinder, PP, Graduated , 2000
532 Acopian Model AC To DC Power Module DB1530 AWMF24004
533 Acopian U35Y500M Unregulated Power Supply 3A 250v IDAWSK1012
534 Acrylic Sheet Plate
535 AD Data Inc Model 5600 Switching Control Mainframe IDAWMF1009
536 AD2020 406 , Original For TEGAL 901e Tegal 903e Tegal Etcher
537 AD7541JN 8719, Original For TEGAL 901e Tegal 903e Tegal Etcher
538 Adaptec AHA2940W/ 2940UW Assy 91730652 FGT2940UW BD0B20105BE AWW1024004
539 Adaptec AHA2940W/2940UW Assy 91720618 BF0A71403H9 FGT2940UW AWW10216003
540 Adaptec AHA2944UW Assy 99150612 FGT2944UW 05394CC/O SGA00 AWW1023007
541 Adaptec AHA2944W / 2944UW Assy 91730600 FGT2944UW BA0J7310G1F AWW1024001
542 Adapter /flange For Thin Film Deposition Equipment
543 ADESSO EasyTouch Mini keyboard 12”, 87 Keys Layout 1.12 Lbs AWW10215
544 Advanced Energy 01990 Power Supply , DC Power Supply
545 Advanced Energy 2011029A Power Supply , DC Power Supply
546 Advanced Energy 2011029D Power Supply , DC Power Supply
547 Advanced Energy 2011029E Power Supply , DC Power Supply
548 Advanced Energy 2012000F Power Supply , DC Power Supply
549 Advanced Energy 2012000K Power Supply , DC Power Supply
550 Advanced Energy 2225000F Power Supply , DC Power Supply
551 Advanced Energy 2225000S Power Supply , DC Power Supply
552 Advanced Energy 2225000S Power Supply , DC Power Supply
553 Advanced Energy 3006000B / 009090279 High Voltage Unit Focus
554 Advanced Energy 3152012037TPower Supply , DC Power Supply
555 Advanced Energy 3155017000 RF Match Unit Matching Network Tuner
556 Advanced Energy 3155017000 RF Match Unit Matching Network Tuner
557 Advanced Motion For Prealigner Ver.2 124030040 PC3XCPM1 AWR039CC1004
558 Advanced Motion For Prealigner Ver.2 141910003 X02 PC3XDPF1 AWR039CC1003
559 Advanced Radiation Corp CSA75SPEC For Gasonics Aura 3010 AWDD3115003
560 AE Advanced Energy 401301201 Plasma Technology Control IDAWMF1005
561 AEROVOX N50H2510G45R Capacitor For Gasonics Aura 2000LL AWDD2812006
562 AEROVOX N50H2510G45R Capacitor For Gasonics Aura 2000LL AWDD2812007
563 AEROVOX N50H2510G45R Capacitor For Gasonics Aura 2000LL AWDD2812008
www.semistarcorp.com
Contact us for the availability of the items.Appreicate your time! [email protected]
Contact us for the availability of the items.Appreicate your time! 12 [email protected]
564 AG Asscoiates 7100368901 Tool, Flange Pin Repl
565 AG Asscoiates Alarm Lamp With 7200051403 C Plate
566 AG Asscoiates Bracket 7200097302 B
567 AG Associates (Metron Technology) 7100599502B, Tray, Ceramic Shield, 6 inch
568 AG Associates (Metron Technology) 7100636001A Tray, Ceramic Shield, 4 inch
569 AG Associates (Steag RTP Systems) 7310164401B
570 AG Associates 0310096 0500296 Gas Lines With Valves
571 AG Associates 0641079602 C Kit, FlowMtr/Flowsw Retro
572 AG Associates 2100020101 Rev 005 VGA/FLAT Panel Video Card AWMB45018
573 AG Associates 2706000401 T.E.M. Filter TEM915 MFCPT IDAWMA35001
574 AG Associates 2706001102 ? Pall GLFPF3000VFM4 4KBM20001 IDAWMA35003
575 AG Associates 2900003601 WIKA Type 111 10 2”  IDAWMA37001
576 AG Associates 4000000501 LVS44 E5 HAL01386 R1 AWMF24002
577 AG Associates 4500902001
578 AG Associates 4502003502 Phd Inc ML32178 D
579 AG Associates 4502003502 Phd Inc ML32178 D With 7210051001 Bracket
580 AG Associates 4502003502 Phd Inc ML32178 D With 7310051001 A Bracket
581 AG Associates 4502003502 Phd Inc ML32178 D With 7310053201 A Bracket
582 AG Associates 7100403301B Tray, 7310288101 For 8 Inch
583 AG Associates 72000068 Rev F Globe Motors 409A60292 7310360501
584 AG Associates 7200096203 C
585 AG Associates 7310284301A Quartz Tray With TC Station For Heatpulse 8108 8800
586 AG Associates 7310293501A For Heatpulse 4100 6 Inch
587 AG Associates 7310295601
588 AG Associates 7310357501A (?) Quartz Door Inlay Long
589 AG Associates 7310357501A Quartz Door Inlay Short
590 AG Associates 7310443401A Quartz Tray With TC Station (Rear) 46 Inch
591 AG Associates 7310443401A Quartz Tray With TC Station (Rear) 46 Inch
592 AG Associates 7400010201C Quartz Inlay
593 AG Associates 7400010906 quartz Tray For Heatpulse 8108 4108 8800
594 AG Associates 74000115B / 7310434101B Quartz Tube For Heatpulse 8108 8800
595 AG Associates Door Control Panel With 7100512601 Assembly IDAWMA36003
596 AG Associates Door Control Panel With 7100513601 Assembly IDAWMA36004
597 AG Associates Heatpulse 210 Rapid Thermal Processing equipment
598 AG Associates Heatpulse 4100 Chamber Assembly
599 AG Associates Heatpulse 4108 Rapid Thermal Processing Equipment SN 1M93174
600 AG Associates Heatpulse 610 Rapid Thermal Processing equipment
601 AG Associates Heatpulse 610 Rapid Thermal Processing Rapid Thermal Annealing
602 AG Associates Heatpulse 610 Rapid Thermal Processing Rapid Thermal Annealing
603 AG Associates Heatpulse 7200042801A Door
604 AG Associates Heatpulse 7200106901B
605 AG Associates Heatpulse 8108 8800 7100589701, Assembly,Quartz Tray,6", EZDTC
606 AG Associates Heatpulse 8108 8800 7100589801, Assembly,Quartz Tray,8", EZDTC
607 AG Associates Heatpulse 8108 8800 Quartz Tray For 6 Inch Standard
608 AG Associates Heatpulse 8800, 8108, 4108 Isolated Quartz Tube 7400010005J
609 AG Associates Heatpulse 8800, 8108, 4108 Isolated Quartz Tube 7400010006K
610 AG Associates Heatpulse Ceramic Shield 6 Inch
www.semistarcorp.com
Contact us for the availability of the items.Appreicate your time! [email protected]
Contact us for the availability of the items.Appreicate your time! 13 [email protected]
611 AG Associates Heatpulse Ceramic Shield 8 Inch
612 AG Associates Heatpulse Pump 115 , GAST MOAV113AE
613 AG Associates Heatpulse Pump 115 , GAST MOAV113AE With 7100127005 Wire Cable
614 AG Associates Heatpulse Rapid Thermal Processor Model 610I , 4 Bank , AWD113
615 AG Associates Heatpulse RTP ERP Pyrometer Extended Range Pyrometer
616 AG Associates Heatpulse Susceptor 7310071902 New 6” Starship W/Thermo Wells
617 AG Associates Heatpulse Susceptor 7310102802 New
618 AG Associates Minipulse 310 Rapid Thermal Processing Rapid Thermal Annealing
619 AG Associates Plate With TRW Global Motor 409A60292 AWMC41001
620 AG Associates PN 7310462001
621 AG Associates Purge Interface 98302090 PCB
622 AG Associates Quartz Baffle
623 AG Associates Quartz Liner 7310301001A For Heatpulse 4100 6 Inch
624 AG Associates Quartz Liner 7310301002B For Heatpulse 4100 6 Inch
625 AG Associates Quartz Tray 7310069201 For Heatpulse 4100 TC Station 6 Inch
626 AG Associates Quartz Tray 7310069202 For Heatpulse 4100 Mtray Slip Free 6 Inc
627 AG Associates Quartz Tray 7400010106
628 AG Associates Quartz Tray 7400010606J
629 AG Associates Quartz Tray 7400010903B 5 Inch
630 AG Associates Quartz Tray 7400010906F 5 Inch
631 AG Associates Quartz Tray 7400010906F 5 Inch
632 AG Associates Quartz Tray 7400010906K 5 Inch
633 AG Associates Quartz Tray 8 Inch 7310511101?
634 AG Associates Quartz Tray Fork For Heatpulse 4100, Heatpulse 4100S
635 AG Associates Quartz Tray Fork For Heatpulse 4100, Heatpulse 4100S
636 AG Associates Quartz Tray Fork For Heatpulse 4100, Heatpulse 4100S
637 AG Associates Quartz Tray Fork For Heatpulse 4100, Heatpulse 4100S
638 AG Associates Quartz Tray Fork For Heatpulse 8108 Heatpulse 8800 4108
639 AG Associates Quartz Tray Fork For Heatpulse 8108 Heatpulse 8800 4108
640 AG Associates Quartz Tray Fork For Heatpulse 8108 Heatpulse 8800 4108
641 AG Associates Quartz Tray Fork For Heatpulse 8108 Heatpulse 8800 4108
642 AG Associates Quartz Tray Fork For Heatpulse 8108 Heatpulse 8800 4108
643 AG Associates Quartz Tray TC Station Front For Heatpulse 4100 36 “ 7400011101
644 AG Associates Quartz Tray, 7400013303 / 7100184401C
645 AG Associates Quartz Tray, 7400013303 / 7100184401C
646 AG Associates Quartz Tray, Standard For 8 Inch
647 AG Associates Quartz Tube 7400002301G 6 Inch
648 AG Associates Quartz Tube 7400010005J
649 AG Associates RMS Analog Card PCA 7100520901A AWW1026004
650 AG Associates Steag 2908004401 Flower Meter RateMaster Dwyer IDAWMA35008
651 AG Associates Steag 510800801 Pressure Control FIO 3 Flow IDAWMA35010
652 AG Associates Steag 7100088102 ? Kobold Type 41R57 Flow Meter IDAWMA35009
653 AG Associates Steag Ast 4000000901 DC Power?  IDAWMA35007 /7002
654 AG Associates Steag Ast 5102002501 Main Contactor IDAWMA35006
655 AG Associates Steag Ast 83300016 Siemens 3TK28 040BB4 IDAWMA37003
656 AG Associates Steag Ast Elektronik 5103903601 Switch,pressure IDAWMA35005
657 AG Associates Steag Ast Elektronik 7310942301 Pressure Meter  IDAWMA35007
www.semistarcorp.com
Contact us for the availability of the items.Appreicate your time! [email protected]
Contact us for the availability of the items.Appreicate your time! 14 [email protected]
658 AG Associates Steag Ast Elektronik Universal Adhesive 99300009 IDAWMA35004
659 AG Associates Steag Tric Plate Assembly IDAWMA36001
660 AG Associates Steag Tric Plate Assembly IDAWMA36002
661 AG Associates Steak RTP System 7310973301 P1 Tube , Quartz , Steam Output
662 AG Associations 4502022801 NUPRO 6LMV285811 Valve
663 Agilent E5940B #300 /E5840B  TracePort Analyzer IDAWW823002
664 Agilent HFBR 5903e 0105 Made In Malaysia AWMB45017
665 Air Applitec Inc Model F236S ,AG Associates Mattson Blower AWDD15001,4001
666 Air Products ANSI B40.1 Pressure Meter 2.5” 01400kpa Cajon N70 AWMF24001
667 AIR Velocity Meter Model 440 IDAWMF1002
668 Airco Temescal FC1800 BOC Coating Technology Programmable Sweep IDDEV0015
669 Airco Temescal FC1800 Controller IDDEV0012
670 Airco Temescal FC1800 CV8 High Voltage Control  IDDEV0017
671 Airco Temescal FC1800 CV8 High Voltage Control IDDTRACK2001
672 Airco Temescal FC1800 Evaporator
673 Airco Temescal FC1800 Evaporator
674 Airco Temescal FC1800 Gun Control 1   IDDEV0018
675 Airco Temescal FC1800 Gun Control 1 IDDTRACK2002
676 Airco Temescal FC1800 Inficon IC 6000 IDDTRACK2003
677 Airco Temescal FC1800 Inficon IC/5 Deposition Controller IDDEV0013
678 Airco Temescal FC1800 Leak Check Sensitivity Varian IDDEV00112
679 Airco Temescal FC1800 Manual Vale Control IDDEV00110
680 Airco Temescal FC1800 MKS HPS Products 937A Gauge Controller IDDTRACK2007
681 Airco Temescal FC1800 Shutter Control Boc Coating Technology IDDEV0019
682 Airco Temescal FC1800 Substrate Heat IDDEV00111
683 Airco Temescal FC1800 Varian 843 Vacuum Ionization Gauge  IDDTRACK2006
684 Airco Temescal FC1800 Varian Accessory Control IDDTRACK2005
685 Airco Temescal FC1800 Varian Vacuum Process Control 9806100 IDDTRACK2004
686 AIRPAX Cambridge MD USA Circuit Breaker 1 Point Unit  AIRPAX M 209 LR26229
687 Airproducts 809451247704A SST Gas Tube IDAWS021
688 Airproducts 809470172904A SST Gas Tube IDAWS021
689 Aixcon Surface GSNX No. 943075 Part No. 1700.2021 Date 04/2000
690 AJA International Model ST4510 Sputter Cathode With Target 7x12x1.5 Inch
691 AJA International Sputter Cathode
692 AJA International Sputter Cathode Part
693 AJA Sputtering Cathode With Target . Not Sure Of The Material DZ6004
694 AJA Sputtering Evaporators Heater Wires Feedthrough DZ6001
695 AJA Sputtering Evaporators Heater Wires Feedthrough DZ6002
696 AJA Sputtering Evaporators Heater Wires Feedthrough DZ6003
697 Alarm Lamps Frame For AG Associates Heatpulse
698 Alcatel OME 40 C2
699 AlcatelAnnecy Ty 5402 C15 Turbo Pump ? IDDZ7006
700 Alicat Mass Flow Meter, MS10SLPM, Gas H2S
701 Allwin21 AWETCH105002 210009002 /21000105002 K PCB
702 ALPHA Systems Lab Inc MBAWV1F PCBWV1F FCC ID JSQASLWACJJMP1 AWW1027020
703 ALPHA Systems Lab Inc MBAWV1F PCBWV1F FCC ID JSQASLWACJJMP1 AWW1027021
704 ALPHA Systems Lab Inc MBAWV1F PCBWV1F FCC ID JSQASLWACJJMP1 AWW1027022
www.semistarcorp.com
Contact us for the availability of the items.Appreicate your time! [email protected]
Contact us for the availability of the items.Appreicate your time! 15 [email protected]
705 Alpha? MBAWV1F FCC ID JSQASLWACJJMP1 JAX8228 Clone Cirrus AWW10216006
706 ALPHANUMERIC FLUORESCENT DISPLAY FLIP 0360122040, INDUSTRIAL ELECTRONI ENGINEE
707 Altech Corp ABLsursum  382826 3BU32 B32A Zone21  IDAWMD31001
708 Aluminum Chamber For AG Associates Heatpulse 4100 Heatpulse 610  RTP
709 Aluminum Chamber For AG Associates Heatpulse 8108, 8800, 4108 RTP
710 Aluminum Chamber For AG Associates Heatpulse 8108, 8800, 4108 RTP 2
711 Am27128DC 8411LPP AMD , Original For TEGAL 901e Tegal 903e Tegal Etcher
712 AMAT 002004018 Rev G NDM176041 Chuck IDAWMD21
713 AMAT AMP3300 PECVD
714 American Optical AO Instrument Model 572 2x With 599 AWW624002
715 American Optical AO Instrument Model 572 2x With 599 AWW624003
716 American Optical AO Instrument Model 572 2x With 599 AWW624004
717 American Optical AO Instrument Model 572 2x With 599 AWW624005
718 Amperex 4400A 9712  IDAWS011
719 Analog Board AG Associates Heatpulse PCB 98000310 REV E
720 Analog Board HP81120401 REV 13 AG Associates Heatpulse PCB 90000290
721 Anelvi Vacuum Connector NW35 To 5/8 Inch Tube IDAWS026
722 Antec 1.6
723 AO American Optical  Model 572 2x W/ 2 Of Nikon CFWE 10xA/18 IDAWW724001
724 AP1002S 2PW FV4 FV4 APTech Regulated Valves
725 AP1002S 2PW MV4 MV4 APTech Regulated Valves
726 AP1101SHM 2PW FV4 MV4 4.00 APtech Regulated Valve
727 AP1410SM 2PW FV4 MV4 APTech Regulated Valves
728 AP1510S 5PW SG Valve,HPI Valve SEMI 010241B Pressure Meter
729 AP3625S 2PW FV4 FV4 APTech Valve
730 AP3625S 3PWD FV4 FV4 MV4 ASGT (5) ,AP1510S 5PW AL APTech
731 AP3625SM 2PW FV4 FV4 APTech Valve
732 AP3625SM 2PW FV4 FV4 APTech Valve
733 Applied Materials AMAT Automatic Temperature Control 600369 ID AWMB42004
734 Applied Materials Plasma II Plasma Etching
735 APSB271010 PCB Contactor Relay Driver Applied Materials AMAT PCB
736 APT Applied Process Technology Steamboat Semiconductor Developer Wet Process
737 APtech AP1506S 4PW MV4 FV4 FV4 BO Pressure Regulator Silane IDAWS20
738 APTech AP3625S 2PW FV4 FV4 AWMC47001
739 APTech AP3625SM 2PW FV4 FV4 AWMC47002
740 APTechAP 3550SM Or  AP3550S  2PW FV4 FV4 AWMC48001
741 ARM Controller Board Assy 06764002 For Gasonics Aura 2000LL AWDD2812011
742 ARM Controller Board Assy 06764002 For Gasonics Aura 2000LL AWDD283002
743 ARO BRYAN 127122000 Valve, Gas Line With Pressure Meter Plastic Tube
744 ASCO Redhat SCB262G91VH Valve Automatic Switch Co
745 ASCO Scientific AL374 VI30PSI 5102 24VDC
746 ASFH34x214 RE Compact Air Products For AG Asscoiates Heatpulse ,7200111403 C
747 ASFH34x214 RE SRCE 18NPT And 7200122403C For AG Asscoiates Heatpulse
748 ASGT American Speciality Gas Technology S/n 4104 Gas Controller AWMD32003
749 ASM/A Plasma Enhanced Deposition System Control Cabinet Control Box, ASM AMERICA
750 Assembled In Mexico AIRPAX AG  Associates 5102002101
751 Assembled In Mexico AIRPAX Line 9309 AG  Associates 5102003801
www.semistarcorp.com
Contact us for the availability of the items.Appreicate your time! [email protected]
Contact us for the availability of the items.Appreicate your time! 16 [email protected]
752 Assembled In Mexico AIRPAX Line AG  Associates 5102003401
753 Assembled In Mexico AIRPAX Line LR26229 AG  Associates 5102003301
754 Associated Vacuum Technology LabLine 3625 With LABLINE   SQUAROID DuoVAC Oven
755 ASSY 001008401 IO BOARD 10083 REV B
756 Assy 00200AD01 REV B ( 1490701 / 1490801 / 1490901 PCB )
757 ASSY 030172400 REV B 3C905BTXWOL
758 Assy 10090 Motor Driver 10089 Rev A
759 Assy 10092 Motor Driver 10091 Rev A
760 Assy 108811 D/C CCD 108810 D Z80 Processor For Lam AutoEtch AWDD117011
761 Assy No.5000 REV C ELDEX P/N 4909 REV C. Gasonics 680131?
762 ASTECH Model Automatic Matching Controller RC10U ID AWMB41003
763 Asyst Mini environment Cassette
764 Asyst Mini environment Cassette, 6 Inch
765 Asyst Mini environment Cassette, 6 Inch
766 ASYST SAM4410 SAM 4410 Gasonics Aura 3010 ,3000, L3510 AWDD3114004
767 ASYST TECHNOLOGIES Minienvironment for Gasonics Aura 3010, Gasonics L3510
768 Asyst Technologies Polaron Film Thickness Monitor E5500 IDAWSK1007
769 Automation Unlimited PCB 250136
770 Autonics AC Ampere Meter M4WA IDAWMA3–4018
771 AW1050019 Rev B Matrix TRANSPORT INTERFACE 2100090105019
772 B09755 Quartz, Gas Ball Quartz For Branson IPC 3000, 2000, 4000 Series Asher
773 B09757 Quartz TRANSUCER VACUUM FITTING For Branson IPC 3000, 2000, 4000 Series
774 B10465 Quartz CUP JOINT EXHAUST GAS For Branson IPC 3000 2000 4000 Series Asher
775 B53.0 OVP Condor Inc Power Supply
776 Banner Modulated Photoelectric Amplifier MA3 AWDD315012
777 Barnstead Thermolyne pM512 IDAWSK1004
778 Battery Backup Unit For AG Associates Heatpulse
779 Bausch & Lomb Transformer Cat No 31 35 28 AWMF21001
780 Bausch&Lomb Microscope StereoZoom 7  1.07.0x With 2 Of 10x W.F. AWW63013
781 Bausch&Lomb Microscope W/ 2 Of 10x Wide Field , Zoom 200M  17x IDAWW721001
782 Bausch&Lomb Microscope W/ 2 Of 10x Wide Field , Zoom 200M  17x IDAWW721002
783 Bausch&Lomb Microscope W/ StereoZoom 4 0.7x30x AWW624006
784 Bausch&Lomb Microscope W/ StereoZoom 4 Zoom 200M  0.7x  3x IDAWW722002
785 Bausch&Lomb Microscope W/ Zoom 200M  17x IDAWW722001
786 Bausch&Lomb Microscope Zoom 1x7x , 2 Of 10x W.F. Stered IDAWW724004
787 Bay A.T. BES4243 BES 4243 BES4243 AWMB45011
788 Bay A.T. Gas Distributor PCB AWMGE2011
789 Bay Pneumatic Inc BES5308PCB 80095255. (?) PWK52486 AWMB45009
790 Bay Seal Co $32240325WS6$ MIOMSR325W404 IDDW642001
791 Bay Seal Co ? American Variseal R40214W99S IDDW642012
792 Bay Seal Company Part Number 2383V75 Oring Viton 75d For STS ICP
793 BayTec 80055437 For Tegal? IDAWSK2002
794 Beaker 1000ml 8900752 Kimax Kimble No 14030
795 Beaker 2000ml VWR 10536518
796 Beaker 200ml
798 Beaker 400ml
Contact us for the availability of the items.Appreicate your time! [email protected]
Contact us for the availability of the items.Appreicate your time! 17 [email protected]
799 Beaker 600ml Pyrex No 1000 (3 PC) VWR 89000224 (2 PC)
800 BELKIN DATA SWITCH BELKIN COMPONENTS Term ATP Robot Spare A B AG Associates
801 BELKIN DATA SWITCH BELKIN COMPONENTS Term ATP Robot Spare A B AG Associates
802 Belkin Pro Series PC Compatible CGAVGA/Serial Mouse F2N20906 Cable AWW1022
803 Bellow  For  Branson/IPC  Asher (?) IDAWSk51004
804 BF006 IHC242.4 11944 International Power DC Power Supplies
805 BIMBA D17469A8 Plastic Filter? For Gasonics Aura 3010 3000 AWDD3110002
806 BIMBA D17469A8 Stainless Filter? For Gasonics Aura 3010 3000  AWDD319001
807 BIMBA D17469A8 Stainless Filter? For Gasonics Aura 3010 3000 AWDD3110001
808 BlackRay Longwave Ultraviolet Lamp IDAWSL13003
809 Bline LR 78446 Power Box
810 Blue M OV472A2 38C260C
811 Bluewhite F400 Flow Meter , Pressure Meter
812 Boc Edwards Spectron 5000 Helium Leak Detector Vacuum Pump 18 1.5
813 Bodine Minarik Electric NSH12RG Motor IDAWS20
814 Bold Technologies 625 DTS Model 625D Dump Rinse Control IDAWMD22001
815 BOLD Technologies INC Batch Develop Station
816 Boonton Electronics Model 72BD Capacitance Meter Tester IDAWW824002
817 Bosch&Lomb Microscope Sterea Star Zoom 0.7x4.2 570 2 Of 15x IDAWW621001
818 Box Power
821 Branson 3000 Barrel Asher
822 Branson IPC 2000C Reactor Center Plasma Asher Plasma descum Dry Asher Dry Clean
823 Branson IPC 4000 Reactor Center Plasma Asher Plasma descum Dry Asher Dry Clean
824 Branson IPC B3003 Reactor Center Plasma Asher Plasma descum Dry Asher Dry Clean
825 Branson/IPC 2000/2 Plasma Asher
826 Branson/IPC 3000 Barrel Asher Etcher With 2000C Controller
827 Branson/IPC 3000 Plasma Etch/Asher system
828 Branson/IPC 3000C Controller
829 Branson/IPC 3100S Plasma System  Plasma Asher Plasma descum Dry Asher Dry Clean
830 Branson/IPC 4000 Controller
831 Branson/IPC 4150 Branson/IPC 2000 Branson/IPC 3000 Branson/IPC 3000S asher
832 Branson/IPC 843601188 A /843601188 A Made In Japan DP 1240 C1
833 Branson/IPC Automatch Board PWA 8041328401 REV B
834 Branson/IPC Automatch Board PWA8041328401 B IDAWME52005
835 Branson/IPC Automatch Board PWA8041328401 LL IDAWME52006
836 Branson/IPC D2000 Controller For Branson/IPC 3001, 2000, 4001,3000 AWDD332
837 BRanson/IPC Display Driver 1649801 B AWDD317004 2/2
838 BRanson/IPC Display Driver 1649801 B AWDD317005 2/2
839 Branson/IPC Display Driver 1649801 PCB AWDD318001
840 Branson/IPC Faraday Gage For Branson/IPC Plasma Asher Descum Equipment
841 Branson/IPC Faraday Gage For Branson/IPC Plasma Asher Descum Equipment
842 Branson/IPC Faraday Gage For Branson/IPC Plasma Asher Descum Equipment
843 Branson/IPC Gasonics Lamptray Assy A9505302 And A9520501 AWDD14–7
844 Branson/IPC L2101 Barrel Asher Etcher With New PM9110 Controller , 5 Gases Box
845 Branson/IPC L3200 Plasma Asher , Descum, 6”, 2 chambers Equipment AWDD110
www.semistarcorp.com
Contact us for the availability of the items.Appreicate your time! [email protected]
Contact us for the availability of the items.Appreicate your time! 18 [email protected]
846 Branson/IPC L3200 Plasma Asher , Descum, 6”, 2 chambers Equipment AWDD21
847 Branson/IPC L3200 Plasma Asher , Descum, 8” 2 chambers Equipment AWDD22
848 Branson/IPC L3200 Plasma Asher Plasma Stripper ADAWO113
849 Branson/IPC L3200 RF Match
850 Branson/IPC Model Reactor Center S200511020 Barrel Asher Etcher
851 Branson/IPC PM 119 Generator
852 Branson/IPC PM 119 RF Generator
853 Branson/IPC PM921CTEMP Controller And DIONEX GAS Plasma Systems PM119500 RF
854 Branson/IPC Power Distribution  PN 12255G Branson/IPC 3001, 3000 AWDD333
855 Branson/IPC Power Distribution LP P/N 12255G AWDD321
856 Branson/IPC Reactor Center PM11020 Plasma  Asher Plasma Cleaner Plasma Descum
857 Branson/IPC R