Top Banner
RTG4TID対策 Directly Coupled Interconnection Scheme 民生デバイス(IGLOO®2SmartFusion®2ProASIC3 )の設 計。HorizontalVertical Routingの間、あるいはRoutingから 論理回路の入力、出力への接続に単一のフラッシュセルを 使用(面積をかせぎ、低価格化)TIDによりフラッシュのフローティングゲートに電荷が蓄積さ れ、トランジスタを通過する信号の速度が遅くなる。 Indirectly Coupled Interconnection Scheme フローティングゲートへの電荷蓄積の効果が直接信号伝搬 に影響しないように、信号の電発は通常のトランジスタを経 由させることとし、そのトランジスタのon/off状態を1対のフ ラッシュトランジスタペアにより制御する。 大量にドーズを受けた場合(100krad以上)はいずれにせよフ ラッシュトランジスタの状態が変化するため影響するが、そ れまでは信号を伝搬させるトランジスタの状態は変化せず、 遅延も生じない。 Mitigation of Radiation Effects in RTG4 RadiationTolerant Flash FPGAs April 2015
14

RTG4のTID対策 Aprilaml00731/c/rad/RTG4.pdf · 2016-12-05 · RTG4のSEE対策 Single Event Data Upsets in Flip‐flops...

Aug 12, 2020

Download

Documents

dariahiddleston
Welcome message from author
This document is posted to help you gain knowledge. Please leave a comment to let me know what you think about it! Share it to your friends and learn new things together.
Transcript
Page 1: RTG4のTID対策 Aprilaml00731/c/rad/RTG4.pdf · 2016-12-05 · RTG4のSEE対策 Single Event Data Upsets in Flip‐flops RTG4に実装されているすべてのフリップフロップはシングルビット・アップ

RTG4のTID対策

Directly Coupled Interconnection Scheme民生デバイス(IGLOO®2、 SmartFusion®2、ProASIC3 等)の設計。HorizontalとVertical Routingの間、あるいはRoutingから

論理回路の入力、出力への接続に単一のフラッシュセルを使用(面積をかせぎ、低価格化)。TIDによりフラッシュのフローティングゲートに電荷が蓄積され、トランジスタを通過する信号の速度が遅くなる。

Indirectly Coupled Interconnection Schemeフローティングゲートへの電荷蓄積の効果が直接信号伝搬に影響しないように、信号の電発は通常のトランジスタを経由させることとし、そのトランジスタのon/off状態を1対のフラッシュトランジスタペアにより制御する。大量にドーズを受けた場合(100krad以上)はいずれにせよフ

ラッシュトランジスタの状態が変化するため影響するが、それまでは信号を伝搬させるトランジスタの状態は変化せず、遅延も生じない。

Mitigation of Radiation Effects in RTG4 Radiation‐Tolerant Flash FPGAsApril 2015

Page 2: RTG4のTID対策 Aprilaml00731/c/rad/RTG4.pdf · 2016-12-05 · RTG4のSEE対策 Single Event Data Upsets in Flip‐flops RTG4に実装されているすべてのフリップフロップはシングルビット・アップ

RTG4のSEE対策Single Event Latch‐Up Hardening

◆RTG4は65nmバルクCMOSプロセス(エピタキシャルウェハ)で製造している。◆民生FPGA(IGLOO2/SmartFusion2)での実証、及びTCADでシミュレーション実

施。寄生ダイオードの効果を小さくすることでラッチアップ耐性を向上。

Page 3: RTG4のTID対策 Aprilaml00731/c/rad/RTG4.pdf · 2016-12-05 · RTG4のSEE対策 Single Event Data Upsets in Flip‐flops RTG4に実装されているすべてのフリップフロップはシングルビット・アップ

RTG4のSEE対策Single Event Configuration Upset Hardening

◆RTG4は信号を通過させるトランジスタのon/off状態を1対のフラッシュトラン

ジスタペアにより制御する回路方式をとっている。重粒子の通過によりトランジスタの状態を変えるためには、このペアのフラッシュトランジスタのいずれかに、大きな電荷蓄積、あるいは放電を生じさせる必要がある。状態を変化させるほど大きなLETを有する宇宙放射線は存在しない。

◆間接的ではあるが、民生のSmartFusion2 FPGA48個に対してLBNL(Lawrence Berkeley National Laboratory)及びTAMU(Texas A & M University Cyclotron facility)にてLET 90.3 MeV‐cm2/mgまで何回か照射試験を実施した。 2.83 x 109の照射まで、ファンクション異常やパワーサイクリングを必要とするような事象は発生してしない。

◆前の世代のFPGA(RT ProASIC3)においても放射線によるフラッシュセルのアップセットは発生していない。

Page 4: RTG4のTID対策 Aprilaml00731/c/rad/RTG4.pdf · 2016-12-05 · RTG4のSEE対策 Single Event Data Upsets in Flip‐flops RTG4に実装されているすべてのフリップフロップはシングルビット・アップ

RTG4のSEE対策Single Event Data Upsets in Flip‐flops

◆RTG4に実装されているすべてのフリップフロップはシングルビット・アップセットに対して保護されている。前の世代のFPGA(RTSX‐SU, RTAX‐S/SL/DSP)同様、3つのラッチのそれぞれについて多数決による3重冗長構成をとることにより、SEU耐性を上げている。

◆ラッチの中の一つにシングルイベントによるビット反転が発生しても、非同期の多数決回路により検出・修正され、フリップフロップの外に反転データが伝搬することはない。

◆下図がSEU耐性を有するフリップフロップの回路(簡略版)となる。この回路により、静止軌道、solar minimumの条件で1 x 10‐10 errors/bit‐day以下の発生

率と予想される。なお、冗長系を構成するラッチ同士が物理的に離れているため、単一の放射線により複数のラッチが反転する確率は極めて低い。

Page 5: RTG4のTID対策 Aprilaml00731/c/rad/RTG4.pdf · 2016-12-05 · RTG4のSEE対策 Single Event Data Upsets in Flip‐flops RTG4に実装されているすべてのフリップフロップはシングルビット・アップ

RTG4のSEE対策Single Event Data Upsets in SRAM Blocks

◆RTG4には2種類のサイズの異なるユーザ向けSRAMブロックが存在する。LSRAM(Large SRAM) : 24,576ビットuSRAM(Micro SRAM) : 1,536ビット

◆いずれについても、オプションとしてhard‐wired errpr detection amd correction(EDAC)機能が付与されている。

◆EDAC機能は、シングルエラー訂正、ダブルエラー検出(SECDED)のためにshortened Hammingコードを用いている。

◆EDACはSRAMブロックが18ビット幅あるいはそれ以上のデータ幅として構成された場合に利用可能である。

◆SRAMブロックが18ビットより小さなバット幅で構成された場合はEDACは利用できない。

◆バックグラウンドで動作するスクラブ機能はハードワイヤード機能としてはRTG‐4シリコンには実装されていない。アプリけーそょんに応じてデザイナーが最適なスクラブ回路を設計することになる。

◆EDACを利用し、適切なスクラブ回路が実装された場合、LSRAM/uSRAMともに静止軌道、solar minimumで1 x 10‐10 errors/bit‐day以下の実力となると考える。

◆マルチビットアップセットは、物理的メモリブロック内のロジカルビットのインターリーブ(ここでは「距離を離すこと」)により実質的に緩和されている。

Page 6: RTG4のTID対策 Aprilaml00731/c/rad/RTG4.pdf · 2016-12-05 · RTG4のSEE対策 Single Event Data Upsets in Flip‐flops RTG4に実装されているすべてのフリップフロップはシングルビット・アップ

RTG4のSEE対策Single Event Clock Upsets

◆クロックネットワークのアップセット及びトランジェントは、クロックバッファトランジスタサイズの拡大、及び幅広のメタルトラックの採用により緩和している。

◆強いドライバの採用と低抵抗のネットワークによりクロックネットワークへの粒子の入射に伴うトランジェントにより予期しないフリップフロップのクロック動作を防止している。

Page 7: RTG4のTID対策 Aprilaml00731/c/rad/RTG4.pdf · 2016-12-05 · RTG4のSEE対策 Single Event Data Upsets in Flip‐flops RTG4に実装されているすべてのフリップフロップはシングルビット・アップ

RTG4のSEE対策Single Event Transients in Combinatorial Logic and Mathblocks

◆SET(Single Event Transients)には2つのファクタが寄与する。

◆第一に集積回路の製造業者はますます微細化、低動作電圧化に向かっており、放射線粒子の入射に伴って組み合わせ論理回路に誤作動を生じさせるために必要となるクリティカルチャージが極端に小さくなっている。

◆従って、より多くの宇宙放射線が誤作動を生じるようになってきている。

◆第二に動作周波数が高くなるとともにフリップフロップのセットアップ、あるいはホールド時間内に組み合わせ論理回路にトランジェントが生じる確率が高くなっている。

◆発生したSETの影響は、発生した回路により異なる。数Gbits/sのビデオデータの信号処理回路では、時々軽微なSETを生じる可能性がある。

◆しかしながら、制御ロジックのような回路ではSETの発生を許容することができない。◆そのため、MicrosemiはRTG4のSETフィルタリングに対してフレキシブルな対策を講じた。◆プログラミング可能な個々の論理セルとMathblockに対しては、デザイナーオプションでSETフィ

ルターを実装可能とした。SETフィルタはガードゲートとディレイによって実現している。◆この機能では、ディレイ時間(delay length)より短い時間内へのDインプットにトランジェントが乗ら

ないようにしている。入力信号(S)は2つのパスに分割され。一方は直接ガードゲートの入力へ、他方は∆Tだけ遅らせてガードゲート(S’)に到達する。SとS’が同じであればガードゲートはその値を出力する。SとS’が異なる場合はガードゲートの出力は再び一致した値となるまでそれ以前の値を保持する。

◆RTG4では、SETフィルタのディレイはノミナルで600psecとしており、プロセス、分圧、温度に対して補償されている。

◆SETフィルタは個々のフリップフロップ毎、機能ブロック毎、特定のクロックドメイン、あるいは全FPGAに対して有効化/無効化が可能である。

Page 8: RTG4のTID対策 Aprilaml00731/c/rad/RTG4.pdf · 2016-12-05 · RTG4のSEE対策 Single Event Data Upsets in Flip‐flops RTG4に実装されているすべてのフリップフロップはシングルビット・アップ
Page 9: RTG4のTID対策 Aprilaml00731/c/rad/RTG4.pdf · 2016-12-05 · RTG4のSEE対策 Single Event Data Upsets in Flip‐flops RTG4に実装されているすべてのフリップフロップはシングルビット・アップ

RTG4のSEE対策Single Event Effects in Phase Locked Loops

◆クロックコンディショニング回路ブロックの中のPLL(Phase Locked Loop)にはシングルイベントアップセット機能が適用されている。

◆RT PLLブロックの中には、3重冗長のPLLが組み込まれている。◆PLLが内部フィードバックモードで動作する場合、PLLのアウトプットが3つす

べての内部PLLに多数決回路を経由してフィードバックされる。◆外部フィードバックモードでは、外部のフィードバック信号が単一のPLLに入

力される。この場合、RT PLLの3つある冗長PLLのうち、一つだけが有効(enable)となる。

◆入力ディバイダ÷Rは3重冗長となっている。◆フィードバックディバイダ÷Fは、フィードバックディバイダのパスに発生した

放射線によるトランジェントはVCOによってフィルタされるため3重冗長とはしていない。

Page 10: RTG4のTID対策 Aprilaml00731/c/rad/RTG4.pdf · 2016-12-05 · RTG4のSEE対策 Single Event Data Upsets in Flip‐flops RTG4に実装されているすべてのフリップフロップはシングルビット・アップ

試験データ

Page 11: RTG4のTID対策 Aprilaml00731/c/rad/RTG4.pdf · 2016-12-05 · RTG4のSEE対策 Single Event Data Upsets in Flip‐flops RTG4に実装されているすべてのフリップフロップはシングルビット・アップ

Development of Radiation‐Hardened Flash‐Based Field Programmable Gate Array RTG4

Page 12: RTG4のTID対策 Aprilaml00731/c/rad/RTG4.pdf · 2016-12-05 · RTG4のSEE対策 Single Event Data Upsets in Flip‐flops RTG4に実装されているすべてのフリップフロップはシングルビット・アップ
Page 13: RTG4のTID対策 Aprilaml00731/c/rad/RTG4.pdf · 2016-12-05 · RTG4のSEE対策 Single Event Data Upsets in Flip‐flops RTG4に実装されているすべてのフリップフロップはシングルビット・アップ
Page 14: RTG4のTID対策 Aprilaml00731/c/rad/RTG4.pdf · 2016-12-05 · RTG4のSEE対策 Single Event Data Upsets in Flip‐flops RTG4に実装されているすべてのフリップフロップはシングルビット・アップ

Fig. 13. The heavy ion SEU cross section as a function of LET, comparing beam test data to the simulation results (for state “0” and “1”). The bottom figure is a zoomed in view of the top figure for LET<20 MeV.cm2/mg. 

TID and SEE characterization of Microsemi’s 4th generation radiation tolerant RTG4 flash‐based FPGA IEEE NSREC2015