POWERT Channels: A Novel Class of Covert Communication Exploiting Power Management Vulnerabilities S. Karen Khatamifard ⇤ , Longfei Wang † , Amitabh Das ‡ , Selc ¸uk K¨ ose § and Ulya R. Karpuzcu ⇤ ⇤ Department of Electrical and Computer Engineering, University of Minnesota, Minneapolis, Minnesota, USA Email: {khatami, ukarpuzc}@umn.edu † Department of Electrical and Computer Engineering, University of South Florida, Tampa, Florida, USA Email: [email protected]‡ Austin, Texas, USA Email: [email protected]§ Department of Electrical and Computer Engineering, University of Rochester, Rochester, New York, USA Email: [email protected]Abstract—To be able to meet demanding application perfor- mance requirements within a tight power budget, runtime power management must track hardware activity at a very fine granularity in both space and time. This gives rise to sophisticated power management algorithms, which need the underlying system to be both highly observable (to be able to sense changes in instantaneous power demand timely) and controllable (to be able to react to changes in instantaneous power demand timely). The end goal is allocating the power budget, which itself represents a very critical shared resource, in a fair way among active tasks of execution. Fundamentally, if not carefully managed, any system-wide shared resource can give rise to covert communication. Power budget does not represent an exception, particularly as systems are becoming more and more observable and controllable. In this paper, we demonstrate how power management vulnerabilities can enable covert communication over a previously unexplored, novel class of covert channels which we will refer to as POWERT channels. We also provide a comprehensive characterization of the POWERT channel capacity under various sharing and activity scenarios. Our analysis based on experiments on representative commercial systems reveal a peak channel capacity of 121.6 bits per second (bps). Keywords-covert channels; power management; power head- room modulation. I. I NTRODUCTION Modern computing platforms are fundamentally power lim- ited [1]. This gives rise to sophisticated runtime power management – spanning several software and hardware layers of the system stack – in order to meet diverse and demanding runtime performance needs within the stringent power budget. Effective power management requires a highly observable and controllable system, at a very fine granularity in both space and time. Observability is necessary to be able to timely sense; controllability, to be able to timely react, to changes in the instantaneous power consumption of the overall system. Activity monitors in the form of performance counters or sensors dispersed across chip serve the purpose. Exposing fine grain hardware knobs for power management to the software layers of the system stack can also help, as, for example, it is the case for Intel’s Running Average Power Limit (RAPL) interface [2]. By distributing the power budget carefully among active tasks of execution, runtime power management has to guarantee that the system-wide power consumption never exceeds the system-wide power budget. The power budget itself represents a very critical shared resource. If not carefully managed, any shared (hardware or software) resource can easily enable information leakage via covert communica- tion [3], [4], [5]. As a fundamental shared resource, power budget unfortunately does not represent an exception. The abundance of specialized activity monitors, their exposure to software layers, and the need for tight global control to avoid power budget overshoots, exacerbate the situation. In this study, we introduce, demonstrate and characterize a novel class of covert communication over previously unexplored channels triggered by power management vulner- abilities. In the following, we will refer to this novel class as POWERT (POWER + c ovERT) channels. Key contributions of this study include: • Introduction and detailed analysis of covert commu- nication over POWERT channels; a novel, previously unexplored class of covert channels induced by power management vulnerabilities; • Demonstration of POWERT communication on two representative commercial systems; • Comprehensive, analytical and experimental characteriza- tion of the POWERT channel capacity. In the following, Section II covers the basics of POWERT communication; Section III, channel specifics; Sections IV and V, evaluation; Section VI, countermeasures; Section VII, related work; and Section VIII, a summary of our findings. II. POWERT COMMUNICATION BASICS We start the characterization with basic definitions and the threat model in Section II-A and continue in Section II-B with an overview of inevitable power management practices that enable POWERT channels. Section II-C provides a conceptual explanation of how emerging power manage- ment practices facilitate covert channels. We conclude by demonstrating a proof-of-concept POWERT attack on a representative commercial system in Section II-D.
13
Embed
POWERT Channels: A Novel Class of Covert Communication ...people.ece.umn.edu/~ukarpuzc/Karpuzcu_files/hpca19.pdf · Modern computing platforms are fundamentally power lim-ited [1].
This document is posted to help you gain knowledge. Please leave a comment to let me know what you think about it! Share it to your friends and learn new things together.
Transcript
POWERT Channels: A Novel Class of Covert Communication
Exploiting Power Management Vulnerabilities
S. Karen Khatamifard⇤, Longfei Wang†, Amitabh Das‡, Selcuk Kose§ and Ulya R. Karpuzcu⇤⇤Department of Electrical and Computer Engineering, University of Minnesota, Minneapolis, Minnesota, USA
Email: {khatami, ukarpuzc}@umn.edu†Department of Electrical and Computer Engineering, University of South Florida, Tampa, Florida, USA
§Department of Electrical and Computer Engineering, University of Rochester, Rochester, New York, USAEmail: [email protected]
Abstract—To be able to meet demanding application perfor-
mance requirements within a tight power budget, runtime
power management must track hardware activity at a very
fine granularity in both space and time. This gives rise to
sophisticated power management algorithms, which need the
underlying system to be both highly observable (to be able
to sense changes in instantaneous power demand timely) and
controllable (to be able to react to changes in instantaneous
power demand timely). The end goal is allocating the power
budget, which itself represents a very critical shared resource,
in a fair way among active tasks of execution. Fundamentally,
if not carefully managed, any system-wide shared resource
can give rise to covert communication. Power budget does not
represent an exception, particularly as systems are becoming
more and more observable and controllable. In this paper, we
demonstrate how power management vulnerabilities can enable
covert communication over a previously unexplored, novel class
of covert channels which we will refer to as POWERT channels.
We also provide a comprehensive characterization of the
POWERT channel capacity under various sharing and activity
scenarios. Our analysis based on experiments on representative
commercial systems reveal a peak channel capacity of 121.6 bits
per second (bps).
Keywords-covert channels; power management; power head-
room modulation.
I. INTRODUCTION
Modern computing platforms are fundamentally power lim-ited [1]. This gives rise to sophisticated runtime powermanagement – spanning several software and hardware layersof the system stack – in order to meet diverse and demandingruntime performance needs within the stringent power budget.Effective power management requires a highly observableand controllable system, at a very fine granularity in bothspace and time. Observability is necessary to be able to timelysense; controllability, to be able to timely react, to changes inthe instantaneous power consumption of the overall system.Activity monitors in the form of performance counters orsensors dispersed across chip serve the purpose. Exposingfine grain hardware knobs for power management to thesoftware layers of the system stack can also help, as, forexample, it is the case for Intel’s Running Average PowerLimit (RAPL) interface [2].
By distributing the power budget carefully among activetasks of execution, runtime power management has toguarantee that the system-wide power consumption neverexceeds the system-wide power budget. The power budgetitself represents a very critical shared resource. If not carefullymanaged, any shared (hardware or software) resource caneasily enable information leakage via covert communica-tion [3], [4], [5]. As a fundamental shared resource, powerbudget unfortunately does not represent an exception. Theabundance of specialized activity monitors, their exposureto software layers, and the need for tight global control toavoid power budget overshoots, exacerbate the situation.
In this study, we introduce, demonstrate and characterizea novel class of covert communication over previouslyunexplored channels triggered by power management vulner-abilities. In the following, we will refer to this novel class asPOWERT (POWER + c ovERT) channels. Key contributionsof this study include:• Introduction and detailed analysis of covert commu-nication over POWERT channels; a novel, previouslyunexplored class of covert channels induced by powermanagement vulnerabilities;
• Demonstration of POWERT communication on tworepresentative commercial systems;
• Comprehensive, analytical and experimental characteriza-tion of the POWERT channel capacity.In the following, Section II covers the basics of POWERT
communication; Section III, channel specifics; Sections IVand V, evaluation; Section VI, countermeasures; Section VII,related work; and Section VIII, a summary of our findings.
II. POWERT COMMUNICATION BASICS
We start the characterization with basic definitions and thethreat model in Section II-A and continue in Section II-Bwith an overview of inevitable power management practicesthat enable POWERT channels. Section II-C provides aconceptual explanation of how emerging power manage-ment practices facilitate covert channels. We conclude bydemonstrating a proof-of-concept POWERT attack on arepresentative commercial system in Section II-D.
Memory Hierarchy
Core
Global Controller
Core Core...
System-Level Control
Local Controller Local MonitorProcessor
(a) Macroscopic view
Power Limit
Processor
Activity
Utilization
Estimated Power Consumption
+Schedule
DVFS or Power GatingController
Monitors
(b) Microscopic view
Figure 1: Hierarchical on-chip power management and control, adapted from [6].
Source Sink
Sensitive
Covert Channel
Overt Channel No Overt Channel
Figure 2: Threat model.
A. Threat Model
Fig. 2 depicts two malicious entities (the source and thesink, respectively), not permitted to communicate legitimatelythrough overt channels, performing a covert channel attack.Without loss of generality, these entities may correspond tohardware (such as cores or functional units), or software shar-ing hardware resources [7]. The transmitting end, the source,has access to sensitive information (such as a secret key),however, not to any overt channel for data communication.The receiving end, the sink, on the other hand, has accessto an overt channel (for potential data communication), butnot to sensitive information. By communicating with thesource over a covert channel, the sink can not only acquireaccess to sensitive information, but also can subsequentlysend this information to third parties over the overt channel.As a representative example, the source can be a contactsmanager, and the sink, a weather application, in a mobilesystem [7]. By construction, such covert communication ishidden from other hardware or software entities sharing thesame system.
B. Power Budget: A Critical Shared Resource
Modern power-limited computing platforms benefit fromsophisticated power management in two distinct ways:
(i) Prevention of serious power budget overshoots, whichcan physically damage the system;
(ii) Optimal distribution of the shared power budget amongactive tasks of execution, to satisfy possibly conflictingperformance requirements in a fair and efficient manner.Fig. 1a illustrates an overview of inevitable power man-
agement practices in modern systems. System-level controlat the hardware-software interface directs a global controller,which in turn orchestrates local controllers dispersed across
chip. Local controllers periodically evaluate monitored localactivity to adjust the operating point (i.e., the operatingvoltage or frequency). This type of distributed control isbecoming the norm, as local controllers can react to locallyconfined changes in the instantaneous power demand muchfaster. If a reallocation of the system wide power budgetbecomes necessary, system-level control alerts the globalcontroller, which in turn makes the local controllers adjustthe local operating voltage and frequencies accordingly.
Fig. 1b depicts a generic control loop, which is equallyapplicable to both global and local controllers in Fig. 1a. Beit local or global, the controller modulates the operatingpoint as a function of the power limit provided at itsinput. The goal always is delivering the maximum possibleperformance without violating the power limit, which reflects(instanteneous) power budget induced constraints. Variousoptions exist for operating point modulation, includingadjustments to the operating voltage and frequency (viaDVFS, Dynamic Voltage and Frequency Scaling), selectiveshut-down of idle resources (via power gating) or both.
To summarize, system-level control imposes an instan-taneous power budget, which the global controller has tomeet via orchestrating local controllers. Local controllers inturn enforce necessary adjustments to local operating points.In order to prevent power budget overshoots, controllersperiodically monitor the impact of these operating pointadjustments on the instantaneous power consumption.
C. POWERT Communication via Power Headroom Modula-tion (PHM)
Following the threat model from Fig. 2, let us assume that asource application, which has access to sensitive information,shares processor resources with a sink application (possiblyalong with other applications). Controllers usually modulatethe operating point (by, e.g., DVFS) periodically. This isbecause activity monitors time-sample the system at regularintervals. The period of operating point adjustments, tPM , is afunction of the period of activity monitors along with the timeit takes to perform the actual change in the operating point(which typically incurs the latency across the power/clockdistribution networks and of voltage regulators [6]). Theperiod tPM is usually in the order of several processor clockcycles. By construction, the source and the sink are very wellaware of this period. Moreover, typical power managementalgorithms are of predictive nature and extrapolate predictions
0 1 2 3 4 5time (second)
sour
ce's
sent
bit
01
(a) bits sent by source
0 1 2 3 4 5time (second)
sour
ce's
activ
ityin
activ
eac
tive
(b) source’s activity
0 1 2 3 4 5time (second)
sink
's G
FLO
PS0.
30.
310.
32
(c) sink’s performance (GFLOPS)
Figure 3: POWERT attack example.
from a history of monitored activity which are tPM apart intime from each other.
If no other application resides in the system, but the sourceand the sink, the source can easily modulate the share ofthe power budget available to the sink, to encode binaryinformation. This is possible simply because the chip-widepower budget is a shared resource. In the following, we willrefer to the sink’s share of the power budget as the powerheadroom. The source can easily control its own activity,and thereby, its own power consumption. Then, what is leftto the sink is the power budget minus the source’s powerconsumption, which forms the power headroom.
The procedure is straight-forward: To encode a logic 1,the source can run a power hungry virus. In this manner,the source can reach its own power budget limit, and taintits local activity history to trick the controllers. To preventthe instantaneous (system-wide) power consumption exceedthe available budget as a result of excessive consumptionat the source, the controllers will likely trigger emergencypower throttling at the sink. The power headroom becomespractically zero. Under regular activity at the source (includ-ing no activity), on the other hand, such throttling events atthe sink become much less likely. Therefore, by tracking thepower headroom, the sink can clearly distinguish extremelyhigh activity from other activity levels at the source. If, forexample, the source chooses to encode a logic 0 (1) by no(extreme) activity, the sink can decode a sizable positivepower headroom as a logic 0, and any power headroomchange (caused by source’s activity) as a logic 1.
Other applications sharing the same processor resourcescan challenge this type of covert communication betweenthe source and the sink. Inevitably, sharing induces noisein the covert channel, which can reduce the correlationbetween distinct activity patters at the source (used to encodeinformation by the source) and the power headroom (usedto decode information by the sink). At the same time,power consumption of sharing applications can also causea faster onset of throttling at the sink (as the source isattempting to send a logic 1, following the previous example).Therefore, if the sink chooses to quantify its available powerheadroom (i.e., to decode the source’s message bit by bit)by tracking throttling events, sharing can render faster covertcommunication.
D. Anatomy of a POWERT Attack
In the following, we will refer to the entire hierarchy ofthe controllers in charge of the power management, asdepicted in Fig. 1, as the Power Manager (PM). In a typicalmulti/many-core, the power budget cannot accommodate allcores operating at the peak performance point at the sametime. Hence, when multiple cores run compute-intensiveworkloads simultaneously, PM has to assign a lower operatingfrequency to them than the rated peak frequency, in order tomeet the power budget. We will next characterize a POWERTattack exploiting this inevitable behavior of PM.
Usually, when only one compute-intensive applicationis running on one of the cores, PM lets that core run atthe rated maximum frequency. The common outcome fortwo compute-intensive applications running at the sametime on two different cores is a lower frequency thanthe rated maximum, enforced on both cores. Thereby, agiven application’s activity pattern can directly affect theperformance of other applications running on the system.Applications like the source and the sink from Fig. 2 canrely on this phenomenon to communicate with each othercovertly, by affecting the operating frequency and/or voltage,hence the performance, of each other.
Let us next take a closer look into an example POWERTattack: The source and the sink are both compute-intensiveapplications. The source sends a “1” through the covertchannel by running a compute-intensive workload, anda “0”, by going into sleep. In order to capture source’sactivity pattern, the sink constantly runs a compute-intensiveworkload, as well. As a result, PM slows down the sink whenthe source is sending a “1” (i.e., running a compute-intensiveworkload), compared to when the source is sending a “0” (i.e.,going into sleep). The sink therefore can retrieve bits sent bythe source by just tracking its own performance. To measureits own performance, the sink can simply periodically checkits own progress. Neither the sink, nor the source does needany system level privilege to this end, which challengesdetecting (and potentially blocking) the attack.
Fig. 3 demonstrates a POWERT attack1, where the sourcesends 5 bits through the covert channel at a communicationrate of 1bit/s2, as shown in Fig. 3a. The source becomes
1As we will detail in Section IV, this attack is performed on an Intelplatform.
2We pick a relatively low communication frequency here to easeillustration and explore higher frequency ranges in Section V.
Global Controller
Local Controller
Entity#1
Entity#2
Entity#3
Clu
ster
#1
Local Controller
Entity#1
Entity#2
Entity#3
Clu
ster
#4
Local Controller
Entity#1
Entity#2
Entity#3
Clu
ster
#2
Local Controller
Entity#1
Entity#2
Entity#3
Clu
ster
#3
Figure 4: Two layer hierarchical power management.
active when sending a “1”, and goes to sleep otherwise.Fig. 3b captures source’s activity pattern corresponding tothe sent bits. Finally, Fig. 3c depicts sink’s performance, asmeasured by the sink itself. Y-axis represents GFLOPS (GigaFloating Point Operations per Second); the x-axis, time. Inthis particular example the compute-intensive sink applicationcomprises a floating point heavy loop, therefore, GFLOPSis a good proxy for checking the rate of forward-progressat the sink. We observe that the GFLOPS rate of the sinkdecreases by around 2.5% on average when the source isactive (sending “1”), compared to when the source is sleeping(sending “0”). The sink can therefore retrieve informationfrom this covert channel by simply checking its GFLOPSrate. We fully specify and characterize similar POWERTattacks on different representative commercial platforms inSection V.
III. COMMUNICATION RATE (OR FREQUENCY)
Power Manager, PM, constituting the entire hierarchy ofcontrollers from Fig. 1, orchestrates power consumption ofdifferent entities, where each entity (e.g., cores, caches orrouters) can operate at a different voltage and frequency. Letus assume that PM is in charge of N different entities, wherePi depicts the maximum possible power consumption forentity i, i ranging from 1 to N . Following this definitionand our observations from Section II-D, if the instantaneouspower consumed by each entity i reaches Pi simultaneously,a power budget overshoot becomes inevitable. In other words,
NX
i=1
Pi > power budget
applies. However, when the system is not highly utilized,most of the entities become idle and can be power-gated. Inthis case, PM can let the few active entities operate at theirpeak power consumption, Pi, as long as the overall powerconsumption stays below the power budget. As utilizationincreases, more entities have to become active at the sametime in order to meet performance goals. Even under lessthan 100% utilization, the overall power consumption withonly the active subset of the entities running at Pi mayviolate the power budget. To avoid budget violation, PM hasto force all active entities to a lower-power operating point.
As an example, let us assume a four-core processor whereeach core consumes 15 Watts at peak and the overall powerbudget is 40 Watts. If only two of the cores are active, PMcan let them run at their peak power consumption. If more
than two cores are active, this is not possible anymore. PMhas to distribute the available power budget evenly betweenthe cores to avoid any budget overshoot. In the case of fouractive cores, this translates into each core consuming at most10 Watts, which inevitably renders a relative slow-down (withrespect to the peak rated performance point correspondingto 15 Watts) in all four cores.
It is this type of inevitable PM decisions that give riseto POWERT channels via power headroom modulation(Section II-C). A malware (such as the source from Fig. 2)can send information covertly to another malware (such asthe sink from Fig. 2) by modulating the receiving side’s shareof the power budget, i.e., power headroom, and consequently,performance. The source can also activate a number ofentities, enough to violate the power budget, in order tosend a “1”. In this case, PM has to lower the share of thepower budget of all entities, including the sink at the receivingend. The sink in turn can retrieve the sent bit (a “1”), bysensing a slow-down in its own performance. Similarly, tosend a “0”, the source can put multiple entities to sleep tominimize the chance of violating the power budget (hence,of the sink being throttled). At the receiving end, the sinkdoes not sense a slow-down in this case, and translates thisto having received a “0”.
POWERT communication via power headroom modulationhas four phases:• The first phase spans the time window tUtil, over which
the source enforces changes in the activity, i.e., utilization,by modifying the number of active entities (or simply itsown activity level).
• The second phase covers the time window tMonitor , overwhich activity monitors sense the corresponding changein the power consumption of the affected entities.
• In the third phase, PM senses the change in the activityby reading monitors and makes a decision about throttling.Similar to the second phase, this phase usually takes placeperiodically, with a period of tPM (Section II-C).
• The last phase comprises two steps: The first step isthe time it takes for the affected entities to adjust theiroperating voltage and frequency to meet the enforcedpower budget, tAdjust. The second step is the time it takesfor the sink to sense the changes in its own performance,tSense.These four phases together span the duration of communi-
cation, starting from sending a single bit until sensing it atthe receiving end. Pipelined communication by overlapping
phases is also possible: For example, after PM reads themonitors and makes a new decision (phase three), thesource can start sending the next bit (phase one). This isbecause the sink has enough time to sense the changes(phase four) until the next PM decision (corresponding tothe next bit) takes place. Therefore, the first two phasestogether, the third phase, and the fourth phase can formthree distinct stages of a pipeline, to accelerate POWERTcommunication. In Section V, we will assume such pipelinedPOWERT communication in deriving an upper-bound forthe communication rate (or frequency), rMAX as
(max(tUtil + tMonitor, tPM , tAdjust + tSense))1
.
PM has to manage N different entities. What distinguishesan entity is PM’s capability to adjust its operating pointindependently. This implies observability and controllabilityon a per entity basis. Increasing degrees of system-wideobservability and controllability refine the granularity forindependent operating point adjustment. In other words,considering the same system, the span (which often translatesinto size) of each controllable/observable entity decreases.This, in turn, leads to a higher entity count N . As an example,N becomes 48 for POWER8 processors [8]. In this case, asingle centralized PM is very likely to result in sub-optimalpower management, as the latency of collecting data from allmonitors and the complexity of solving a larger optimizationproblem both increase drastically with increasing N . Thismandates a hierarchical PM as depicted in Fig. 1.
Without loss of generality, Fig. 4 provides a two-layerexample, which closely mimics the general structure fromFig. 1. A global controller manages the power budget of4 different clusters, each containing 3 entities, where therespective local controller of each cluster distributes theassigned power budget among the three entities.
The sink and the source can reside in the same cluster.Under intra-cluster covert communication, the source forcesthe local controller of the cluster to throttle the performanceof other entities within the cluster according to the localpower budget. The upper-bound of intra-cluster covert com-munication rate (or frequency), rMAX,intracluster, hencebecomes
where tPMlocalis the local controller’s decision period.
The sink and the source can be in separate clusters,as well. Under inter-cluster covert communication, thesource should increase the corresponding cluster’s powerconsumption (or even, the power consumption of multipleclusters) to the point where the global controller has tolimit the power budget of all active clusters, including theone containing the sink. Then, the local controller of sink’scluster adjusts the share of the power budget of each entity ofthe cluster accordingly, which inevitably leads to noticeableperformance degradation at sink. Clearly, inter-cluster covertcommunication is slower than intra-cluster. An upper-bound
L2 256KB 4-way 2MB 16-way 512KB 8-wayL3 8MB 16-way NA
for inter-cluster covert communication rate (or frequency),rMAX,intercluster hence becomes
max(tUtil + tMonitor + tComm, tPMglobal,
tAdjust + tSense + tComm))1
where tComm is the local to global controller communicationlatency; and tPMglobal
, global controller’s decision period.
IV. EVALUATION SETUP
A. Evaluated Systems
As a proof-of-concept, we characterize POWERT com-munication on two representative commercial platforms(Table I): a laptop machine featuring an Intel Xeon E3-1505M v5 and Ubuntu 14.04.5; and an ODROID-XU4 board,featuring a Samsung Exynos-5422 with a processor basedon ARM’s big.LITTLE architecture [9] and Ubuntu 16.04.3LTS. Both source and sink represent floating-point heavyapplications (Section IV-B). We compile the sink and thesource using GNU GCC version 4.8.4 on the laptop platform,and GNU GCC version 5.4.0 on the ODROID board, with alloptimizations disabled. To maximize energy efficiency, thedefault mode of the operating system’s power manager, ondemand, allocates (by consulting hardware PM) the maximumpossible frequency to the source (during active phases)and the sink. We do not change this default throughoutthe experiments to keep OS induced noise at bay, and tomake sure that indeed hardware PM is making the throttlingdecisions. Besides, to minimize the impact of backgroundnoise (in order to better characterize the channel capacity),we turn off unnecessary OS services.
B. Malware Codes
Fig. 5 depicts the sink code, which resides on the receivingend of the covert channel. The sink constitutes an infinitefloating-point heavy loop. The sink has full-fledged controlover the mix and count of the executed floating-point instruc-tions within Run_Float(). The sink samples the channelevery t_Sample seconds and calls sigalrm_handlerfunction. We set t_Sample to be 20 times smaller than the(known-to-both-sides) communication period t_Covert,which leads to 20 samples per bit 3. Periodic interrupt timeroverflows invoke sigalrm_handler function, with aperiod of t_Sample. Inside sigalrm_handler the sink
3We set this parameter empirically to maximize decoding accuracy.
1 / / g e t s c a l l e d when t i m e r o v e r f l o w s .2 s i g a l r m h a n d l e r ( ) {3
4 P r i n t t o f i l e ( Loop Counter ) ;5
6 / / s e t s i n t e r r u p t t i m e r .7 s e t i t i m e r ( t Sample ) ;8 }9
10 Main ( ) {11
12 / / s e t s i n t e r r u p t t i m e r .13 s e t i t i m e r ( t Sample ) ;14
15 / / i n f i n i t e w h i l e loop16 whi le ( 1 ) {17 Loop Counter ++;18
19 / / r u n s m u l t i p l e fp i n s t r u c t i o n s .20 Run Floa t ( ) ;21 }22 }
Figure 5: Sink application’s code.
1 / / g e t s c a l l e d when t i m e r o v e r f l o w s .2 s i g a l r m h a n d l e r ( ) {3
4 Da ta Index ++;5
6 / / a c t i v a t e s t h e Power Virus , t o send a 17 i f ( Data [ Da ta Index ]=1 && A c t i v e =0) {8 sys t em ( k i l l −CONT P V i r u s P I D ) ;9 A c t i v e = 1 ;
10 }11
12 / / s t o p s t h e Power Virus , t o send a 013 i f ( Data [ Da ta Index ]=0 && A c t i v e =1) {14 sys t em ( k i l l −TSTP P V i r u s P I D ) ;15 A c t i v e = 0 ;16 }17
18 / / s e t s i n t e r r u p t t i m e r .19 s e t i t i m e r ( t C o v e r t ) ;20 }21
22 Main ( ) {23
24 / / s e t s i n t e r r u p t t i m e r .25 s e t i t i m e r ( t C o v e r t ) ;26
27 / / i n f i n i t e w h i l e loop28 whi le ( 1 ) ;29 }
Figure 6: Source application’s code.
dumps the loop counter variable, Loop_Counter, whichserves as a proxy for the rate of forward progress, to an outputfile. The sink extracts its GFLOPS rate periodically fromLoop_Counter. A third-party application after receivingthis file can also retrieve the data communicated over thePOWERT channel.
On the other end of the POWERT channel, as explainedin Section II-D, the source runs a highly power hungryapplication, which we will refer to as the power virus.On the Intel platform we use the latest version (v2810)of MPrime [10] to this end, specifically, the Torture
Test mode to maximize the power consumption in activephases. Similarly, cpuburn-a7 [11] constitutes the powervirus to maximize power consumption on the ARM platform.Fig. 6 depicts the code of the source application. Similarto the sink, the source sets an interrupt timer for accu-rate timing of communication. Every t_Covert seconds,which represents the communication period (known to bothsides), sigalrm_handler function gets invoked. Insidesigalrm_handler, the source changes the power virus’sstatus from running to idle or vice versa, according to thevalue of the next bit to be sent.
C. Communication ProtocolThe source sends data in 100-bit long packets, at a rateknown to the sink – every t_Covert seconds followingSection IV-B. At the same time, each packet starts witha 5-bit preamble which is known to both sides, and tothe third party receiving data from the sink, as well. Thepreamble specifically is used by the third party application tosynchronize with the sink and to remove noise from retrievedinformation (Section IV-D). To synchronize with the sink atthe start of communication, the source, on the other hand,sends a long bit-stream of interleaved ones and zeros followedby a short bit-stream of zeros only (both of known lengths).The sink periodically probes the channel with a shorter periodthan the duration of the ones and zeros in this header. Duringprobing, when the sink receives these ones and zeros, itremains active and waits for the short bit-stream of zeros toarrive. At the end of the short bit stream of zeros, the sinkstarts to dump Loop_Counter information to the outputfile (according to Fig. 5), as the following bits sent by thesource are the actual data packets.
D. Communication with Third PartyAs explained in Section II-A, the sink has access to thenetwork (an overt channel) to send the retrieved informationto a third party application. The third party is responsible fordecoding this information (which entails 100-bit long datapackets) from a signal similar to the one shown in Fig. 3c.As explained in Section IV-B, the sink records 20 loop countsamples per each bit sent by the source. The third partyapplication therefore can use the median of every 20 samplesto represent each bit, in order to remove noise from the data,and subsequently compare each median value to a threshold,BitTHR, to find the actual bit value.
The third party application can extract retrieved informa-tion from the sink bit by bit, even if the sampling rate deviatesfrom the expected 20 samples per bit. To this end, the thirdparty application can simply try a few potential sample ratesto decode the known preamble (the first 5 bits of every100 bit data package) and record the corresponding error, tosettle at the sample rate which results in the minimum error.A similar method applies for extracting the BitTHR. Thethird party in turn can use this sample rate and BitTHR todecode the actual information. We implement the third partyapplication in R version 3.4.0.
00
1 1
1 - p
1 - q
p
q
Figure 7: Binary asymmetric channel model.
E. Design Space Exploration
We explore different POWERT attack scenarios to findthe maximum achievable covert communication rate onthe evaluated systems, including inter- and intra-clustercommunication. To minimize simulation noise, we pin thesource and the sink applications to specific cores during theentire execution. We also experiment with different numberof source and sink applications, and characterize the channelcapacity for each case.
F. Channel Capacity by Shannon Theorem
We next look into how to derive an upper-bound for channelcapacity from measurements on a given system. Following themethodology from [12], we quantify channel capacity as themaximum possible communication bit rate (in bits per second,bps) under noise according to Shannon’s theorem [13]. To thisend, we model the POWERT channel as a binary asymmetricchannel, as shown in Fig. 7. X = {0, 1} represents the inputalphabet; Y = {0, 1}, the output alphabet. We send one bitat a time through the channel, which assumes a value 2 Xat the channel input; and 2 Y at channel output. The exitand entry values are the same with probability 1 − p and1 − q, respectively, for input values 0 and 1. Otherwise, abit flip is the case. From actual measurements we can findestimates for p, the probability of sending a 0 and receivinga 1; and q, the probability of sending a 1 and receiving a 0.POWERT channels are asymmetric by construction as p andq are not necessarily always equal.
The maximum possible channel capacity per channel useunder noise, C, evolves as a function of p and q, over allpossible probability distributions over the input alphabet [13].Per channel use in this case corresponds to each bit transferattempt through the channel. In other words, C is thetheoretical maximum possible number of bits that we cansend per each bit transfer attempt through a noisy channel.Hence, the theoretical maximum value of C itself is 1. We cantranslate C into the overall channel capacity (over multiplechannel uses, i.e., bit transfer attempts) simply by multiplyingby f , the frequency (or rate) of communication, which reflectsthe frequency of bit transfer attempts by definition. That said,p and q evolve as a function of f (as impact of noise changeswith f ), hence, C depends on f , as well.
For the derivation, we first estimate p and q from mea-surements over different values of f . We then calculate Cby plugging in p and q into Shannon’s model, and finallyfind C ⇥ f for each f considered.
50 100 150 200
020
4060
8010
0
communication frequency (Hz)
chan
nel c
apac
ity (b
ps)
00.
20.
40.
60.
81
C: #
bits
per
cha
nnel
usecapacity
bits per use
Figure 8: Single source to single sink communication.
V. EVALUATION
We will next examine POWERT channel characteristics onthe two representative commercial platforms.
A. Case Study I
In this section, we evaluate POWERT communication on theIntel Xeon system (Section IV-A).Analytical Upper Bound: We can directly apply the analyti-cal model from Section III to this 4-core system to derive anupper bound for communication frequency, as follows (wewill revisit this upper-bound using actual measurements laterin this section): First we extract tUtil of the evaluated system.We find tUtil by altering the activity status of MPrime fromrunning to idle and the other way around continuously for afixed time window, ttest. Then, we count how many statuschanges happens during the ttest window, NUtil. We extracttUtil from the ratio ttest/NUtil, which is around 709.2µsfor the evaluated system. We estimate tMonitor to be around250µs [14]; and tPM , around 1ms [15]. This system featureson-chip voltage regulation with a tAdjust of around 100-200ns [16]. Finally, tSense depends on the sink application’stimer precision, which for the evaluated system is 1µs. Basedon these parameters, the upper-bound for channel capacity,for C=1 and using C ⇥ fMAX becomes
(max(709.2µs+ 250µs, 1ms, 200ns+ 1µs))1
= 1Kbps.
This upper bound applies irrespective of the numberof active source and sink instances, as all copies operatesimultaneously.Measurement-based Characterization for Single Source,
Single Sink: We next characterize covert communicationthrough POWERT channels using the methodology fromSection IV-E. We start with single source to single sinkcommunication, each running on a separate core.
Fig. 8 shows the channel capacity on the left y-axis fordifferent communication frequencies (x-axis). The right y-axis, on the other hand, represents C (Section IV-F): theactual number of bits we can send per each bit transferattempt through the channel, which has a continuous rangeof [0,1] bits. For instance, a C of 0.5 indicates that we cansend only 0.5 bit of information per each bit transfer attempt.Therefore, channel capacity (left y-axis) simply correspondsto C (right y-axis) multiplied by per bit communicationfrequency (x-axis), i.e., the frequency of bit transfer attempts.
0 50 100 150 200 250
020
4060
8010
0
communication frequency (Hz)
chan
nel c
apac
ity (b
ps)
00.
20.
40.
60.
81
C: #
bits
per
cha
nnel
usecapacity
bits per use
(a) First Sink
0 50 100 150 200 250
020
4060
8010
012
0
communication frequency (Hz)
chan
nel c
apac
ity (b
ps)
00.
20.
40.
60.
81
C: #
bits
per
cha
nnel
use
capacitybits per use
(b) Second Sink
Figure 9: Single source to two sink communication.
As Fig. 8 indicates, for lower than approx. 100Hz fre-quencies, we see a relatively constant C of around 0.65on average. However, for larger frequencies than 100Hz, Cstarts to drop since many of the OS background tasks, themain source of the background noise for POWERT channels,have similar activity rates. The peak channel capacity in thiscase is around 91.3 bps (which, as expected, is less than thechannel capacity cap of 1Kbps we derived previously) at acommunication frequency of around 124.1Hz. In this case,sink’s GFLOPS rate differs by 2.56% depending on the bitvalue sent by the source; i.e., logic 0 (idle source) vs. logic1 (active source).
Measurement-based Characterization for Single Source,
Multiple Sink: A higher number of active cores decreases theavailable power headroom, and consequently, increases thelikelihood of throttling. To quantify this effect, we increasethe number of active cores by instantiating multiple copiesof the sink. This, by construction, cannot disturb informationsent by the source through the POWERT channel, in theform of activity change. Each of the sink instances staysconstantly active, running the same floating point heavy loop.Therefore, after initialization, GFLOPS rate of each sinkinstance can only change, primarily, as a function of source’sactivity, and not of other sinks’.
Fig. 9 characterizes the channel for a single source com-municating with two sinks. Each of the sinks and the sourcerun on three separate cores. Fig.s 9a and 9b characterizePOWERT communication for the two sinks separately. Weobserve that C of both sink instances remains above 0.8for frequencies lower than 130Hz, and starts to fall forfrequencies greater than 150Hz. One sink instance achieves apeak channel capacity of approx. 121.6bps; the other one, of
20 40 60 80 100
05
1015
20
communication frequency (Hz)
chan
nel c
apac
ity (b
ps)
00.
250.
50.
751
C: #
bits
per
cha
nnel
use
capacitybits per use
Figure 10: Two source to single sink communication.
approx. 105.8bps; both at a similar communication frequencyof around 133.0Hz. The difference between GFLOPS levelsof each sink instance becomes approx. 2.67%, which is 4.6%larger than the single sink outcome. As a larger gap betweenGFLOPS levels of each sink (leading to easier decoding bythe third party application) makes communication more robustto noise, we observe the peak channel capacity at a highercommunication frequency in this case. At the same time, Cat low frequencies is higher on average when compared tothe single sink case.
When we increase the number of sink instances to 3,malware code (including the source) occupies all 4 cores ofthe evaluated system. In this case, we observe a significantdrop in C, irrespective of the communication frequency.This is because, full-load pushes the system to its limits– be it power or thermal budget, which triggers throttling.As all cores become active at the same time, PM has toenforce a strict power budget across the board. In the end,the source can only modulate the power headroom of thesink instances by controlling its own activity, hence powerconsumption. Under full-load, the source does not havemuch room left to control its own consumption to start with.Generally, even if the malware code does not occupy theentire system, full-load can be the case due to utilization byother applications running on the system. Under full-load,covert communication through POWERT channels becomes,by construction, infeasible.Measurement-based Characterization for Multiple Source,
Single Sink: We conclude the first case study with channelcharacterization when multiple instances of the source sendthe exact same data to a single sink. Having multipleinstances of power virus getting activated and deactivatedsimultaneously increases the gap between the power demandwhen the source instances are sending a logic 0 vs. whenthe source instances are sending a logic 1. This can resultin a more pronounced difference between the two GFLOPSlevels (corresponding to logic 0 and logic 1 respectively) atthe sink.
Fig. 10 characterizes the channel for two source instancescommunicating with a single sink, each running on a separatecore. The peak channel capacity reaches approx. 17.2bpsat a communication frequency of around 24.9Hz, which issignificantly lower compared to the single source scenario.Although the difference between GFLOPS levels at the sink isabout 15.2% on average, channel capacity remains relatively
0 20 40 60 80 100
02
46
810
communication frequency (Hz)
chan
nel c
apac
ity (b
ps)
00.
20.
40.
60.
81
C: #
bits
per
cha
nnel
use
capacitybits per use
(a) single source
0 20 40 60 80 100
05
1015
20
communication frequency (Hz)
chan
nel c
apac
ity (b
ps)
00.
20.
40.
60.
81
C: #
bits
per
cha
nnel
use
capacitybits per use
(b) two sources
0 20 40 60 80 100
010
2030
4050
communication frequency (Hz)
chan
nel c
apac
ity (b
ps)
00.
20.
40.
60.
81
C: #
bits
per
cha
nnel
use
capacitybits per use
(c) three sources
Figure 11: Channel characterization within little cluster: single (a), two (b), three (c) source to sink communication.
low, since – similar to under full-load – we observe moreinformation loss in the channel due to throttling. As theMPrime (the power virus at source) is extremely powerhungry, even having two instances of MPrime running on thesystem (along with an instance of the floating point heavysink application) causes throttling. Therefore, increasingnumber of source instances does not improve the POWERTcommunication rate on this platform.
B. Case Study IIIn this section, we evaluate POWERT communication on theARM system (Section IV-A).Analytical Upper Bound: For this 8-core heterogeneousplatform, as well, we can apply the analytical model fromSection III to estimate an upper bound for communicationrate, and hence, channel capacity: First we extract tUtil
of the evaluated system, mimicking the same method asthe first case study (Section V-A). We keep activating anddeactivating cpuburn-a7 (the power virus at source in thiscase) continuously for a fixed time window, ttest. Then, wecount the number of status changes during the ttest window,NUtil, and calculate tUtil using ttest/NUtil. For this platform,tUtil is around 2.1ms. We estimate tMonitor to be around250µs [14]; and tSense, the platform’s timer precision, tobe around 1µs. Using conservative estimates for the restof the parameters which were not explicitly reported in theliterature, C ⇥ fMAX , for C = 1, becomes 0.42Kbps.
We will next look into POWERT channel characteristicsusing the methodology from Section IV-E.
Measurement-based Characterization for Little-to-Little
POWERT Communication: We first characterize POWERTchannels within the little cluster only. Fig. 11a provideschannel characteristics for single source to single sinkcommunication, where the source and the sink run on distinctlittle cores. For lower communication rates (x-axis), C, theactual number of bits sent per channel use (right y-axis), isclose to 1, indicating almost perfect communication. However,as the communication rate increases and matches backgroundnoise, C drops with a sharp slope. We observe a peak channelcapacity of 10.5bps for a communication rate of around32.0Hz, in this case.
For the single source case, the gap between the GFLOPSlevels of the sink (corresponding to logic 0 vs. logic 1)
is around 0.13%. To increase this gap and consequently,increase the POWERT communication channel capacity, wecan increase the number of sources. Fig.s 11b and 11cdemonstrate channel capacity for two and three sourceinstances running on the little cores, respectively. We observethat by increasing the number of source instances, POWERTchannel capacity increases notably.
For two sources (and a single sink), we observe a peakchannel capacity of 22.3bps at a communication frequencyof around 32.0Hz (Fig. 11b). For communication rates below20Hz, the channel becomes almost noise-free (i.e., C ⇡ 1).For three sources (and a single sink), on the other hand,communication remains almost noise-free for communicationrates below 25Hz (Fig. 11c). In this case, the peak channelcapacity is around 47.5bps. The gap between GFLOPS levelsof the sink (when all sources are active vs. inactive) for thetwo and three source cases are 0.25% and 0.49% respectively.Unlike the first case study, we do not see throttling events,as POWERT communication in this scenario affects onlythe 4 little cores of the processor (out of 8). As resultthe communication rate is significantly higher for a highernumber of source instances.
We also explore POWERT communication for multiplesinks and a single source. In this case, the overall powerconsumption is higher, but still, primarily the single source’sactivity modulates the power headroom of the sinks. Weobserve that for two and three sinks the gap between theGLOPS levels stays in the same range, 0.12% and 0.11%,respectively. Peak channel capacity assumes a lower valuethan the single sink case (10.5bps) for both – 7.2bps and8.4bps, respectively – which indicates that multiple sinks donot improve channel capacity.
Measurement-Based Characterization for Big-to-Big POW-
ERT Communication: We next analyze POWERT commu-nication when both the sink and the source only use big cores.Fig. 12 provides the characteristics for a single source anda single sink. We observe that C remains close to zero foralmost any communication rate. In other words, POWERTcommunication is not feasible. However, as we increasethe number of sources, we observe that C increases. Weobserve the peak channel capacity of around 5.8bps at acommunication rate of near 48.5Hz, for three sources runningon big cores at the same time. Although the communication
0 20 40 60 80 100
0.0
0.2
0.4
0.6
0.8
communication frequency (Hz)
C: #
bits
per
cha
nnel
use
3 sources2 sources1 source
Figure 12: Channel characterization within big cluster.
rate is still very low, this analysis provides proof of existenceof POWERT channels on big cores. We should also note thatthe power virus at the source, cpuburn-a7, is optimized forCortex-A7 (little) cores, therefore, we expect a much higherchannel capacity in the big cluster for a properly tailoredpower virus. Otherwise, as it was the case for the little cluster,we do not observe practical benefits by increasing the numberof sink applications.Measurement-Based Characterization for Big-to-Little &
Little-to-Big POWERT Communication: We next look intointer-cluster POWERT communication, where the sink andthe source instances run on cores in different types of clusters(i.e., big or little). First, we run source(s) on big cores, anda sink on a little core. As Fig. 13a depicts, C increaseswhen we have multiple source instances running on the bigcores at the same time. We observe a peak channel capacityof 5.5bps at a communication frequency of around 40.5Hzwhen four source instances are running on all four big cores.Fig. 13b provides the symmetric analysis for having differentnumber of sources running on little cores, and a sink on abig core. Similar to the previous case, we observe that morenumber of sources increases C. This renders a peak channelcapacity of 8.7bps for four sources at a communication rate
0 20 40 60 80 100
010
2030
40
communication frequency (Hz)
chan
nel c
apac
ity (b
ps)
00.
51
1.5
2C
: # b
its p
er c
hann
el u
secapacitybits per use
Figure 14: 2-bit encoding on little cores.
of around 61.0Hz. While the channel capacity for this typeof inter-cluster POWERT communication is not as large asthe (intra-cluster) little-to-little communication, this analysisindicates that inter-cluster channels exist, and hence need tobe considered when designing effective counter-measures.Multi-level Encoding on Little Cores: We next characterizePOWERT communication for a more effective data encoding:Using four (instead of two) distinct levels of source’s activity,enabling us to send two bits of information per channel use,instead of one (as we covered so far). While we do nothave full-fledged control over the activity level of the powerviruses (MPrime and cpuburn-a7, which form the workloadof the source), we can enforce different levels of activity bychanging the number of active sources. For example, to senda binary value of “10”, we can activate two sources. In otherwords, we can encode a two-bit value into the number ofactive sources. This way, we can send more than one bit ineach channel use, which can improve channel capacity.
As a proof-of-concept, we apply this multi-level encodingscheme to little cores, where we have observed the bestchannel profile when using multiple sources for POWERTcommunication (Fig. 11). As depicted in Fig. 14, weobserve a peak channel capacity (left y-axis) of 34.5bpsat a communication rate (x-axis) of around 43.0Hz in thiscase. While at low frequencies we observe around 1.5bits sentper channel use (right y-axis), C quickly goes down to nearzero (i.e., no information sent) at frequencies above 70Hz.This is not unexpected as we have observed a similar trendfor only one or two sources running in Fig.s 11a and 11b,respectively. Hence, we cannot reach a channel capacity ashigh as the three sources case alone, as depicted in Fig. 11c.
VI. COUNTERMEASURES
A. Avoiding Power Budget Sharing
One way to avoid POWERT attacks is to assign a separate,fixed and safe power budget to each entity and thereby toexclude any power budget sharing. In this case, independentlocal power management is necessary to keep power con-sumption of each entity under its respective, constant powerbudget. Let us assume that an individual power budget ofEi applies per entity. By construction, Ei is lower thanthe maximum possible consumption of each entity, Pi, asP
iEi power budget must be the case. Consequently,
even if an entity is the only active entity in the system, it
will not be able to operate at a higher performance pointwhich would consume more power than Ei. This can leadto significant performance loss and degrade overall powerefficiency.
To quantify the overhead of this countermeasure, i.e., theperformance loss caused by fixing (and thereby practicallydecreasing) per-entity power budget, we run each powervirus on all cores of its respective platform and compare theperformance to when only one core is running the power virus.When all cores are active, each core inevitably consumes lesspower at the peak (corresponding to Ei), to meet the overallsystem-wide power budget. On the other hand, when onlyone core is active, the active core can run at maximumperformance, and consume by itself the entire effectivebudget for all cores being active. We use the performancedifference under both scenarios as a quantitative estimate forthe overhead of this countermeasure. Overall, we observe aperformance degradation of over 30.1% for the Intel; and7.8%, for the ARM framework. The degradation for the firstplatform is more meaningful, as the corresponding powervirus is more effective in pushing the system to its limits. Weconclude by noting that this countermeasure incurs a highperformance penalty which may not always be acceptable.
B. Operating Frequency Randomization
As reported in Section V-A, the difference between the sinks’GFLOPS levels for the first case study, when communicatingat the peak rate, is around 2.7%. The same gap betweenGFLOPS levels is around 0.5%, for the fastest covertcommunication on the second case study, as Section V-Breveals. Hence, on both systems we observe a slim gap thatneeds to be carefully sensed to be able to accurately decodethe leaked information.
Based on this observation, one way that PM can limitthe bandwidth for POWERT communication is by imposingrandom noise on the GFLOPS signal, simply by addingrandom noise to the operating frequency of each core. Inother words, when PM finds the optimal operating frequencyfor a core based on power demand, workload behavior,and other parameters, it can add random noise (e.g., in therange of [-2,+2]%) to it before actually tuning the respectivecore’s frequency. While this countermeasure would inevitablydegrade power-efficiency and performance, it can significantlycomplicate the decoding process, potentially to a point wherecovert communication becomes impossible.
Fig. 15 depicts how adding random uniform noise toGFLOPS affects C, on both platforms in the attack scenariowhere we observe the highest channel capacity. To limitC to less than 0.1 bits per channel use, we have to add arandom uniform noise with the magnitude of [-25,+25]% ofthe GFLOPS signal on the Intel platform. On the other hand,this magnitude is around [-5,+5]% on the ARM platform,since, as reported in Section V-B, the gap between GFLOPSlevels is smaller on the ARM platform.
0 50 100 150 200 250
0.0
0.2
0.4
0.6
0.8
1.0
communication frequency (Hz)
C: #
bits
per
cha
nnel
use Original
±5% noise±10% noise±25% noise
(a) Intel
0 20 40 60 80 100
0.0
0.2
0.4
0.6
0.8
1.0
communication frequency (Hz)
C: #
bits
per
cha
nnel
use Original
±1% noise±2% noise±5% noise
(b) ARM
Figure 15: Impact of adding noise to GFLOPS signal on C.
C. Slowing Down Communication
Section III provides an analytical model to estimate an upper-bound for POWERT communication rate, as a function ofdifferent system parameters. We can utilize this model tofind ways to lower the upper-bound, to a desirable safelevel. For instance, one easy way to slow-down POWERTcommunication is by increasing the decision-making periodof the power manager, tPM . While this, as well, degradesoverall power efficiency, it can effectively limit POWERTcommunication rate, and thereby, the channel capacity. Wecan manipulate other parameters, as well, all at the cost ofperturbing power management and consequently, degradingoverall power efficiency.
VII. RELATED WORK
Covert channels: Resource sharing, be it in hardwareor software, is inevitable for power or area efficiency,however, almost exclusively brings up security concerns.Since one of the first mentions of covert channel attacksin 1973 [17], a variety of covert channels have beenrevealed [18], [5], [19], [20], [4], [3], [21], [22], [23]. Thevast majority of these works covers cache-based covertchannels [3], [18]. Not only higher level caches, but alsothe main memory and functional units shared by differentthreads under simultaneous multithreading (SMT) can besubject to information leakage through covert channels [17].Recent work has also shown how thermal sensors (as akey component of on-chip thermal management) can enablesimilar covert communication [5]. Thermal effects can alsolead to clock skew changes, which attackers can exploit forcovert communication [19]. Covert channels which needspecial privilege, for instance to access hardware monitorslike thermal sensors, can be blocked simply by restrictingaccess to those resources. This does not apply to POWERT
attacks, since no special privilege is needed to perform theseattacks. Other hardware resources such as the memorybus [4], random number generator [20], magnetic fieldsensors [22], USB charging cable [23], and general purposegraphics processing units [21] are vulnerable, as well. Thecondition that the sender and receiver need to reside at thesame place is necessary for covert communication in earlierstudies, while recent studies demonstrate that this requirementcan be relaxed if the timing of sender activities can bemeasured remotely [17]. Similar to many of these covertchannels including cache-based covert channels, blockingPOWERT attacks inevitably degrades system performanceand power efficiency (as explained in Section VI). It becomeseven more challenging as power management is getting morecrucial in preserving power efficiency of even more powerlimited platforms of the future.
On the modeling side, Hunger et al. proposed a simplemathematical abstraction to capture common characteristicsof all microarchitectural channels [12]. While the modelis applicable to many contention-based microarchitecturalchannels, it does not directly apply to POWERT channels.This is because the model assumes that probing the channelperturbs the data. However, in POWERT channels, multiplereceivers can listen to the covert channel, without affectingthe transmitted data itself, as shown in Section V.
Power management vulnerabilities: Power managementvulnerabilities can result in a variety of security issues.For example, JayashankaraShridevi et al. analyze two typesof attacks enabled by hardware Trojans embedded in thepower management unit (PMU) of a mobile system onchip [24]. The first attack leads to higher operating voltagesthan necessary. The second one delays the activation ofpower-gated blocks. In both cases, power efficiency degrades.Tang et al. demonstrate another type of vulnerability due toDVFS [25], where an attacker can enforce lower (higher) thansafe voltages (frequencies) to induce timing errors. Physicalaccess is not necessary, as software controls voltage regulatorsand phase-locked loops (PLLs). The authors show how toinfer 128-bit AES keys via overclocking the processor. Zhanget al. recently proposed a mitigation technique for such power-management based fault injection attacks, by dynamically“blacklisting” unsafe operating points [26]. The recentlyrevealed DVFS Channel [27] exploits the fact that a core’sfrequency can be dynamically controlled using DVFS (andnot that cores share the same power budget). This type ofattack is easier to block by limiting the access to the filescontaining current frequency information, while POWERTattacks do not need any privilege, making them harder toblock. Finally, due to low rate of updates to frequencyinformation files, DVFS Channel demonstrates much lowerbit rates, compared to POWERT. PMU Trojan [28] is similarto DVFS Channel, but attacks happen in hardware. Contraryto POWERT communication, PMU Trojan, as well, doesnot exploit the fact that cores share the same power budget.Similar to DVFS Channel, this attack also can be blocked
by limiting access to operating frequency information ofthe cores, on the receiving side. Besides, POWERT attacksdo not rely on hardware Trojan, and can be performed onregular PM hardware. The available instantaneous powerbudget itself represents a shared resource, therefore, powermanagement practically entails finding the optimal allocationof the power budget among active tasks of execution. Tothe best of our knowledge, our study is the first to covercovert channel communication enabled by power headroommodulation, without the need for any sort of privileged accessto shared hardware or software resources.
VIII. CONCLUSION
As power-limited computing platforms of today are gettingmore and more observable and controllable to facilitatesophisticated power management operating at very finegranularity in both space and time, a novel class of covertcommunication by power headroom modulation becomespossible. In this study, we characterize this novel class ofcovert channels triggered by power management vulnerabil-ities. Only the characterization of these vulnerabilities canenforce security as a power management design parameter asimportant as (or more important than) performance, power,or accuracy.
ACKNOWLEDGMENT
This work was supported in part by the National ScienceFoundation CAREER Award under Grant CCF-1350451,in part by the National Science Foundation/SemiconductorResearch Corporation SaTC:STARSS Award under GrantCNS-1715286, and in part by a Cisco Research Award. Theauthors would like to thank numerous anonymous review-ers, and particularly Meisam Razaviyayn for constructivefeedback.
REFERENCES
[1] M. Horowitz, “Computing’s Energy Problem (and what wecan do about it),” Keynote at International Conference onSolid State Circuits, 2014.
[2] H. David, E. Gorbatov, U. R. Hanebutte, R. Khanna, andC. Le, “Rapl: memory power estimation and capping,” in Low-Power Electronics and Design (ISLPED), 2010 ACM/IEEEInternational Symposium on, pp. 189–194, IEEE, 2010.
[3] C. Percival, “Cache missing for fun and profit,” 2005.
[4] Z. Wu, Z. Xu, and H. Wang, “Whispers in the hyper-space:High-speed covert channel attacks in the cloud.,” in USENIXSecurity symposium, pp. 159–173, 2012.
[5] R. J. Masti, D. Rai, A. Ranganathan, C. Muller, L. Thiele, andS. Capkun, “Thermal covert channels on multi-core platforms.,”in USENIX Security Symposium, pp. 865–880, 2015.
[6] P. Bose, A. Buyuktosunoglu, J. A. Darringer, M. S. Gupta,M. B. Healy, H. Jacobson, I. Nair, J. A. Rivers, J. Shin,A. Vega, et al., “Power management of multi-core chips:Challenges and pitfalls,” in Proceedings of the Conference onDesign, Automation and Test in Europe, pp. 977–982, EDAConsortium, 2012.
[7] H. Ritzdorf, “Analyzing Covert Channels on Mobile Devices,”M.S. Thesis, ETH, 2012.
[8] Z. Toprak-Deniz, M. Sperling, J. Bulzacchelli, G. Still,R. Kruse, S. Kim, D. Boerstler, T. Gloekler, R. Robertazzi,K. Stawiasz, et al., “5.2 distributed system of digitallycontrolled microregulators enabling per-core dvfs for thepower8 tm microprocessor,” in Solid-State Circuits ConferenceDigest of Technical Papers (ISSCC), 2014 IEEE International,pp. 98–99, IEEE, 2014.
[9] P. Greenhalgh, “Big. little processing with arm cortex-a15 &cortex-a7,” ARM White paper, vol. 17, 2011.
[11] “cpuburn-a7 for arm cortex-a7.” https://github.com/ssvb/cpuburn-arm/blob/master/cpuburn-a7.S. Accessed: 2010-09-30.
[12] C. Hunger, M. Kazdagli, A. Rawat, A. Dimakis, S. Vishwanath,and M. Tiwari, “Understanding contention-based channels andusing them for defense,” in High Performance Computer Ar-chitecture (HPCA), 2015 IEEE 21st International Symposiumon, pp. 639–650, IEEE, 2015.
[13] T. M. Cover and J. A. Thomas, Elements of information theory.John Wiley & Sons, 2012.
[14] “POWER8 On Chip Controlle: Measuring and ManagingPower Consumption: https://hpm.ornl.gov/Archives/HPM15/documents/HPM2015 Rosedahl.pdf.”
[15] J. Doweck, W.-F. Kao, A. K.-y. Lu, J. Mandelblat, A. Ra-hatekar, L. Rappoport, E. Rotem, A. Yasin, and A. Yoaz,“Inside 6th-generation intel core: new microarchitecture code-named skylake,” IEEE Micro, no. 2, pp. 52–62, 2017.
[16] W. Kim, M. S. Gupta, G.-Y. Wei, and D. Brooks, “Systemlevel analysis of fast, per-core dvfs using on-chip switchingregulators,” pp. 123–134, February 2008.
[17] J. Szefer, “Survey of microarchitectural side and covertchannels, attacks, and defenses,” IACR Cryptology ePrintArchive, pp. 1–28, 2016.
[18] Z. Wang and R. B. Lee, “Covert and side channels due toprocessor architecture,” in Computer Security ApplicationsConference, 2006. ACSAC’06. 22nd Annual, pp. 473–482,IEEE, 2006.
[21] H. Naghibijouybari, K. N. Khasawneh, and N. Abu-Ghazaleh,“Constructing and characterizing covert channels on gpgpus,”in Proceedings of the 50th Annual IEEE/ACM InternationalSymposium on Microarchitecture, pp. 354–366, 2017.
[19] S. J. Murdoch, “Hot or not: Revealing hidden services bytheir clock skew,” in Proceedings of the 13th ACM conferenceon Computer and communications security, pp. 27–36, ACM,2006.
[20] D. Evtyushkin and D. Ponomarev, “Covert channels throughrandom number generator: Mechanisms, capacity estimationand mitigations,” in Proceedings of the 2016 ACM SIGSACConference on Computer and Communications Security,pp. 843–857, ACM, 2016.
[22] N. Matyunin, J. Szefer, S. Biedermann, and S. Katzenbeisser,“Covert channels using mobile device’s magnetic field sensors,”in Proceedings of the 21st Asia and South Pacific DesignAutomation Conference (ASP-DAC), pp. 525–532, 2016.
[23] R. Spolaor, L. Abudahi, V. Moonsamy, M. Conti, andR. Poovendran, “No free charge theorem: A covert channelvia usb charging cable on mobile devices,” in AppliedCryptography and Network Security - ACNS 2017, pp. 83–102,2017.
[24] R. JayashankaraShridevi, C. Rajamanikkam, K. Chakraborty,and S. Roy, “Catching the flu: emerging threats from athird party power management unit,” in Design AutomationConference (DAC), 2016 53nd ACM/EDAC/IEEE, pp. 1–6,IEEE, 2016.
[25] A. Tang, S. Sethumadhavan, and S. Stolfo, “Clkscrew: expos-ing the perils of security-oblivious energy management,” inUSENIX Security Symposium, 2017.
[26] S. Zhang, A. Tang, Z. Jiang, S. Sethumadhavan, andM. Seok, “Blacklist core: machine-learning based dynamicoperating-performance-point blacklisting for mitigating power-management security attacks,” in Proceedings of the 23rdIEEE/ACM International Symposium on Low Power Electron-ics and Design (ISLPED), pp. 1–6, 2018.
[27] M. Alagappan, J. Rajendran, M. Doroslovacki, andG. Venkataramani, “Dfs covert channels on multi-core plat-forms,” in Very Large Scale Integration (VLSI-SoC), 2017IFIP/IEEE International Conference on, pp. 1–6, IEEE, 2017.
[28] M. N. Islam and S. Kundu, “Pmu-trojan: on exploitingpower management side channel for information leakage,”in Proceedings of the 23rd Asia and South Pacific DesignAutomation Conference, pp. 709–714, IEEE Press, 2018.