D8 ODROID-XU3 CORTEX-A7 MULTITHREAD POWER MODEL COEFFICIENTS
F Constant Cores() r011 r040 r010 r07E r058 r078 r01D
15 411E-1 481E-2 388E-7 378E-10 -243E-8 -893E-8 -122E-5 225E-9 -155E-6
14 353E-1 779E-2 570E-7 244E-10 -261E-8 -114E-7 -677E-6 241E-9 -228E-6
13 315E-1 855E-2 806E-7 980E-11 -243E-8 -108E-7 -381E-6 240E-9 -242E-6
12 280E-1 698E-2 621E-7 185E-11 -242E-8 -104E-7 -164E-6 238E-9 -186E-6
11 247E-1 454E-2 476E-7 237E-10 -208E-8 -757E-8 -227E-6 183E-9 -142E-6
1 225E-1 567E-2 392E-7 -257E-10 -231E-8 -103E-7 -646E-6 249E-9 -117E-6
09 189E-1 245E-2 396E-7 -140E-10 -206E-8 -752E-8 -175E-6 212E-9 -119E-6
08 170E-1 293E-2 411E-7 -624E-11 -182E-8 -784E-8 -257E-6 176E-9 -123E-6
07 143E-1 258E-2 270E-7 148E-10 -176E-8 -645E-8 -227E-6 140E-9 -809E-7
06 125E-1 159E-2 177E-7 127E-10 -175E-8 -597E-8 -207E-6 140E-9 -530E-7
05 106E-1 116E-2 190E-7 238E-10 -167E-8 -541E-8 -127E-6 125E-9 -570E-7
04 818E-2 112E-2 107E-7 313E-10 -160E-8 -482E-8 -141E-6 107E-9 -319E-7
03 624E-2 382E-3 608E-8 420E-10 -159E-8 -365E-8 -452E-7 973E-10 -181E-7
02 438E-2 235E-3 144E-8 486E-10 -154E-8 -285E-8 -391E-7 902E-10 -415E-8
D8 ODROID-XU3 Cortex-A7 Multithread Power ModelCoefficients
F Constant Cores() r011 r014 r015 r006 r00D
14 954E-02 146E-02 930E-11 334E-10 256E-09 228E-10 -255E-10
13 804E-02 114E-02 838E-11 315E-10 280E-09 198E-10 -247E-10
12 679E-02 121E-02 772E-11 261E-10 207E-09 201E-10 -218E-10
11 589E-02 108E-02 723E-11 271E-10 221E-09 100E-10 -223E-10
1 503E-02 883E-03 698E-11 240E-10 197E-09 133E-10 -250E-10
09 408E-02 683E-03 679E-11 203E-10 182E-09 151E-10 -174E-10
08 307E-02 412E-03 692E-11 197E-10 168E-09 939E-11 -194E-10
07 238E-02 359E-03 652E-11 172E-10 166E-09 117E-10 -153E-10
06 172E-02 352E-03 608E-11 187E-10 185E-09 589E-11 -195E-10
05 131E-02 249E-03 610E-11 149E-10 155E-09 833E-11 -156E-10
04 108E-02 197E-03 659E-11 149E-10 160E-09 668E-11 -167E-10
03 860E-03 149E-03 700E-11 146E-10 162E-09 641E-11 -183E-10
02 610E-03 964E-04 790E-11 136E-10 160E-09 572E-11 -197E-10
131
APPENDIX D POWER MODEL COEFFICIENTS
D9 ODROID-XU3 Cortex-A15 Single-Thread Inter-Core PowerModel Coefficients
F Constant r011 r00A r010 r018 r019
2 172E+00 502E-11 566E-06 447E-08 436E-06 -191E-07
19 138E+00 699E-11 521E-06 383E-08 323E-06 -143E-07
18 128E+00 -509E-11 421E-06 342E-08 326E-06 -142E-07
17 108E+00 128E-11 414E-06 319E-08 276E-06 -121E-07
16 101E+00 -645E-11 349E-06 290E-08 272E-06 -119E-07
15 969E-01 -187E-10 325E-06 265E-08 264E-06 -115E-07
14 920E-01 -261E-10 296E-06 254E-08 253E-06 -109E-07
13 857E-01 -280E-10 298E-06 236E-08 233E-06 -994E-08
12 680E-01 -141E-10 272E-06 217E-08 186E-06 -785E-08
11 524E-01 831E-12 280E-06 201E-08 124E-06 -519E-08
1 433E-01 535E-11 293E-06 178E-08 847E-07 -337E-08
09 371E-01 523E-11 272E-06 167E-08 682E-07 -266E-08
08 319E-01 353E-11 248E-06 157E-08 622E-07 -233E-08
07 295E-01 -257E-11 255E-06 151E-08 590E-07 -226E-08
06 234E-01 520E-11 265E-06 152E-08 463E-07 -171E-08
05 190E-01 885E-11 272E-06 148E-08 213E-07 -598E-09
04 138E-01 179E-10 257E-06 143E-08 663E-08 117E-09
03 934E-02 282E-10 250E-06 137E-08 -823E-08 833E-09
02 742E-02 217E-10 232E-06 137E-08 721E-10 557E-09
D10 ODROID-XU3 Cortex-A7 Single-Thread Inter-Core PowerModel Coefficients
F Constant r011 r014 r012
14 226E-01 -432E-11 379E-10 -320E-10
13 191E-01 -371E-11 366E-10 -343E-10
12 170E-01 -479E-11 320E-10 -316E-10
11 147E-01 -480E-11 299E-10 -302E-10
1 134E-01 -617E-11 279E-10 -321E-10
09 113E-01 -571E-11 254E-10 -306E-10
08 869E-02 -563E-11 229E-10 -285E-10
07 795E-02 -735E-11 210E-10 -285E-10
06 659E-02 -749E-11 185E-10 -254E-10
132
D12 ODROID-XU3 CORTEX-A7 MULTITHREAD INTER-CORE POWER MODELCOEFFICIENTS
F Constant r011 r014 r012
05 633E-02 -116E-10 170E-10 -250E-10
04 543E-02 -123E-10 159E-10 -255E-10
03 538E-02 -207E-10 175E-10 -291E-10
02 438E-02 -271E-10 142E-10 -202E-10
D11 ODROID-XU3 Cortex-A15 Multithread Inter-Core PowerModel Coefficients
F Constant Cores() r011 r009 r018 r010 r00A
18 622E-01 124E-01 126E-09 -841E-05 -358E-07 146E-09 407E-05
17 550E-01 943E-02 117E-09 -716E-05 -286E-07 202E-09 341E-05
16 465E-01 824E-02 109E-09 -712E-05 -237E-07 316E-09 345E-05
15 407E-01 528E-02 103E-09 -718E-05 -208E-07 713E-10 354E-05
14 354E-01 922E-02 924E-10 -513E-05 -187E-07 -973E-10 234E-05
13 320E-01 592E-02 944E-10 -552E-05 -163E-07 -154E-09 262E-05
12 296E-01 562E-02 874E-10 -323E-05 -142E-07 -378E-09 140E-05
11 252E-01 390E-02 853E-10 -478E-05 -132E-07 -261E-09 229E-05
1 230E-01 224E-02 812E-10 -505E-05 -109E-07 -649E-10 245E-05
09 203E-01 277E-02 750E-10 -417E-05 -946E-08 -284E-09 197E-05
08 174E-01 194E-02 713E-10 -237E-05 -746E-08 -352E-09 104E-05
07 148E-01 108E-02 717E-10 -314E-05 -638E-08 -305E-09 148E-05
06 127E-01 594E-03 735E-10 -269E-05 -523E-08 -292E-09 124E-05
05 107E-01 533E-03 744E-10 -331E-05 -465E-08 -378E-09 158E-05
04 831E-02 249E-03 775E-10 -304E-05 -344E-08 -421E-09 144E-05
03 629E-02 115E-03 792E-10 -241E-05 -243E-08 -435E-09 111E-05
02 439E-02 310E-04 812E-10 -196E-05 -164E-08 -464E-09 871E-06
D12 ODROID-XU3 Cortex-A7 Multithread Inter-Core PowerModel Coefficients
F Constant Cores() r011 r009 r00A
14 117E-01 212E-02 170E-10 410E-06 -316E-06
13 959E-02 177E-02 161E-10 218E-06 -196E-06
12 798E-02 173E-02 146E-10 -132E-06 183E-08
11 673E-02 143E-02 139E-10 718E-07 -982E-07
133
APPENDIX D POWER MODEL COEFFICIENTS
F Constant Cores() r011 r009 r00A
1 577E-02 122E-02 132E-10 444E-07 -817E-07
09 474E-02 105E-02 126E-10 394E-07 -739E-07
08 351E-02 722E-03 122E-10 -113E-06 162E-07
07 271E-02 532E-03 120E-10 -675E-07 -169E-08
06 197E-02 429E-03 117E-10 -534E-07 -517E-08
05 148E-02 342E-03 111E-10 -865E-07 157E-07
04 122E-02 261E-03 115E-10 -105E-06 292E-07
03 941E-03 172E-03 121E-10 -699E-07 123E-07
02 648E-03 949E-04 129E-10 -158E-06 649E-07
134
AP
PE
ND
IX
EMODIFIED PARSEC BLACKSCHOLES FOR HETEROGENEOUS
EXECUTION ON 8 CORES
Figure E1 PARSEC Blackscholes EF Curve
135
BIBLIOGRAPHY
[1] N Heuveldop et al ldquoEricsson mobility reportrdquo Ericsson AB Technol Emerg BusinessStockholm Sweden Tech Rep EAB-17 vol 5964 2017
[2] S Borkar and A A Chien ldquoThe future of microprocessorsrdquo Communications of the ACMvol 54 no 5 pp 0ndash5 2011 [Online] Available httpsearchebscohostcomloginaspxdirect=trueampdb=bthampAN=60863975ampsite=ehost-live
[3] J E Stone D Gohara and G Shi ldquoOpencl A parallel programming standard forheterogeneous computing systemsrdquo Computing in science amp engineering vol 12 no 3pp 66ndash73 2010
[4] C Nvidia ldquoProgramming guiderdquo 2010
[5] A Munshi B Gaster T G Mattson and D Ginsburg OpenCL programming guide Pear-son Education 2011
[6] httpwwwarmcomproductsprocessorstechnologiesbiglittleprocessingphp [On-line accessed 10-Oct-2013]
[7] httpswwwarmcomaboutnewsroomarm-unveils-its-most-energy-efficient-application-processor-ever-with-biglittle-processingphp [Online accessed 21-Oct-2014]
[8] httpswwwarmcomfilespdfbig_LITTLE_Technology_the_Futue_of_Mobilepdf[Online accessed 2-Feb-2014]
[9] R Kumar D Tullsen P Ranganathan N Jouppi and K Farkas ldquoSingle-ISA heteroge-neous multi-core architectures for multithreaded workload performancerdquo Proceedings31st Annual International Symposium on Computer Architecture 2004 2004
[10] M D Hill and M R Marty ldquoAmdahlrsquos law in the multicore erardquo Computer 7 no Aprilpp 33ndash38 2008
[11] J L Gustafson ldquoReevaluating amdahlrsquos lawrdquo Communications of the ACM vol 31 no 5pp 532ndash533 1988
136
BIBLIOGRAPHY
[12] S Borkar ldquoThousand core chips a technology perspectiverdquo in Proceedings of the 44thannual Design Automation Conference ACM 2007 pp 746ndash749
[13] D H Woo and H-H S Lee ldquoExtending Amdahlrsquos law for energy-efficient computingin the many-core erardquo Computer 12 pp 24ndash31 2008
[14] httpwwwhardkernelcommainproductsprdt_infophpg_code=G137463363079[Online accessed 10-Oct-2013]
[15] httpswwwarmcomfilespdfMotherboard_Express_uATXpdf [Online accessed20-Oct-2014]
[16] httpsstaticdocsarmcomdui0447jDUI0447pdf [Online accessed 15-Feb-2014]
[17] httpsstaticdocsarmcomddi0503iDDI0503I_v2p_ca15_a7_tc2_trmpdf [Onlineaccessed 20-Feb-2014]
[18] httpwwwhardkernelcommainproductsprdt_infophpg_code=G140448267127[Online accessed 12-March-2015]
[19] httpctuningorgwikiindexphptitle=CToolsCBench [Online accessed 19-Oct-2014]
[20] httpparseccsprincetoneduindexhtm [Online accessed 02-May-2017]
[21] K Nikov J L Nunez-Yanez and M Horsnell ldquoEvaluation of hybrid run-time powermodels for the ARM big Little architecturerdquo Proceedings - IEEEIFIP 13th InternationalConference on Embedded and Ubiquitous Computing EUC 2015 pp 205ndash210 2015
[22] httpswwwresearchgatenetpublication319914261_The_energy_consumption_benefits_of_DynamIQ_for_heterogeneous_parallel_workloads [Online accessed19-Sep-2017]
[23] L Benini A Bogliolo and G De Micheli ldquoA survey of design techniques for system-level dynamic power managementrdquo IEEE transactions on very large scale integration(VLSI) systems vol 8 no 3 pp 299ndash316 2000
[24] httpwwwacpiinfo [Online accessed 19-Sep-2014]
[25] httpwwwuefiorgsitesdefaultfilesresourcesACPI206_2_A_Sept29pdf [On-line accessed 10-Feb-2018]
[26] M Pedram ldquoPower optimization and management in embedded systemsrdquo in Proceedingsof the 2001 Asia and South Pacific Design Automation Conference ACM 2001 pp 239ndash244
137
BIBLIOGRAPHY
[27] httpchipdesignmagcomsldblog20140312system-level-power-budgeting[Online accessed 10-Aug-2014]
[28] httpswwwapache-dacomcompanyeventsATF2011 [Online accessed 10-Aug-2014]
[29] H Esmaeilzadeh E Blem R St Amant K Sankaralingam and D Burger ldquoDark siliconand the end of multicore scalingrdquo IEEE Micro vol 32 pp 122ndash134 2012
[30] M Shafique S Garg T Mitra S Parameswaran and J Henkel ldquoDark silicon as achallenge for hardwaresoftware co-designrdquo Proceedings of the 2014 InternationalConference on HardwareSoftware Codesign and System Synthesis - CODES rsquo14 pp 1ndash102014 [Online] Available httpdlacmorgcitationcfmdoid=26560752661645
[31] K De Vogeleer G Memmi P Jouvelot and F Coelho ldquoThe en-ergyfrequency convexity rule Modeling and experimental validation onmobile devicesrdquo Parallel Processing and pp 793ndash803 2014 [Online]Available isi0001800672000555Cnhttplinkspringercomchapter1010073-540-48086-2_555Cnhttplinkspringercom1010073-540-48086-2
[32] K Rangan G Wei and D Brooks ldquoThread motion fine-grained power management formulti-core systemsrdquo ACM SIGARCH Computer Architecture pp 302ndash313 2009[Online] Available httpdlacmorgcitationcfmid=1555793
[33] K Choi R Soma and M Pedram ldquoFine-grained dynamic voltage and frequency scalingfor precise energy and performance tradeoff based on the ratio of off-chip access toon-chip computation timesrdquo IEEE Transactions on Computer-Aided Design of IntegratedCircuits and Systems vol 24 no 1 pp 18ndash28 2005
[34] D Shelepov and J S Alcaide ldquoHASS a scheduler for heterogeneous multicoresystemsrdquo Operating Systems pp 66ndash75 2009 [Online] Availablehttpdlacmorgcitationcfmid=1531804
[35] J Henning ldquoSPEC CPU2000 measuring CPU performance in the New MillenniumrdquoComputer (Long Beach Calif) vol 33 no 7 pp 28ndash35 2000 [Online] Availablehttpieeexploreieeeorglpdocsepic03wrapperhtmarnumber=869367
[36] M Curtis-maury A Shah F Blagojevic D S Nikolopoulos B R D Supinski andM Schulz ldquoPrediction Models for Multi-dimensional Power-Performance Optimiza-tion on Many Cores Categories and Subject Descriptorsrdquo pp 250ndash259
[37] L Dagum and R Menon ldquoOpenmp an industry standard api for shared-memoryprogrammingrdquo IEEE computational science and engineering vol 5 no 1 pp 46ndash551998
138
BIBLIOGRAPHY
[38] D H Bailey R S Schreiber H D Simon V Venkatakrishnan S K WeeratungaE Barszcz J T Barton D S Browning R L Carter L Dagum R aFatoohi P O Frederickson and T a Lasinski ldquoThe NAS parallel benchmarksmdashsummary and preliminary resultsrdquo Proceedings of the 1991 ACMIEEE conferenceon Supercomputing - Supercomputing rsquo91 pp 158ndash165 1991 [Online] Availablehttpieeexploreieeeorgxplfreeabs_alljsparnumber=5348941
[39] C Imes and H Hoffmann ldquoMinimizing energy under performance constraints onembedded platforms resource allocation heuristics for homogeneous and single-ISAheterogeneous multi-coresrdquo ACM SIGBED Review vol 11 no 4 pp 49ndash54 2015
[40] C Bienia and K Li ldquoParsec 20 A new benchmark suite for chip-multiprocessorsrdquo5th Annual Workshop on Modeling Benchmarking and Simulation pp 1ndash92009 [Online] Available httpwww-mounteceumnedu~jjyiMoBS2009program02E-Bieniapdf
[41] K V Craeynest ldquoScheduling Heterogeneous Multi-Cores through Performance ImpactEstimation ( PIE ) type I type II type IIIrdquo vol 00 no c pp 213ndash224 2012
[42] J L Henning ldquoSPEC CPU2006 benchmark descriptionsrdquo ACM SIGARCH ComputerArchitecture News vol 34 no 4 pp 1ndash17 2006 [Online] Available httpscholargooglecomscholarhl=enampbtnG=Searchampq=intitleNo+Title0
[43] W-T Hsieh C-C Shiue and C-N Liu ldquoEfficient power modelling approachof sequential circuits using recurrent neural networksrdquo IEE Proceedings -Computers and Digital Techniques vol 153 no 2 p 78 2006 [Online] Availablehttpdigital-librarytheietorgcontentjournals101049ip-cdt_20045147
[44] I Takouna W Dawoud and C Meinel ldquoAccurate Mutlicore Processor PowerModels for Power-Aware Resource Managementrdquo 2011 IEEE Ninth InternationalConference on Dependable Autonomic and Secure Computing pp 419ndash426 dec2011 [Online] Available httpieeexploreieeeorglpdocsepic03wrapperhtmarnumber=6118753
[45] T Sherwood E Perelman G Hamerly S Sair and B Calder ldquoDiscovering and ExploitingProgram Phasesrdquo IEEE Micro vol 23 pp 84ndash93 2003
[46] C Isci and M Martonpsi ldquoPhase characterization for power Evaluating control-flow-based and event-counter-based techniquesrdquo Proceedings - International Symposium onHigh-Performance Computer Architecture vol 2006 pp 122ndash133 2006
[47] R Bertran M Gonzalez X Martorell N Navarro and E Ayguade ldquoDecomposable andResponsive Power Models for Multicore Processors using Performance Counters Cat-
139
BIBLIOGRAPHY
egories and Subject Descriptorsrdquo Proceedings of the 24th ACM International Conferenceon Supercomputing pp 147ndash158 2010
[48] M J Pazzani and S D Bay ldquoThe Independent Sign Bias Gaining Insight from MultipleLinear Regressionrdquo 1981
[49] J Nunez-Yanez and G Lore ldquoEnabling accurate modeling of power andenergy consumption in an ARM-based System-on-Chiprdquo Microprocessors andMicrosystems vol 37 no 3 pp 319ndash332 may 2013 [Online] AvailablehttplinkinghubelseviercomretrievepiiS0141933113000021
[50] M Pricopi T S Muthukaruppan V Venkataramani T Mitra and S VishinldquoPower-performance modeling on asymmetric multi-coresrdquo 2013 InternationalConference on Compilers Architecture and Synthesis for Embedded Systems (CASES)pp 1ndash10 sep 2013 [Online] Available httpieeexploreieeeorglpdocsepic03wrapperhtmarnumber=6662519
[51] K Singh M Bhadauria and S a McKee ldquoReal time power estimation and threadscheduling via performance countersrdquo ACM SIGARCH Computer Architecture Newsvol 37 no 2 p 46 2009
[52] R Rodrigues A Annamalai I Koren and S Kundu ldquoA study on the use of performancecounters to estimate power in microprocessorsrdquo IEEE Transactions on Circuits andSystems II Express Briefs vol 60 no 12 pp 882ndash886 2013
[53] M Guthaus and J Ringenberg ldquoMiBench A free commercially representativeembedded benchmark suiterdquo 2001 WWC-4 pp 3ndash14 2001 [Online]Available httpieeexploreieeeorgxplsabs_alljsparnumber=990739
[54] C Lee M Potkonjak and W H Mangione-Smith ldquoMediabench a tool for evaluatingand synthesizing multimedia and communicatons systemsrdquo in Proceedings of the30th annual ACMIEEE international symposium on Microarchitecture IEEE ComputerSociety 1997 pp 330ndash335
[55] H Blume D Becker L Rotenberg M Botteck J Brakensiek and T Noll ldquoHybridfunctional- and instruction-level power modeling for embedded and heterogeneousprocessor architecturesrdquo Journal of Systems Architecture vol 53 no 10 pp689ndash702 oct 2007 [Online] Available httplinkinghubelseviercomretrievepiiS1383762107000161
[56] S K Rethinagiri O Palomar R Ben Atitallah S Niar O Unsal and A CKestelman ldquoSystem-level power estimation tool for embedded processor basedplatformsrdquo Proceedings of the 6th Workshop on Rapid Simulation and Performance
140
BIBLIOGRAPHY
Evaluation Methods and Tools - RAPIDO rsquo14 pp 1ndash8 2014 [Online] Availablehttpdlacmorgcitationcfmdoid=25554862555491
[57] C Dismuke and R Lindrooth ldquoOrdinary least squaresrdquo Methods and Designs for OutcomesResearch vol 93 pp 93ndash104 2006
[58] S Eyerman K Hoste and L Eeckhout ldquoMechanistic-empirical processor performancemodeling for constructing CPI stacks on real hardwarerdquo (Ieee Ispass) Ieee InternationalSymposium on Performance Analysis of Systems and Software pp 216ndash226 apr2011 [Online] Available httpieeexploreieeeorglpdocsepic03wrapperhtmarnumber=5762738
[59] H Jacobson and A Buyuktosunoglu ldquoAbstraction and microarchitecture scaling inearly-stage power modelingrdquo (HPCA) 2011 IEEE pp 394ndash405 2011 [Online]Available httpieeexploreieeeorgxplsabs_alljsparnumber=5749746
[60] Y Zhang X Wang X Liu Y Liu Ł Zhuang and F Zhao ldquoTowards better CPUpower management on multicore smartphonesrdquo Proceedings of the Workshop onPower-Aware Computing and Systems - HotPower rsquo13 pp 1ndash5 2013 [Online] Availablehttpdlacmorgcitationcfmdoid=25255262525849
[61] M J Walker S Diestelhorst A Hansson A K Das S Yang B M Al-hashimi and G VMerrett ldquoAccurate and Stable Run-Time Power Modeling for Mobile and EmbeddedCPUsrdquo Ieee Transactions on Computer Aided Design of Integrated Circuits and Systemspp 1ndash14 2015
[62] mdashmdash ldquoAccurate and Stable Run-Time Power Modeling for Mobile and Embedded CPUsrdquoIeee Transactions on Computer Aided Design of Integrated Circuits and Systems vol 36no 1 pp 1ndash14 2017
[63] M Kim H Kim H Chung and K Lim ldquoSamsung exynos 5410 processor-experiencethe ultimate performance and versatilityrdquo White Paper 2013
[64] P Greenhalgh ldquoBig little processing with arm cortex-a15 amp cortex-a7rdquo ARM White papervol 17 2011
[65] B Jeff ldquoAdvances in big little technology for power and energy savingsrdquo ARM Whitepaper p 33 2012
[66] httpsdeveloperarmcomproductssystem-ipcorelink-interconnectcorelink-cache-coherent-interconnect-family note =
[67] httpsdeveloperarmcomdocsddi0470latestpreface [Online accessed 13-Jun-2016]
141
BIBLIOGRAPHY
[68] httpsdeveloperarmcomproductsarchitectureamba-protocolamba-4 note =
[69] H-D Cho P D P Engineer K Chung and T Kim ldquoBenefits of the big little architecturerdquoEETimes Feb 2012
[70] H Chung M Kang and H-D Cho ldquoHeterogeneous multi-processing solution of exynos5 octa with armreg big littletrade technologyrdquo Samsung White Paper 2012
[71] K Krewell ldquoCortex-a53 is armrsquos next little thingrdquo Microprocessor Report vol 11 no 5pp 12ndash2 2012
[72] J Bolaria ldquoCortex-a57 extends armrsquos reachrdquo Microprocessor Report vol 11 no 5 pp 12ndash12012
[73] httpswwwarmcomfilespdfARM_Qualcomm_White_paper_Finalpdf [Onlineaccessed 1-Jul-2015]
[74] httpwwwarmcomproductsprocessorscortex-acortex-a15php [Online ac-cessed 10-Oct-2013]
[75] httpinfocenterarmcomhelptopiccomarmdocddi0438cDDI0438C_cortex_a15_r2p0_trmpdf [Online accessed 10-Dec-2013]
[76] T Lanier ldquoExploring the design of the cortex-a15 processorrdquo URL httpwww armcomfilespdfatexploring the design of the cortex-a15 pdf (visited on 12112013) 2011
[77] httpwwwarmcomproductsprocessorscortex-acortex-a7php [Online accessed10-Oct-2013]
[78] httpinfocenterarmcomhelptopiccomarmdocddi0464dDDI0464D_cortex_a7_mpcore_r0p3_trmpdf [Online accessed 10-Dec-2013]
[79] httpsperfwikikernelorgindexphpMain_Page note =
[80] R Randhawa ldquoSoftware Techniques for ARM bigLITTLE Systemsrdquop 9 2013 [Online] Available httpwwwarmcomfilesdownloadsSoftware_Techniques_for_ARM_bigLITTLE_Systemspdf
[81] M Poirier ldquoIn kernel switcher A solution to support armrsquos new big little technologyrdquoin Embedded Linux Conference 2013
[82] B Jeff ldquobig little technology moves towards fully heterogeneous global task schedulingrdquoWhite Paper 2013
[83] httpswwwarmcomfilespdfHeterogeneous_Multi_Processing_Solution_of_Exynos_5_Octa_with_ARM_bigLITTLE_Technologypdf note =
142
BIBLIOGRAPHY
[84] httpwwwticomproductina231 [Online accessed 14-Sep-2014]
[85] P Greenhalgh ldquobig LITTLE Processing withrdquo no September 2011 pp 1ndash8 2012
[86] httpwebeecemaineedu~vweaverprojectsperf_events [Online accessed 13-Nov-2013]
[87] httpscommunityarmcomprocessorsbblogpostscortex-a15-and-cortex-a7-big-little-hardware-from-arm [Online accessed 09-Feb-2018]
[88] httpssnapshotslinaroorgubuntupre-builtlsk-vexpress [Online accessed 1-Mar-2014]
[89] Y Shin K Shin P Kenkare R Kashyap H J Lee D Seo B Millar Y Kwon R IyengarM S Kim A Chowdhury S I Bae I Hong W Jeong A Lindner U Cho K HawkinsJ C Son and S H Hwang ldquo28nm high-κ metal-gate heterogeneous quad-core CPUsfor high-performance and energy-efficient mobile application processorrdquo Digest ofTechnical Papers - IEEE International Solid-State Circuits Conference vol 56 pp 154ndash1552013
[90] httpclocsourceforgenet [Online accessed 10-Feb-2018]
[91] httpmanpagesubuntucommanpagespreciseman1cset1html [Online accessed19-Jul-2015]
[92] httpslinuxdienetman1cpufreq-info [Online accessed 10-Feb-2018]
[93] httpslinuxdienetman1cpufreq-set [Online accessed 10-Feb-2018]
[94] C Bienia ldquoBENCHMARKING MODERN MULTIPROCESSORSrdquo 2011 [Online]Available httpparseccsprincetonedupublicationsbienia11benchmarkingpdf
[95] httpsgithubcomkranikDATACOLLECTtreemasterARMPM_datacollectODROID_XU3 note =
[96] httpwwwnetliborgbenchmarkdhry-c [Online accessed 20-Oct-2013]
[97] httpwwwnetliborgbenchmarkwhetstonec [Online accessed 20-Oct-2013]
[98] httpwwwnetliborglinpack [Online accessed 20-Oct-2013]
[99] J Clemons H Zhu S Savarese T Austin and A Arbor ldquoMEVBench A MobileComputer Vision Benchmarking Suiterdquo pp 91ndash102 2011
143
BIBLIOGRAPHY
[100] J Stratton and C Rodrigues ldquoParboil A revised benchmark suite for scientificand commercial throughput computingrdquo Computing 2012 [Online] Availablehttpimpactcrhcillinoisedushareddocsimpact-12-01parboilpdf
[101] S Che M Boyer J Meng D Tarjan J W Sheaffer S-H Lee and K Skadron ldquoRodiniaA benchmark suite for heterogeneous computingrdquo 2009 IEEE International Symposiumon Workload Characterization (IISWC) pp 44ndash54 oct 2009 [Online] Availablehttpieeexploreieeeorglpdocsepic03wrapperhtmarnumber=5306797
[102] httpwwwphoronix-test-suitecom [Online accessed 20-Oct-2013]
[103] httpsgithubcomkranikBUILDMODELtreemasterARMPM_buildmodel note=
[104] D A Belsley E Kuh and R E Welsch Regression diagnostics Identifying influential dataand sources of collinearity John Wiley amp Sons 2005 vol 571
[105] M H Kutner C Nachtsheim and J Neter Applied linear regression models McGraw-HillIrwin 2004
[106] W C Black B J Babin R E Anderson et al Multivariate data analysis vol 5 no 3
[107] H Kim N Agrawal and C Ungureanu ldquoRevisiting storage for smartphonesrdquo ACMTransactions on Storage (TOS) vol 8 no 4 p 14 2012
[108] httpswikilinaroorgFlash20memory [Online accessed 16-Mar-2017]
[109] httpswikilinaroorgWorkingGroupsKernelArchivedProjectsFlashCardSurvey[Online accessed 17-Sep-2015]
[110] httpswikilinaroorgWorkingGroupsKernelArchivedSpecsStoragePerfEMMChighlight= [Online accessed 14-Jul-2015]
[111] httpswikilinaroorgWorkingGroupsKernelArchivedSpecsStoragePerfMMC-FS-compare [Online accessed 14-Mar-2016]
144