Top Banner

of 36

Mukherjee Part1

Apr 14, 2018

Download

Documents

Puja Mazumdar
Welcome message from author
This document is posted to help you gain knowledge. Please leave a comment to let me know what you think about it! Share it to your friends and learn new things together.
Transcript
  • 7/29/2019 Mukherjee Part1

    1/36

    Janusz Rajski

    Nilanjan Mukherjee

    Mentor Graphics Corporation

  • 7/29/2019 Mukherjee Part1

    2/36

    Presenters: Janusz RajskiNilanjan MukherjeeMentor Graphics [email protected]

    Co-author:Jerzy Tyszer Poznan Univ. of Technology

    Presenters and authors

  • 7/29/2019 Mukherjee Part1

    3/36

    Tutorial ground rules

    Definition: Embedded Test refers to design-for-

    testability techniques where testing isaccomplished entirely or partially through on-chiphardware.

    Disclaimer:

    This tutorial is not intended to endorse ordiscredit any commercial technology or product.

  • 7/29/2019 Mukherjee Part1

    4/36

    Audience

    Designers of complex integrated circuits

    IP core providers and integrators Test engineers

    EDA tools developers

    EDA tools users Researchers

    Project managers

    Everybody interested in state-of-the-art embedded test

    technology, to reduce the cost of manufacturing test

    In particular:

  • 7/29/2019 Mukherjee Part1

    5/36

    Tutorial objectives

    To present:

    Compelling reasons for ET adoption Common barriers for ET adoption

    State-of-the-art ET fundamentals and practice

    Architectures for logic and memory BIST Embedded deterministic techniques

    At-speed ET

    multiple-clock domain designs

    multi-frequency designs

    Tools for BIST synthesis automation

    Application examples and case studies

  • 7/29/2019 Mukherjee Part1

    6/36

    Outline

    Introduction

    Embedded stimuli generators

    Compactors of test responses

    Logic BIST

    Deterministic forms of embedded test Embedded at-speed test

    Comparison of scan/ATPG, logic BIST andembedded forms of deterministic test

    BIST schemes for embedded memory arrays

    Summary of embedded test

  • 7/29/2019 Mukherjee Part1

    7/36

  • 7/29/2019 Mukherjee Part1

    8/36

    Design characteristics

    CPU core

    Memory

    ASIC

    ASIC

    ASIC

    PLL

    IP core

    DSP core

    Memory

    IP core

    Memory

    Memory

    Memory

    ASIC

    AnalogI / 0

  • 7/29/2019 Mukherjee Part1

    9/36

    System on Chip characteristics

    CPU core

    Memory

    ASIC

    ASIC

    ASIC

    PLL

    IP core

    DSP core

    Memory

    IP core

    Memory

    Memory

    Memory

    ASIC

    AnalogI / 0

    System architecture

    Microprocessors, DSP cores Buses, peripherals, memory

    ASIC portion

    Structures: Logic, memory, analog

    Multiple embedded memories:

    DRAM, Flash, CAM

    Analog and mixed signal: PLLs,clock recovery

    Field programmable logic

    RF cores: wireless receivers IP cores and reusable blocks

    available from multiple vendors

    Design efficiency achieved byhierarchical core-based design style

  • 7/29/2019 Mukherjee Part1

    10/36

    New defects

    Geometries shrink at 30% every three years

    Defect sizes do not shrink in proportion

    Increase of wiring levels from 6 to 9

    Interconnect delays dominate

    Gate delays reduced Bridging faults

  • 7/29/2019 Mukherjee Part1

    11/36

    [Sematech, 1998]

    Sematech S-121

    Test Method Evaluation Key Findings &

    Conclusions

    Objective:

    Evaluate various test methodologies Large sample size

    Extensive data collection & analysis

  • 7/29/2019 Mukherjee Part1

    12/36

    Sematech S-121

    Device 116K equivalent gates

    0.45 m L effective (0.8 m drawn) 50 MHz operating speed

    249 signal I/Os

    3 metal levels

    Full LSSD Scan plus JTAG boundary scan 8 Chains, 5,280 master/slave LSSD latches (10,560

    total latches)

    Sample size 20,000 units

    Test methods: Stuck-at faults, Functional tests, Transition delay

    faults & IDDQ

  • 7/29/2019 Mukherjee Part1

    13/36

    Sematech S-121

    SAF - 99.5% co verage

    (8300 patt erns )

    FUNC - 52% SAF coverage

    (532K cy cles)

    IDDQ - >96% pseudo SAF coverage(195 patterns )

    Delay - 90% Trans it ion co verage

    (15232 patt erns )

    IDDQ1463

    FUNC6

    78

    1 1251

    13

    SAF6

    0 52

    Delay 14

    34

    36

    FUNC

    IDDQ

    1

    Package test results(pre Burn-in)

  • 7/29/2019 Mukherjee Part1

    14/36

    S-121 Conclusions

    All test methods detected unique defects

    Near 100% SAF coverage missed many defects

    Large defect coverage overlap between SAF & Delay

    SAF are a subset of Transition faults

    IDDQ threshold setting significantly affects yield 98% of the IDDQ fails survived burn-in

    Many (bridging) defects detected only by IDDQ

    But diminishing IDDQ effectiveness in DSM

    Some Functional tests are still required

    Opportunity to optimize test coverage levels & capital

  • 7/29/2019 Mukherjee Part1

    15/36

    BridgeM1-2

    Bridge M2

    Bridge M4

    Break trans

    Bridge Poly M2

    Bridge M3

    Bridge M1-3

    Bridge poly M1

    Bridge M3-4

    Open Poly

    Open Contact

    Bridge M1

    Unknown Br

    Break M3Bridge Poly M2

    Break M2

    Bridge M3-4

    Break M1

    Bridge Poly M4

    Bridge Poly

    Unknown

    Via break

    Defect Pareto 350 nm

    Al4-5 Levels

    Oxide

    Dielectric

    W Plugs

    350 nm Process 5 million Transistors

    A Transistor

    Process Shrinks vs. Defect Types

  • 7/29/2019 Mukherjee Part1

    16/36

    Defect distribution changewith process

    100 nm Process -- 250 million transistors

    A Transistor

    Cu

    (8 Levels)

    Low-K

    Dielectric

    CuPlugs

    Unknown

    Defect Pareto 100 nm

    ?

    Process Shrinks vs. Defect Types

  • 7/29/2019 Mukherjee Part1

    17/36

    Defects vs. Fault Coverage

    [M. Rodgers , et. al. DAC 2000]

    1 10 100 1000 K-Ohms

    .18 um

    .25 um

    Test chip FA results

    Increasing defect populations causingmore VDD, Temp, & freq sensitive device fails

    Bridge Defect Observed Resistance

    Wired AND & OR models

    are not sufficientSpeed limiting defects

    Frequency of bridging defectsis increasing

    Need to drive ATE & modelingrequirements from the defectsto be detected

    Will drive need for more scan

    vectors

  • 7/29/2019 Mukherjee Part1

    18/36

    Quality requirements

    Y 1 - Y

    p

    1 - p

    Faultsdetected

    Escapes

  • 7/29/2019 Mukherjee Part1

    19/36

    Quality requirements

    0

    0.001

    0.002

    0.003

    0.004

    0.005

    0.006

    0.007

    0.008

    0.009

    0.01

    0.990 0.991 0.992 0.993 0.994 0.995 0.996 0.997 0.998 0.999 1.000

    p

    Yield = 0.1

    Yield = 0.9

    Escapes = (1 - Y)(1 - p)

  • 7/29/2019 Mukherjee Part1

    20/36

    Fault models

    Stuck-at-0 and stuck-at-1

    Transitions

    Path delay

    Multiple detectsVDD

  • 7/29/2019 Mukherjee Part1

    21/36

    Very high test quality

    Very high fault coverage

    Wide range of fault models

    stuck-at

    transition

    path delay at-speed testing

    multiple detects

    bridging

    defect based cross-talk effects

    ... fading IDDQ

    Coverage

    Escapes

  • 7/29/2019 Mukherjee Part1

    22/36

    High-performance MPU/ASIC gate count

    0

    50

    100

    150

    200

    250

    300

    2001 2002 2003 2004 2005 2006 2007

    ITRS Roadmap 2001

    Gate count

  • 7/29/2019 Mukherjee Part1

    23/36

    Scan chains

    The pattern count for transition faults may reach 20,000

  • 7/29/2019 Mukherjee Part1

    24/36

    Scan test

    ATE

    Sca

    n

    input

    channels

    Primary outputs

    Scan

    output

    cha

    nnels

    Primary inputs

  • 7/29/2019 Mukherjee Part1

    25/36

    ATE cost

    Tester cost = b + S m p

    b - base cost (zero pins)

    m - incremental cost per pin

    p - number of pins

    High performanceASIC / MPU

    DFT tester

    Low performanceMicrocontroller

    250 - 400

    100 - 350

    200 - 350

    2700 - 6000

    150 - 650

    1200 - 2500

    512

    512 - 2500

    256 - 1024

    b [ K$ ] m [ $ ] p

    Test cost can be

    $0.05/second

  • 7/29/2019 Mukherjee Part1

    26/36

    Volume of scan test data

    Test cycles = PatternsScan cellsScan chains

    ...

  • 7/29/2019 Mukherjee Part1

    27/36

    Scan test time

    Test time = Scan cellsScan chains

    ...

    FrequencyPatterns

  • 7/29/2019 Mukherjee Part1

    28/36

    Scan test cost

    Shift frequency 20 MHz

    Gate count 10M

    Scan chains 32

    Padding ratio 1.4

    Scan patterns 20K

    Vector memory 64MV

    Reload penalty 2s

    Insertions 4

    Tester rate 0.05$

    Scan cells500,000

    Cells per scan15,625

    Longest scan chain21,875

    Cycles437.5M

    Scan test time21.9s

    Passes6

    Reload time12.0s

    Time pre device87.5s

    Cost per device4.4$

    More

    http://localhost/var/www/apps/conversion/ITC2002_tut/Scan%20test%20cost.xlshttp://localhost/var/www/apps/conversion/ITC2002_tut/Scan%20test%20cost.xlshttp://localhost/var/www/apps/conversion/ITC2002_tut/Scan%20test%20cost.xls
  • 7/29/2019 Mukherjee Part1

    29/36

    High-performance MPU/ASIC

    0

    2

    4

    6

    8

    10

    12

    2001 2002 2003 2004 2005 2006 2007

    32 channels

    20,000 patterns

    Required ATE memory

    Gigabits/channel

  • 7/29/2019 Mukherjee Part1

    30/36

    High-performance MPU/ASIC

    0

    20

    40

    60

    80

    100

    120

    2001 2002 2003 2004 2005 2006 2007

    100 MHz scan shift

    Scan test time

    seconds

  • 7/29/2019 Mukherjee Part1

    31/36

    ATE accuracy vs. device speed

    Tester accuracy will improve from 200 ps to 175 ps by 2012

    Clock period will decrease to 330 ps Margin of error for ATE approaches 50% clock period

    0

    100

    200

    300

    400

    500

    600

    2001 2002 2003 2004 2005 2006 2007

    Device period

    ATE accuracy

    Accuracyrequired

  • 7/29/2019 Mukherjee Part1

    32/36

    Requirements for Embedded Test

    Increasing device complexity, operating speed,

    and new fault models stress conventional scanbased test:

    Exploding volume of test data

    Increasing scan test time, and

    Escalating scan test cost

    Embedded Test is required to:

    Generate most of the test data on-chip

    Compacting test responses on-chip, and Providing on-chip control for at-speed test

  • 7/29/2019 Mukherjee Part1

    33/36

    Very low cost

    Dramatically reduced volume of test data (10-100X)

    Dramatically reduced scan test time (10-400X)

    ATE Memory

    [Mvectors]

    0

    5

    10

    15

    20

    25

    30

    35

    0 1 2 3 4 5 6 7

    10X

    10X

    Scan test time[s]

    2M gatesScan/ATPG

    16 scan chains

    5k vectors

    2s handler/index time

    1 test

    10MHz scan shift

  • 7/29/2019 Mukherjee Part1

    34/36

    Long term scalability

    0.1

    1

    10

    10 0

    0 1.5 3 4.5 6 7.5 9 10.5

    100X increasein 10 years!

    Volume inconventional DFT

    years

  • 7/29/2019 Mukherjee Part1

    35/36

    0.1

    1

    10

    10 0

    0 1.5 3 4.5 6 7.5 9 10.5

    Radical compression is required!

    Immediate 5-10Xcompression

    Compressionahead of volume

    for 10 yearsVolume in

    conventional DFT

    Compression factor

    years

  • 7/29/2019 Mukherjee Part1

    36/36

    0.1

    1

    10

    10 0

    0 1.5 3 4.5 6 7.5 9 10.5

    Radical compression is required

    Compressionshould beahead ofMoores law for

    10 years!Volume in

    conventional DFT

    Compression factor

    Compressedvolume

    years