Top Banner
EUV: past, present and prospects Jos Benschop
38

Mon-820-EUV prague benschop oraleuvlsymposium.lbl.gov/pdf/2009/pres/Keynote1_Benschop_ASML.pdf · Slide 2 | Agenda • Why EUV •History • Key system technology • ASML system

Jul 20, 2020

Download

Documents

dariahiddleston
Welcome message from author
This document is posted to help you gain knowledge. Please leave a comment to let me know what you think about it! Share it to your friends and learn new things together.
Transcript
Page 1: Mon-820-EUV prague benschop oraleuvlsymposium.lbl.gov/pdf/2009/pres/Keynote1_Benschop_ASML.pdf · Slide 2 | Agenda • Why EUV •History • Key system technology • ASML system

EUV: past, present and prospects

Jos Benschop

Page 2: Mon-820-EUV prague benschop oraleuvlsymposium.lbl.gov/pdf/2009/pres/Keynote1_Benschop_ASML.pdf · Slide 2 | Agenda • Why EUV •History • Key system technology • ASML system

Slide 2 |

Agenda

• Why EUV• History• Key system technology• ASML system roadmap• Infrastructure• Summary & conclusions

Page 3: Mon-820-EUV prague benschop oraleuvlsymposium.lbl.gov/pdf/2009/pres/Keynote1_Benschop_ASML.pdf · Slide 2 | Agenda • Why EUV •History • Key system technology • ASML system

Slide 3 |

50

100

150

200

250

300

350

400

1985 1990 1995 2000 2005 2010 2015

wav

elen

gth

(nm

)

i-line365 nm

DUV248 nm

ArF193 nm

EUV13.5 nm

Extreme Ultra Violet light enables shrink

Instead of:

Use:

Page 4: Mon-820-EUV prague benschop oraleuvlsymposium.lbl.gov/pdf/2009/pres/Keynote1_Benschop_ASML.pdf · Slide 2 | Agenda • Why EUV •History • Key system technology • ASML system

Slide 4 |

Source: SPIE 2009

Customers acknowledge: EUV is cost effective

▬ Litho▬ Etch▬ CVD▬ Material▬ Strip

DPT -LELE

DPT -Spacer

EUV100wph

EUV60wph

EUV30wph

80

60

40

20

0

CO

O/la

yer (

kMW

/100

k, m

onth

)

2010 NXE:3100

Page 5: Mon-820-EUV prague benschop oraleuvlsymposium.lbl.gov/pdf/2009/pres/Keynote1_Benschop_ASML.pdf · Slide 2 | Agenda • Why EUV •History • Key system technology • ASML system

Slide 5 |

Agenda

• Why EUV• History• Key system technology• ASML system roadmap• Infrastructure• Summary & conclusions

Page 6: Mon-820-EUV prague benschop oraleuvlsymposium.lbl.gov/pdf/2009/pres/Keynote1_Benschop_ASML.pdf · Slide 2 | Agenda • Why EUV •History • Key system technology • ASML system

Slide 6 |

FOMNA: 0.15

’91=>’95 (upgrade) 10xNA: 0.08 => 0.1

EUV small field imaging

METNA: 0.3

40 nm hp40 nm hp

SFETNA: 0.3

40 nm hp

26 nm iso &dense

'90 '91 '92 '93 '94 '95 '96 '97 '98 '99 '00 '01 '02 '03 '04 '05 '06 '07 '08 '09

F. Bijkerk, et al., “Design of an extended image field soft x-ray projection system, Monterey, CA, USA, 1991 (OSA).

D. A. Tichenor,” Development of a Laboratory Extreme

Ultraviolet Lithography Tool”SPIE Vol. 2194 (1995)

Patrick Naulleau,” EUVmicroexposures … 0.3-NA MET”SPIE Vol. 5751 (2005)

Yuusuke Tanaka, “Fidelity of rectangular patterns printed with 0.3-NA MET optics” SPIE Vol. 6517 (2007)

5 µm

Page 7: Mon-820-EUV prague benschop oraleuvlsymposium.lbl.gov/pdf/2009/pres/Keynote1_Benschop_ASML.pdf · Slide 2 | Agenda • Why EUV •History • Key system technology • ASML system

Slide 7 |

HimejiNA = 0.10

ETSNA = 0.10

EUV full field imaging

Alpha-DemoNA = 0.25

40 nm hp40 nm hp

SELETE EUV1NA = 0.25

40 nm hp

26 nm iso &dense

'00 '01 '02 '03 '04 '05 '06 '07 '08 '09

80nm

160nm

70nmL&S

40 nm hp

30 nmStatic image

Hans Meiling, “First performance results of the ASML alpha demo tool”, SPIE Vol. 6151 (2006)

Ichiro Mori, “Selete’s EUV program: progress and challenges” Vol. 6921, (2008)

H. Kinoshita, “Recent advances of 3-aspherical mirror system for EUVL”, SPIE Vol. 3997 (2000)

Daniel A. Tichenor, “Initial Results from the EUV Engineering Test Stand, SPIE Vol. 4506 (2001)

Page 8: Mon-820-EUV prague benschop oraleuvlsymposium.lbl.gov/pdf/2009/pres/Keynote1_Benschop_ASML.pdf · Slide 2 | Agenda • Why EUV •History • Key system technology • ASML system

Slide 8 |

Worldwide consortia: 2001 snapshot

EUV consortiumIntel/AMD/Motorola/

Micron/Infineon

EUV consortiumIntel/AMD/Motorola/

Micron/Infineon

Tool developmentLawrence Berkley NL

Lawrence Livermore NLSandia NL

TRW: lasersAES: nozzle

ISI (Ultratech): stagesTinsley (SVG): optics

Carl Zeiss: opticsOsmic, Veco: coatings

Mask patterningconsortiummembers

ZeissZeiss

International EUVco-ordination

group

ASET

EUV-LLC

USAL

Canon

Nikon

SVGL

Main contractorsMain partnerPreuve

Page 9: Mon-820-EUV prague benschop oraleuvlsymposium.lbl.gov/pdf/2009/pres/Keynote1_Benschop_ASML.pdf · Slide 2 | Agenda • Why EUV •History • Key system technology • ASML system

Slide 9 |

Worldwide EUV partners ASML 2009

ROTH&RAUROTH&RAU

Page 10: Mon-820-EUV prague benschop oraleuvlsymposium.lbl.gov/pdf/2009/pres/Keynote1_Benschop_ASML.pdf · Slide 2 | Agenda • Why EUV •History • Key system technology • ASML system

Slide 10 |

Ongoing European funding support

Tin source basic development, 32nm capability, 22 nm enabling technologies

EUVL pre-production tool technology development

'98 '99 '00 '01 '02 '03 '04 '05 '06 '07 '08 '09 '10 '11 '12

EuclidesEuropeanCommission

ESPRIT

More MooreEuropean

CommissionFP6

EXCITEEUVSOURCEEXTATICEXTUMASK

MEDEA+

45 nmmask

EAGLEFirst tool experience

MEDEA+

EXEPTCATRENE

45 nmprocess

EUVL HVM tool technology development and EUV infrastructure

Xesource

Page 11: Mon-820-EUV prague benschop oraleuvlsymposium.lbl.gov/pdf/2009/pres/Keynote1_Benschop_ASML.pdf · Slide 2 | Agenda • Why EUV •History • Key system technology • ASML system

Slide 11 |

Wavelength transition: a moving target

KrF248nm160

140

120

100908070605040302010

01998 1999 2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010

ArF193nm

F2157nm

EUV13nm

year

Res

olut

ion

[nm

]

ArF immersion

ArF-i DP:

KrF, 0.63 NA

KrF, 0.7 NA

KrF, 0.80 NA

ArF, 0.85NA

ArF, 0.93 NAArF, 1.35 NA

ArF, 1.2 NA

ArF, 1.35 NA

Page 12: Mon-820-EUV prague benschop oraleuvlsymposium.lbl.gov/pdf/2009/pres/Keynote1_Benschop_ASML.pdf · Slide 2 | Agenda • Why EUV •History • Key system technology • ASML system

Slide 12 |

Agenda

• History• Key system technology

SourceOptics

• ASML system roadmap• Infrastructure• Summary & conclusions

Page 13: Mon-820-EUV prague benschop oraleuvlsymposium.lbl.gov/pdf/2009/pres/Keynote1_Benschop_ASML.pdf · Slide 2 | Agenda • Why EUV •History • Key system technology • ASML system

Slide 13 |

Several EUV source types are being used

• Beam line (synchrotron, wiggler, undulator)

• Discharge Produced Plasma (DPP) source

• Laser Produced Plasma (LPP) source

Page 14: Mon-820-EUV prague benschop oraleuvlsymposium.lbl.gov/pdf/2009/pres/Keynote1_Benschop_ASML.pdf · Slide 2 | Agenda • Why EUV •History • Key system technology • ASML system

Slide 14 |

Beam line (synchrotron, wiggler, undulator)

• Used for EUV projection lithography, e.g. Kinoshita et al. using Himiji beamline, Attwood et al. using Berkelybeamline

• Used for EUV interference systemse.g. Paul Scherrer Institute studies on EUV resist

• Studied for mass production by Oxford Instruments in EUCLIDES project. Max power (depending on configuration and beam current)10~30 Watts.

Conclusion: beam lines are not a viable technology for > 100 Watt power required for high volume mass production

10m

Two Synchrotrons

booster.Scanner Scanner

ScannerScanner

Benschop, Dinger, Ockwell, “EUCLIDES: First Phase Completed!”, SPIE Vol. 3997 (2000)

Page 15: Mon-820-EUV prague benschop oraleuvlsymposium.lbl.gov/pdf/2009/pres/Keynote1_Benschop_ASML.pdf · Slide 2 | Agenda • Why EUV •History • Key system technology • ASML system

Slide 15 |

LPP vs DPP

Laser-Produced Plasma source

CO2 laserSn droplet targetDebris mitigation using background gas

and/or magnetic fieldsNear normal multilayer collectorPursued by: Gigaphoton, Cymer, …

Discharge Produced Plasma source

Direct conversion electricity => plasmaXe gas or Sn solidDebris mitigation by set of foils

Grazing incident collectorPursued by Philips, Extreme, …

Many kWlaser

Near normal Multilayer collector

GrazingRu coated collector

Foil trap

Sn coatedRotating disc

Sn droplets

plasma plasma

Page 16: Mon-820-EUV prague benschop oraleuvlsymposium.lbl.gov/pdf/2009/pres/Keynote1_Benschop_ASML.pdf · Slide 2 | Agenda • Why EUV •History • Key system technology • ASML system

Slide 16 |

2000: “Flying Circus” worldwide source benchmarking

EUV light source

Filter

Multilayer mirror

Detector

0.01

0.1

1

10

PlexZ-Pinch

CymerDPF

SandiaLPP

SandiaCD

ILTHCT

Pow

er in

cide

nt o

n co

llect

or

[W/

2.5%

Ban

dWid

th] Short term max

Long term avg

R. Stuik, H. Fledderus, P. Hegeman, J. Jonkers, M. Visser, V. Banine, F. Bijkerk, 2nd SEMATECH EUVL Symp (2000)

CE=EEUV/(Estored or Elaser)

0

0.1

0.2

0.3

0.4

0.5

0.6

0.7

PlexZ-Pinch

CymerDPF

SandiaLPP

SandiaCD

ILTHCT

Con

vers

ion

effic

ienc

y [%

/(2.5

% B

andw

idth

/ 2p

i sr]

Page 17: Mon-820-EUV prague benschop oraleuvlsymposium.lbl.gov/pdf/2009/pres/Keynote1_Benschop_ASML.pdf · Slide 2 | Agenda • Why EUV •History • Key system technology • ASML system

Slide 17 |

Gap required-achieved power has been bridged.

0.01

0.1

1

10

100

1000

1998 2000 2002 2004 2006 2008 2010 2012 YearPow

er @

IF, W

Power requirement @IF [W]

Maximum reported power @IF [W]

Age of Xe Age of SnAge of choice

Future challenges• Meet power for long burst (>400msec) and high duty cycle (>80%)• Higher power to cope with less sensitive resist and

enable a cost effective high throughput system

Page 18: Mon-820-EUV prague benschop oraleuvlsymposium.lbl.gov/pdf/2009/pres/Keynote1_Benschop_ASML.pdf · Slide 2 | Agenda • Why EUV •History • Key system technology • ASML system

Slide 18 |

Excellent EUV Source Progress

2H-08 • Demonstration of source feasibility• Burst length = 1mSec • Power = 20W

Sept-09• Full size collector implemented• Dose control implemented • Debris mitigation operational• Burst Length = 400mSec

(full exp. field)• Power = 70W (>3x improvement)

~ 2x power increase required for 60 WPH

400mSec

120

110

0

100

90

80

70

60

50

40

30

20

10

0

Times (ms)

50 100 150 200 250 300 350 400

In-b

and

EU

V P

ower

at I

.F. (

W)

Later today 11:35 AM – 12:00 AM LPP EUV Source Development and Productization.

D. Brandt et al.

Page 19: Mon-820-EUV prague benschop oraleuvlsymposium.lbl.gov/pdf/2009/pres/Keynote1_Benschop_ASML.pdf · Slide 2 | Agenda • Why EUV •History • Key system technology • ASML system

Slide 19 |

More than 30 HVM mirrors have been fabricated: Considerable reduction of the flare has been achieved

POB = Projection Optics Box

8% flare

Flare is calculated for a 2 µm line in a bright field

0.05

0.10

0.15

0.20

0.25

0.30

0.35

0.40

0.45

0.50

0.55

2000 2001 2002 2003 2004 2005 2006 2007 2008 2009

MS

FR [n

m rm

s](e

valu

ated

ove

r 4.6

dec

ades

)

test mirror

Set 3

Set 1

Set 2

test mirror(MSFR opt.)

MET2 mirrors

on-axis

AD-tool6 mirrorsoff-axis

setup POB mirrors

16% flare tools

2)(MSFR/λ⋅∝ mirrorsnFlare

> 30 mirrors have been fabricated (all within this process

window)

Champion dataFigure: 45 pm MSFR: 68 pmHSFR: 70 pm

Development focuses on material, polishing, and figuring

Page 20: Mon-820-EUV prague benschop oraleuvlsymposium.lbl.gov/pdf/2009/pres/Keynote1_Benschop_ASML.pdf · Slide 2 | Agenda • Why EUV •History • Key system technology • ASML system

Slide 20 |

ADT HVM

50% throughput gain by enhanced reflectivity

PPT61%62%63%64%65%66%67%68%69%70%

Ref

lect

ivity

61%62%63%64%65%66%67%68%69%70%

Ref

lect

ivity

technology transfer

Multilayer reflective coatings

ADT

Close collaboration between academia and industry led to world-record multilayer coating technology

0 .0 %

1 0 .0 %

2 0 .0 %

3 0 .0 %

4 0 .0 %

5 0 .0 %

6 0 .0 %

7 0 .0 %

1 2 .7 5 1 3 1 3 .2 5 1 3 .5 1 3 .7 5 1 4 1 4 .2 5W a v e le n g th (n m )

Ref

lect

ance

R = 69.6%

• HVM Mirror coated at FOM, measured at PTB• Local angle of incidence (s-pol)

Page 21: Mon-820-EUV prague benschop oraleuvlsymposium.lbl.gov/pdf/2009/pres/Keynote1_Benschop_ASML.pdf · Slide 2 | Agenda • Why EUV •History • Key system technology • ASML system

Slide 21 |

Agenda

• History• Key system technology• ASML roadmap

system roadmapAlpha Demo ToolNXE platform

ongoing shrink• Infrastructure• Summary & conclusions

Page 22: Mon-820-EUV prague benschop oraleuvlsymposium.lbl.gov/pdf/2009/pres/Keynote1_Benschop_ASML.pdf · Slide 2 | Agenda • Why EUV •History • Key system technology • ASML system

Slide 22 |

Two full field scanning Alpha Demo tools installedTried and tested, proved and improved since 2006

Alliance

• λ 13.5 nm • NA 0.25• Field size 26 x 33 mm2

• Magnification 4x reduction• Sigma 0.5

• Single stage, 300mm wafers, linked to track• Single reticle load• Uses TWINSCAN technology (e.g. focus)• Reflective optics• Sn discharge source

Tuesday 9:30 AM – 9:50 AM EUV Lithography with the Alpha Demo Tools.

S. Lok et al.

Page 23: Mon-820-EUV prague benschop oraleuvlsymposium.lbl.gov/pdf/2009/pres/Keynote1_Benschop_ASML.pdf · Slide 2 | Agenda • Why EUV •History • Key system technology • ASML system

Slide 23 |

By EUV ADTBest image by 193i Litho(Double Dipole exposures)

EUV Lithography: SRAM - M1 Level

0.08 µm2 SRAM Flycell

Multiple customer imaging results with EUVFirst working DRAM, EUV pattern fidelity higher than for ArFi

Source: various SPIE presentations (Feb.’09)

ArFi DPT

EUVADTArFi

DPT

EUVADT

These are working 4x DRAM’s!!!

Page 24: Mon-820-EUV prague benschop oraleuvlsymposium.lbl.gov/pdf/2009/pres/Keynote1_Benschop_ASML.pdf · Slide 2 | Agenda • Why EUV •History • Key system technology • ASML system

Slide 24 |

Fully functional 22nm SRAM cell fabricated using EUVL

WL

WL

TPG

TPG TPD

TPU

TPD

TPU

B

GND

VDD

BB

GND

VDD

110nm

46nm 90nm

40-47nm

WL

WL

TPG

TPG TPD

TPU

TPD

TPU

B

GND

VDD

BB

GND

VDD

110nm

46nm 90nm

40-47nm

Electrically functional 0.099µm2 22nm node 6-T CMOS SRAM cells now demonstrated using EUVL

for contact and M1 level patterningSource: IMEC April ‘09

Wednesday 4:30 PM - 4:50 PMEUV Lithography Implementation on the Contact & Metal Interconnect Level of a 22nm Node 0.099 µm2 6T-SRAM Cell

A.-M. Goethals et al.

Page 25: Mon-820-EUV prague benschop oraleuvlsymposium.lbl.gov/pdf/2009/pres/Keynote1_Benschop_ASML.pdf · Slide 2 | Agenda • Why EUV •History • Key system technology • ASML system

Slide 25 |

EUV Product Roadmap

2006

NXE:3100Resolution = 27 nmNA = 0.25, σ = 0.8Overlay < 4.5 nmThroughput 60 WPH@ 10mJ/cm2

>100W

NXE:3300BResolution = 22 nmNA = 0.32, σ = 0.2-0.9Overlay < 3.5 nmThroughput 125 WPH@ 15mJ/cm2

>350W

2010

ADTResolution = 32 nmNA = 0.25, σ = 0.5Overlay < 7 nmThroughput 5 WPH@ 5mJ/cm2

~8W

2012 2013

NXE:3350CResolution = 16* nmNA = 0.32, OAIOverlay < 3 nmThroughput 150 WPH@ 15mJ/cm2

>550W

Platform enhancements1) Source power increase

* Requires <7nm resist diffusion length

Main improvements1) New EUV platform :NXE 2) Improved low flare optics 3) New high σ illuminator4) New high power LPP source5) Dual stages

Main improvements1) New high NA 6 mirror lens2) New high efficiency illuminator3) Off-Axis illumination option4) Source power increase5) Reduced footprint

Page 26: Mon-820-EUV prague benschop oraleuvlsymposium.lbl.gov/pdf/2009/pres/Keynote1_Benschop_ASML.pdf · Slide 2 | Agenda • Why EUV •History • Key system technology • ASML system

Slide 26 |

NXE:3100 modules being integrated in new EUV FABProject is on target for shipment mid 2010

Projection optics illuminator optics

Wafer stage Source

Tuesday 8:30 AM – 8:50 AM EUVL Into Production – Update on ASML’s NXE Platform.

C. Wagner, J. Stoeldraijer, D. Ockwell

Wednesday, October 21, 20092:20 PM – 2:40 PM Optics for EUV Lithography

P. Kuerz et al.

Page 27: Mon-820-EUV prague benschop oraleuvlsymposium.lbl.gov/pdf/2009/pres/Keynote1_Benschop_ASML.pdf · Slide 2 | Agenda • Why EUV •History • Key system technology • ASML system

Slide 27 |

Agenda

• History• Key system technology• ASML roadmap

system roadmapAlpha Demo ToolNXE platform

ongoing shrink• Infrastructure• Summary & conclusions

Page 28: Mon-820-EUV prague benschop oraleuvlsymposium.lbl.gov/pdf/2009/pres/Keynote1_Benschop_ASML.pdf · Slide 2 | Agenda • Why EUV •History • Key system technology • ASML system

Slide 28 |

EUV extendibility possible beyond 10 nm resolutiontrough increase the apertures up to 0.7

0.50.35

Unobscured Central obscuration

0.7Apperture

0.25

6 mirror 6 mirror 8 mirror 6 mirror 8 mirror

Reference: W.Kaiser et al, SPIE 2008 6924-4

Page 29: Mon-820-EUV prague benschop oraleuvlsymposium.lbl.gov/pdf/2009/pres/Keynote1_Benschop_ASML.pdf · Slide 2 | Agenda • Why EUV •History • Key system technology • ASML system

Slide 29 |

6.x nm wavelength would enable further shrinkShorter wavelengths have been investigated for lithography and other applications

(e.g. water window microscopy).Criteria are

coating bandwidth and reflectivityIn-band source power, resist sensitivity

Measured source and coating performance

Tight requirement on optics manufacturingLow spatial frequency => aberrations ~ λ-1

Mid spatial frequency => flare ~ λ-2

High spatial frequency => reflectivity-loss ~ λ-4

6.76.6 6.8 6.9Wavelength [nm]

SourceCE = 1.8% in 0.6% BW

ML coating250 periodNitridation La R = 41.5%

Banine, KoshelevPrivate communication

Tim Tsarfati, et al.Proc. SPIE 7271,(2009)

Page 30: Mon-820-EUV prague benschop oraleuvlsymposium.lbl.gov/pdf/2009/pres/Keynote1_Benschop_ASML.pdf · Slide 2 | Agenda • Why EUV •History • Key system technology • ASML system

Slide 30 |

Agenda

• History• Key system technology• ASML system roadmap• Infrastructure

Mask Resist

• Summary & conclusions

Page 31: Mon-820-EUV prague benschop oraleuvlsymposium.lbl.gov/pdf/2009/pres/Keynote1_Benschop_ASML.pdf · Slide 2 | Agenda • Why EUV •History • Key system technology • ASML system

Slide 31 |

DRAM/Flash Target

Mask developments on target Mask defects for memory meet R&D requirements

Data Source: Sematech, Sapporo 2007

1000

100

10

1

10000

defe

cts/

waf

er

Apr/02 Apr/03 Apr/04 Apr/05 Apr/06 Apr/07 Apr/08 Apr/09

Suppliers reported defectivitySemtechSupplier ASupplier B

Logic Target

Page 32: Mon-820-EUV prague benschop oraleuvlsymposium.lbl.gov/pdf/2009/pres/Keynote1_Benschop_ASML.pdf · Slide 2 | Agenda • Why EUV •History • Key system technology • ASML system

Slide 32 |

EUV Mask Inspection Tools are critical elementCommercial solutions being worked via SEMATECH WG

Lasertec M7360 (2nd Generation)

Lasertec M1350 (1st Generation)

SEMATECH Berkeley AIT

Selete MIRAI

SEMATECH Berkeley AIT

• 60 nm mask CD resolution

• Key for defect printability understanding

51 67Substrate & Blank AIMS & Patterned

Commercial AIMS Tool

3rd Generation Blank Inspection Bridge Capability

(3G )

2.5 Generation Substrate Inspection Tool (2.5G)

5341

4035

20

15

25

20

Defect Size [nm]

Pilo

tH

VMD

evel

opm

ent

15Commercial 3G Inspection Tool

<22nm Patterned Inspection

Optical PMI

Optical PMIAIMS Bridge

Capability

Optical Tools Actinic Tools

M1350 & M7360 @

SEMATECH

Subs

trat

e

Bla

nk

ABC = Existing Tools = SEMATECH Pilot Line Tools = Production Tools

Page 33: Mon-820-EUV prague benschop oraleuvlsymposium.lbl.gov/pdf/2009/pres/Keynote1_Benschop_ASML.pdf · Slide 2 | Agenda • Why EUV •History • Key system technology • ASML system

Slide 33 |

Semi compliant EUV reticle pods keep reticle clean

0.060Pump and Vent

1100

370

Cycles

0.000EIP Open/Close

0.00Complete Cycle

Adders per cycle

No 50nm particles added

No outgassingmeasurement after 10 hours

Species spec [mbar l/s] measurement [mbar l/s]H20 <5x10-6 < lower detection limit (LDL)CxHy: 45-100 AMU <2x10-8 < LDL <5 10-9

CxHy: 100-200 AMU < 2x10-9 < LDL < 5 10-10

Page 34: Mon-820-EUV prague benschop oraleuvlsymposium.lbl.gov/pdf/2009/pres/Keynote1_Benschop_ASML.pdf · Slide 2 | Agenda • Why EUV •History • Key system technology • ASML system

Slide 34 |

E-beam test enables fast and low cost resist screening

Streaming resist-coated Cu tape

EUV lightWitness mirror

M1

Witness mirror M2

CxHy:R

EUV photon e-beam

0

0.5

1

1.5

2

2.5

0 1 2 3 4 5 6 7 8Carbon thickness grown with E-gun [nm]

EUV

refle

ctiv

ity lo

ss [%

]

Page 35: Mon-820-EUV prague benschop oraleuvlsymposium.lbl.gov/pdf/2009/pres/Keynote1_Benschop_ASML.pdf · Slide 2 | Agenda • Why EUV •History • Key system technology • ASML system

Slide 35 |

EUV resist progress is steady and supplier roadmaps match our targetsMeasured historic resist performance translated to expected imagingusing proven relation between resolution , LER and dose,for following cases:

NXE:3100: NA=0.25, conv. Illumination, 10mJ/cm2 7% LERNXE:3300: NA=0.32, conv. Illumination, 15mJ/cm2 7% LERNXE:3300: NA=0.32, off axis Illumination, 15mJ/cm2 7% LER

15

20

25

30

35

40

45

50

Jan-03Jan-04Jan-05Jan-06Jan-07Jan-08Jan-09Jan-10Jan-11Jan-12Jan-13Jan-14

Res

olut

ion

[nm

]

3100

intr

o

3300

intr

o

Koen van Ingen Schenau, ASML, private communication

( )5 20

301

23

100 LRR

DoseDLWRLresolutionR

ConstDLR

⋅⋅=

===

=⋅⋅

Page 36: Mon-820-EUV prague benschop oraleuvlsymposium.lbl.gov/pdf/2009/pres/Keynote1_Benschop_ASML.pdf · Slide 2 | Agenda • Why EUV •History • Key system technology • ASML system

Slide 36 |

Agenda

• History• Key system technology• ASML system roadmap• Infrastructure• Summary & conclusions

Page 37: Mon-820-EUV prague benschop oraleuvlsymposium.lbl.gov/pdf/2009/pres/Keynote1_Benschop_ASML.pdf · Slide 2 | Agenda • Why EUV •History • Key system technology • ASML system

Slide 37 |

Summary & conclusions

• EUV lithography is considered as the only viable cost effective Next Generation Lithography for 22nm and beyond.

• Extension of existing wavelength become increasingly difficult hence EUV transition will happen within the next few years.

• Yet much remains to be done: in particular the mask infrastructure needs a boost.

• Given the very large worldwide momentum (which will be made clear throughout this symposium) ASML is confident transition to EUV will be successful.

Page 38: Mon-820-EUV prague benschop oraleuvlsymposium.lbl.gov/pdf/2009/pres/Keynote1_Benschop_ASML.pdf · Slide 2 | Agenda • Why EUV •History • Key system technology • ASML system

Slide 38 |

Acknowledgements

The work presented has been the result of a hard work by teams at many technology partners worldwide over many years with a common goal to make EUV lithography happen.

ASML and partners are grateful to the Dutch, German and French governments and the European Commission for their financial contributions and to MEDEA+ association.

EUREKA