Top Banner
MICROWAVE & OPTICAL ENGINEERING EC T72 C.THIAGARAJAN-AP/ECE DEPT. Page 1 UNIT- I PART-A 1. Define Gunn Effect. Gunn diode are negative resistance devices which are normally used as lowpower oscillator at microwavve oscillation in gallium arsenide , indium phosphide and candium telluride. The frequency of oscillation was determined mainly by the specimen and not by the external circuit. 2. What is the necessary condition for an IMPATT to produce oscillations? An IMPATT diode (Impact ionization Avalanche Transit-Time) is a form of high power diode used in high-frequency electronics and microwave devices. The IMPATT diode family includes many different junctions and metal semiconductor devices. 3. List the differences between microwave transistor and TED devices. Step recovery diodes, transistors, tunnel diodes & TEDs are active microwave devices that generate power or amplify microwave signals. 4. What are the advantages of parametric amplifier? Microwave and audio parametric amplifiers were used as low-noise amplifiers, to process low-level signals. Therefore their non-linearity probably never occurred in use 5. What is meant by avalanche transit time device? Negative resistance is achieved by creating a delay (1800 Phase shift) between the voltage and current. Delay is achieved by, Delay in generating the avalanche current multiplication & Delay due to transit time through the material. So this time is called Avalanche transit time (ATT) devices 6. Mention the applications of PIN diode. RF switches, Attenuators, Photo detectors, Phase shifters 7. What is a parametric amplifier? Parametric amplification is a phenomenon where a signal can be amplified using a parametric nonlinearity and a pump wave. This focuses on optical amplification, even though there are also electronic parametric amplifiers, used e.g. for microwaves . 8. What is the theory of a negative resistance amplifier? A device exhibiting negative resistance can be used to amplify a signal and this is an especially useful technique at microwave frequencies. Such devices do not present as pure negative resistance at these frequencies (in the case of the tunnel diode a large parallel capacitance is also present) and a matching filter is usually required. The reactive components of the device's equivalent circuit can be absorbed into the filter design so the circuit can be represented as a pure resistance followed by a band pass filter.
47

Microwave & Optical Engineering Question Bank

Nov 06, 2015

Download

Documents

Thiagu Rajiv

Question Bank for Microwave & Optical engineering subject
Welcome message from author
This document is posted to help you gain knowledge. Please leave a comment to let me know what you think about it! Share it to your friends and learn new things together.
Transcript
  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 1

    UNIT- I

    PART-A

    1. Define Gunn Effect. Gunn diode are negative resistance devices which are normally used as lowpower oscillator at

    microwavve oscillation in gallium arsenide , indium phosphide and candium telluride. The frequency of

    oscillation was determined mainly by the specimen and not by the external circuit.

    2. What is the necessary condition for an IMPATT to produce oscillations?

    An IMPATT diode (Impact ionization Avalanche Transit-Time) is a form of high power diode used in high-frequency electronics and microwave devices.

    The IMPATT diode family includes many different junctions and metal semiconductor devices.

    3. List the differences between microwave transistor and TED devices.

    Step recovery diodes, transistors, tunnel diodes & TEDs are active microwave devices

    that generate power or amplify microwave signals.

    4. What are the advantages of parametric amplifier?

    Microwave and audio parametric amplifiers were used as low-noise amplifiers, to process

    low-level signals. Therefore their non-linearity probably never occurred in use

    5. What is meant by avalanche transit time device?

    Negative resistance is achieved by creating a delay (1800 Phase shift) between the voltage

    and current. Delay is achieved by, Delay in generating the avalanche current multiplication &

    Delay due to transit time through the material. So this time is called Avalanche transit time

    (ATT) devices

    6. Mention the applications of PIN diode.

    RF switches,

    Attenuators,

    Photo detectors,

    Phase shifters

    7. What is a parametric amplifier?

    Parametric amplification is a phenomenon where a signal can be amplified using a

    parametric nonlinearity and a pump wave. This focuses on optical amplification, even though

    there are also electronic parametric amplifiers, used e.g. for microwaves.

    8. What is the theory of a negative resistance amplifier?

    A device exhibiting negative resistance can be used to amplify a signal and this is an especially useful technique at microwave frequencies. Such devices do not present as pure negative

    resistance at these frequencies (in the case of the tunnel diode a large parallel capacitance is also

    present) and a matching filter is usually required.

    The reactive components of the device's equivalent circuit can be absorbed into the filter design so the circuit can be represented as a pure resistance followed by a band pass filter.

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 2

    9. Explain how a tunnel diode can be used as a amplifier.

    A circuit showing how a tunnel diode can amplify a signal using negative differential

    resistance. The DC voltage Vb biases the tunnel diode TD into its negative resistance region and

    also supplies the power used in amplifying the signal. For stability the resistor R must be less

    than the diodes negative resistance r. Therefore the total resistance of both devices in series, r - R

    is a small negative value.

    10. Mention the main application of IMPATT diode.

    It can be used as transmitter for microwave communication systems.

    11. State the performance characteristics of IMPATT and TRAPATT diode.

    IMPATT

    Efficiency=30%

    Frequency=1 to 300 GHz

    Pulsed Power= 4 KW TRAPATT

    Efficiency=15 to 50%

    Frequency=3 to 50 GHz

    Power= 1 to 3 KW.

    12. Write the applications of Tunnel Diode.

    Used in self excited mixers.

    High speed switching and logic operations.

    Low power oscillators.

    13. What are the applications of reflex klystron?

    Signal source in microwave generators.

    Local oscillators in receivers.

    Pump oscillators in parametric amplifiers

    14. What is the purpose of slow wave structures used in TWT amplifiers?

    Operation is similar to that of a klystron, except that coupled-cavity TWTs are designed

    with attenuation between the slow-wave structure instead of a drift tube. The slow-wave

    structure gives the TWT its wide bandwidth. A free electron laser allows higher frequencies.

    15. State the applications of TWT.

    TWT are an ideal form of RF amplifier, commonly used in satellite transponders where low levels signals are received and need to be retransmitted at much higher levels.

    In additions to this TWT amplifier are used in microwave radar systems where they are able to produce the high levels of power required.

    Traveling wave tube, TWT technology is also used for electronic warfare applications. In these applications the grid on the traveling wave tube may be used to pulse the transmission.

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 3

    16. Define Microwave active device.

    Any device that capable of generating, amplifying, modifying, detecting, or measuring

    microwaves, or voltages having microwave frequencies then it is called as microwave active

    device.

    17. What is PIN diode?

    A PIN diode is a diode with a wide, undoped intrinsic semiconductor region between a p-

    type semiconductor and an n-type semiconductor region. The p-type and n-type regions are

    typically heavily doped because they are used for ohmic contacts.

    18. What is the function of IMPATT DIODE?

    An IMPATT diode (IMPact ionization Avalanche Transit-Time diode) is a form of high-

    power semiconductor diode used in high-frequency microwave electronics devices.

    19. What is the function of TRAPATT Diode?

    A pn junction diode, similar to the IMPATT diode, but characterized by the formation of

    trapped space-charge plasma within the junction region; used in the generation and amplification

    of microwave power. Derived from trapped plasma avalanche transit time diode.

    20. What is diode? A semiconductor device with two terminals, typically allowing the flow of current in one

    direction only.

    21. What is the operation of tunnel diode? a two-terminal semiconductor diode using tunnelling electrons to perform high-speed

    switching operations.

    22. What is the operation of Avalanche diode oscillator? An avalanche diode is a diode (made from silicon or other semiconductor) that is

    designed to go through avalanche breakdown at a specified reverse bias voltage.

    23. Define FET. The field-effect transistor (FET) is a transistor that uses an electric field to control the

    shape and hence the conductivity of a channel of one type of charge carrier in a semiconductor

    material.

    24. What are the different types of FET? There are several types of FET are available. They are JFET, MOSFET, HIGFET, IGBT,

    BIOFET, DEPFET etc.,

    25. What is Cavity? A microwave cavity or radio frequency (RF) cavity is a special type of resonator,

    consisting of a closed (or largely closed) metal structure that confines electromagnetic fields in

    the microwave region of the spectrum.

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 4

    26. Define microwave spectrum. Microwaves are not visible, not heard and also not sensed by human beings. Microwaves

    are electromagnetic waves whose frequencies range from approximately 0.3GHz to 100GHz

    27. What are the various microwave frequency range available? Frequency range

    1-2 GHz

    2-4 GHz

    4-8 GHz

    8-12 GHz

    12-18 GHz

    18-26 GHz

    26-40 GHz

    28. What are the different microwave frequency bands? Frequency bands

    L band

    S band

    C band

    X band

    Ku band

    K band

    Ka band

    29. List the characteristics of microwave. Frequency is high and wavelength is small.

    They propagate in free space freely (means that attenuation in free space is small).

    They are transmitted through good dielectrics (insulators).

    They are reflected from good conductors (means that they do not penetrate in good conductors).

    30. What are the advantages of microwaves? Large bandwidth

    High directivity of microwave radiation pattern.

    Antennae size becomes small and low fading effect.

    Propagate through ionosphere provide effective satellite communication.

    31. List the applications of microwaves To communicate efficiently between two points, it is important that the transmitted signal be

    sharply focused and aimed at the receiving antennae. Since microwave frequencies have this

    ability they are ideally suited for wireless type point to point communication.

    The combination of satellites and point to point microwave transmission results in the ability

    to communicate between continents.

    In radar system microwaves are used to detect aircraft, guide supersonic missiles, observe

    and traffic weather patterns and control flight traffic at airports.

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 5

    32. What is velocity modulation? Velocity modulation is then defined as that variation in the velocity of a beam of electrons caused

    by the alternate speeding up and slowing down of the electrons in the beam.

    33. What is repeller? Repeller is nothing but a small setup exists in the reflex klystron in order to resist effectively the

    incoming signal.

    34. What is repeller voltage? Repeller voltage is defined as a voltage used for the reflex klystron in order to resist effectively

    the incoming voltage that passes through.

    35. What is the purpose of accelerating grid? It is a special setup used in reflex klstron and two cavity klystron for the purpose of pushing the

    electrons which originated from electron gun. an accelerating grid is an accelerating electrode in the

    form of a grid

    36. Define electron gun An electron gun (also called electron emitter) is an electrical component in some vacuum tubes

    that produces a narrow, collimated electron beam that has a precise kinetic energy.

    37. What is an accelerating anode? In an electron tube, such as a klystron or CRT, the electrode to which a high positive voltage is

    applied, which accelerates the electrons in the beam. Also called accelerating anode, or accelerator

    38. Define buncher cavity It is a special setup used to accumulate the entire electron beam. A signal applied at the buncher

    grids will be amplified if the feedback path is removed.

    39. Define catcher cavity The function of the catcher grids is to absorb energy from the electron beam. The bunches of

    electrons passing through excite standing waves in the cavity, which has the same resonant frequency as the buncher cavity.

    40. What is drift space? A space in an electron tube which is substantially free of externally applied alternating fields and

    in which repositioning of electrons takes place.

    41. What device is used to generate high microwave power? Magnetron oscillator is is used to generate high microwave power

    42. What is centrifugal force? a force, arising from the body's inertia, which appears to act on a body moving in a circular path

    and is directed away from the centre around which the body is moving.

    43. What are the variables exists in RF structure of magnetron? N=Total no of carriers

    L=mean separation b/w cavities

    N= integer indicating nth mode of oscillation

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 6

    44. List the applications of magnetron. RADAR transmitters

    Microwave Owens

    Industrial heating

    45. Define Helix. An object having a three-dimensional shape like that of a wire wound uniformly in a single layer

    around a cylinder or cone, as in a corkscrew or spiral staircase.

    46. What is resonant cavity? A cavity resonator, usually used in reference to electromagnetic resonators, is one in which waves

    exist in a hollow space inside the device. Acoustic cavity resonators, in which sound is produced by

    air vibrating in a cavity with one opening, are known as Helmholtz resonators.

    47. What is modulation control voltage? Modulation technique can be used to encode information for transmission; its main use is to allow

    the control of the power supplied to devices.

    48. Define collector supply voltage. As the input voltage increases, so does the output voltage. Moreover, a close examination reveals

    that the output voltage is nearly identical to the input voltage, lagging behind by about 0.7 volts.

    49. What is microwave solid state device? BJT

    HBT hetero bipolar junction transistor

    Tunnel diode

    50. List the different types of TED. JFET

    MOSFET

    HEMT

    MESFET

    NMOS,PMOS,CMOS

    Memories

    CCD

    51. List the types of Avalanche transistor diode. Read diode

    IMPATT diode

    TRAPATT

    BARITT

    52. What is HEMT? It is nothing but a semiconductor solid transistor that stands for HEMT-High electron mobility

    transistors. It is also used to produce the microwave signal.

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 7

    53. List the features of BJT microwave bipolar transistor. Bipolar transistor are used s amplifier and oscillators

    They are used in L&S microwave band

    They are operated as class C amplifiers

    Their efficiency is about 50%

    They are used to get a powergain of about 10db.

    54. What is TED? Gunn diode can also be denoted as tranferred electron devices. they are negative resistance

    devices which are normally used as lowpower oscillator at microwavve oscillation in gallium arsenide

    , indium phosphide and candium telluride.

    55. What is doping? Doping is nothing but a process of adding impurities in to the system; therefore it is called as

    doping

    56. Draw the diagram of Gunn diode in an electrical circuit.

    57. List the salient features of Gunn diode.

    It is an avalanche transit time device

    It can be made with germanium , silicon and gallium arsenide

    It is useful up to 100ghz

    Its efficiency is less than 30%

    It is useful at microwave, millimeter an sub millimeter wave frequencies

    It is used in digital, analogue communications and radar systems.

    It can be used as an amplifier or oscillator

    58. What are the advantages of Impatt diode? It is wideband

    Pulse power is high

    Suitable for high frequency

    59. List the disadvantages of Impatt diode. It is a noisy device

    Tuning range is not high

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 8

    60. Write the salient features of Impatt diode. Its structure has p+ nn+ (or) n+ p p+.

    It is a high efficiency diode oscillator.

    Its oscillations depend on the delay in the current caused by the avalanche process.

    It can be operated over a range of 400MHZ to 12MHZ.

    It has an efficiency of 20-40%.

    61. What are the applications of Trapatt diode? It is used in lower power Doppler radars.

    As local oscillators in different radar phased array radars etc.In ratio altimetors.

    In microwave beacons and landing systems.

    62. List the advantages of Trapatt diode. Its efficiency is relatively high.

    It can be used over a frequency range of few hundred MHZ to several MHZ.

    63. Write the disadvantages of Trapatt diode. It has high noise figure.

    Its use at upper microwave frequencies is limited.

    It generates strong harmonics due to short duration current pulse.

    64. What is Baritt diode? Barrier injected transit time diode. It is a special microwave active device. It is low noise

    microwave oscillator, but it is used as amplifier rather than oscillator.

    65. Draw the equivalent circuit diagram of parametric amplifier.

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 9

    UNIT-II

    PART-A

    1. State Faradays rotation law. If a circularly polarized wave is made to pass through a ferrite rod which has been

    influenced by an axial magnetic field B, then the axis of polarization gets tilted in clockwise

    direction and amount of tilt depends upon the strength of magnetic field and geometry of the

    ferrite.

    2. State the properties of S matrix.

    It possess symmetric property sij =sji

    It possess unitary property [s][s]*=[i]

    3. What are the reasons that low frequency parameters cannot be measured in

    microwaves?

    Bandwidth, improved directivity with an aerial array, reliability, power requirement

    4. State the two parameters that describe a directional coupler? Define them.

    The important parameters of a directional coupler are: Coupling factor and Coefficient.

    A directional coupler is a useful hybrid wave guide joint which couples power in a auxiliary wave guide arm in one direction.

    5. What is Tee junction? Give two examples

    In Microwave circuits a wave guide or coaxial junction with three independent ports is

    referred to as Tee junction. Eg: E- Plane Tee, H-plane Tee

    6. What is S matrix and write the S matrix of N port network?

    7. What is meant by hybrid coupler?

    A hybrid coupler is a passive device used in radio and telecommunications. It is a type of

    directional coupler where the input power is equally divided between two output ports. Since it is

    a special case of directional coupler, it is discussed in Power dividers and directional couplers.

    8. What are hybrid rings?

    Hybrid ring consists of an annular line of proper electrical length to sustainstanding

    waves, to which four arms are connected at proper intervals by means of series or parallel

    junctions.

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 10

    10. List the parameters that determine the performance of a directional coupler.

    Coupling coefficient

    Directivity

    Insertion loss

    Isolation.

    11. What are the advantages of ABCD matrix?

    They are used in power transmission lines.

    They are very helpful in the case of cascade networks.

    12. Define one port network.

    A one port circuit is a circuit for which power can enter or leave through a single

    waveguide or transmission lines.

    13. Define s-matrix.

    At microwave frequencies the measurable quantities are power, reflection coefficient,

    transmission coefficient and standing wave ratio. A linear relation between input and output of a

    microwave network in terms of precisely measurable parameters is called scattering description

    (or scattering matrix is a matrix made up of scattering coefficients).

    14. What is ABCD matrix?

    ABCD matrix is a transmission matrix. These parameters express voltage and current at

    output in terms of those at input port.

    V1=AV2-BI2

    I1=CV2-DI2

    15. Give an example for a two port Microwave device.

    Small signal models for transistors (such as the hybrid-pi model), filters

    Matching networks.

    16. What are scattering matrix parameters? the scattering matrix of an m-port junction is a square matrix of a set of elements which relate

    incident and reflected waves at the port of the junction

    17. What are the characteristics of S parameter?

    It describes any passive microwave component.

    It exists for linear passive and time invariant networks.

    It gives complete information on reflection and transmission coefficients.

    18. What are the various losses occur in microwave circuts?

    Insertion loss(dB)

    Reflection loss(dB)

    Return loss(dB)

    19. Define insertion loss

    Insertion loss is the loss of signal power resulting from the insertion of a device in a

    transmission line or optical fiber and is usually expressed in decibels (dB).

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 11

    20. What is reflection loss?

    Reflection loss is the loss of power in the signal returned/reflected by a discontinuity in a

    transmission line or optical fiber. This discontinuity can be a mismatch with the terminating load

    or with a device inserted in the line. It is usually expressed as a ratio in decibels (dB);

    21. What is return loss?

    It is the ratio of the light reflected back from a device under test, Pout, to the light

    launched into that device, Pin, usually expressed as a negative number in dB.

    22. What is transmission loss?

    Transmission loss (TL) (more specifically in duct acoustics) is defined as the difference

    between the power incident on a duct acoustic device (muffler) and that transmitted downstream

    into an anechoic termination.

    23. What is reciprocal network?

    A reciprocal device has the same transmission characteristics in either direction of a pair

    of ports and is characterized by a symmetric scattering matrix

    24. What is the condition for impedance of network?

    The impedance Z of a network is given by

    [V] = [Z][I]

    25. Define phase shift property.

    Phase shift is a term describing the displacement of two signals in time. When we

    described the two sides of a balanced line as being of opposite polarity, we could have said that

    they are 180 degrees out of phase with each other.

    26. What is incident wave?

    A wave that strikes a boundary where it is either reflected or refracted. Incoherent light:

    light consisting of waves that are not in step. Independent variable: variable that is manipulated

    or changed in an experiment. Index of refraction: ratio of the speed of light in vacuum to its

    speed in a material.

    27. What is reflected wave?

    Common examples include the reflection of light, sound and water waves. The law of

    reflection says that for specular reflection the angle at which the wave is incident on the surface

    equals the angle at which it is reflected.

    28. Define zero property of S matrix.

    If the diagonal S-matrix elements are all zero, there is no reflection from any port when

    the other three ports are terminated.

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 12

    29. Draw the structural diagram of TEE-series.

    30. Draw the structural diagram of TEE-shunt.

    31. What is network?

    A given network can also be characterized by the type of data transmission technology in

    use on it by whether it carries voice, data, or both kinds of signals; by who can use the network

    32. Define port. Port is location where gets the input and produces the output. It may be differ with its

    numbers. For example 2 port, 3 port and Hybrid port etc.

    33. What is circulator?

    A circulator is a microwave passive multiport device in which the incident wave at port 1

    is coupled to port 2 only. Incident wave at port 2 is coupled to port 3 only and so on.

    34. What are the applications of circulator?

    It is used to separate the input and output in negative resistance application.

    It is used to couple a transmitter and receiver to a common antenna.

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 13

    35. List the various forms of Matrices. The different forms of matrices are S-MATRIX, Z MATRIX & Y MATRIX.

    36. Draw the structural diagram of magic Tee.

    37. What is collinear arm?

    The magic tee is a combination of E and H plane tees. Arm 3 forms an H-plane tee with

    arms 1 and 2. Arm 4 forms an E-plane tee with arms 1 and 2. Arms 1 and 2 are sometimes called

    the side or collinear arms.

    38. Write the S matrix for magic tee.

    39. Write the applications of magic tee. The magic tee is used for

    Mixing

    Duplexing

    Producing sum and difference signals.

    Impedance measurement.

    To couple two transmitted to the antenna without loading.

    40. Define directional coupler.

    It is a passive four port device. It consists of a primary waveguide 1-2 and a secondary

    waveguide 3-4. The guides 1-2 and 3-4 are identical. Any one of them can be used as primary

    and the other act as auxiliary guide. The direction coupler is said to be consisting of main arm

    and an auxiliary arm.

    41. What are the various types of DC?

    1. Two hole directional coupler

    2. Bethe hole directional coupler

    3. Four hole directional coupler

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 14

    42. Define coupling factor.

    It is defined as the ratio of input power and output power at auxiliary arm.

    43. What is directivity? It is defined as the ration of power in the auxiliary arm due to power in forward direction

    to the power at the same port due to power in the reverse direction.

    44. Define transmission factor. The transmission factor is nothing but when wave propagation in a medium containing

    discontinuities is considered. A transmission coefficient describes the amplitude, intensity, or

    total power of a transmitted wave relative to an incident wave.

    45. What is an isolator? An isolator is a two port non-reciprocal device which produces a minimum attenuation to

    wave propagation in one direction and very high attenuation in the opposite direction

    46. What are 2 port networks? A two-port network (a kind of four-terminal network or quadruple) is an electrical network

    (circuit) or device with two pairs of terminals to connect to external circuits.

    47. What are the advantages of S over Z?

    In microwave techniques, the source remains ideally constant in power, regards of circuit

    changes besides frequency measurement the only other possible measurement parameters are

    VSWR, power and phase. This essentially direct correspondence is not possible with [Z]

    &[Y] representation.

    The unitary property of [S] helps a quick check of power balance for lossless structures. No

    such immediate check is possible with [Z] or[Y].

    48. Define polarization. Polarization (also polarisation) is a property of waves that can oscillate with more than one

    orientation. Electromagnetic waves such as light exhibit polarization, as do some other types of wave, such as gravitational waves.

    49. What is attenuation?

    Attenuation is a general term that refers to any reduction in the strength of a

    signal. it occurs with any type of signal, whether digital or analog. Sometimes called loss

    and it is a natural consequence of signal transmission over long distances.

    50. What are the advantages of ABCD matrix? 1. They are used in power transmission lines.

    2. They are very helpful in the case of cascade networks.

    51. What is necessity for converting ABCD to S parameter? In order to get the lossless condition, always ABCD parameter will convert into S parameter.

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 15

    52. What is unitary property? For any lossless network the sum of the products of each term of any one row or of any

    column of the S matrix multiplied by its complex conjugate. For lossless n port device, the total power rating N port must be equal to the total power input to these ports.

    53. What is transmission matrix? When a number of microwave devices are connected in cascade. Each junction is represented by

    a transmission matrix which gives the output quantities in terms of input quantities.

    54. What is incident power? Incident power is defined as a Product of the outgoing current and voltage, from a transmitter,

    traveling down a transmission line to the antenna

    55. What are the two types of terminations? They are Matched load and Variable short circuit

    56. What is impedance? The effective resistance of an electric circuit or component to alternating current, arising from the

    combined effects of ohmic resistance and reactance.

    57. What is admittance? It is defined as a measure of electrical conduction, numerically equal to the reciprocal of the

    impedance.

    58. Define transmission coefficient. The transmission coefficient is represented as when wave propagation in a medium containing

    discontinuities is considered. A transmission coefficient describes the amplitude, intensity, or total

    power of a transmitted wave relative to an incident wave. Hence it is called as transmission

    coefficient.

    59. Define matrix. A square array of complex numbers consisting of the transmission and reflection coefficients of a

    waveguide junction. A matrix which expresses the initial state in a scattering experiment in terms of the possible final states. Also known as collision matrix; S matrix.

    60. What is Magic TEE? It is a four port device and it is also called magic tee because of its unusual characteristics. Its

    four arms are two side arms, shunt arm and series arm. The shunt arm is called H-arm and series

    arm is called E-arm. The side arms are called collinear arms. It is used to produce sum and

    difference signal simultaneously.

    61. List two microwave devices using faraday rotation principles The two types of Faraday rotation principle uses devices are Isolator, Circulator

    62. What are power dividers?

    Power dividers are used to divide the input power into a number of smaller amounts of power for

    exciting the radiating elements in an array antenna.

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 16

    63. Give the applications of directional coupler

    Unidirectional power measurement

    SWR measurement

    Unidirectional wave launching

    Reflect meter

    Balanced duplexer

    64. What are junctions? Give some examples

    A microwave circuit consists of several microwave devices connected in some way to achieve the

    desired transmission of MW signal. The interconnection of two or more microwave may be regarded

    as MW junction.

    Eg: Magic Tee, Hybrid Ring

    65. What is hybrid ring?

    Hybrid ring consists of an annular line of proper electrical length to sustain standing waves, to which

    four arms are connected at proper intervals by means of series or parallel junctions.

    UNIT-III

    PART-A

    1. What is Bolometer?

    It is a power sensor whose resistance change with changed temperature as it absorbs the

    microwave power. It is a short thin metallic wire sensor with positive temperature coefficient of

    resistance.

    2. What is transit time?

    It is the time taken by the electro to travel from cathode to the plate of an electrode.

    3. State the applications of magnetron.

    Pulse work in Radar.

    Linear particle accelerators.

    4. What are the methods to detect microwave power?

    Balometer method

    Calorimeter method

    5. What is meant by duty cycle?

    When you change the power setting on most microwaves, it doesn't change the power

    output of the magnetron. It changes the duty cycle, i.e. when the magnetron is on and when it is

    off.

    6. How are microwave measurements different from low frequency measurements?

    The low-frequency measurements are direct capacitance measurements performed with

    an LCR meter and the capacitance determination at microwave frequencies is done by extracting

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 17

    the capacitance from S-parameter measurement results. Several discrete and monolithically

    integrated Schottky diodes are measured with both techniques and the differences of the

    techniques are discussed in the view of the obtained results.

    7. State the four types of TWT.

    Broadband, Low noise, Low level amplifiers.

    CW power TWT

    Pulsed TWT

    Dual mode TWT

    8. How the Klystron amplifier can act as Klystron oscillator?

    When the klystron amplifier is given a positive feedback such that the overall phase shift

    becomes 0 or 360. Then the klystron amplifier acts as an oscillator.

    9. Why magnetron is called as cross field device?

    In cavity magnetron, there exist radial electric field and an axial magnetic field

    perpendicular to each other and hence magnetron is called as a cross field device.

    10. List any two methods of measuring impedance of a terminating load in a microwave

    system.

    Slotted line

    Reflectometer

    11. Give the main classifications of magnetron.

    Negative resistance

    Cyclotron frequency

    Travelling wave.

    12. What is a VSWR meter?

    VSWR meter is a highly sensitive, high gain, high theta, low noise voltage amplifier

    tuned normally at fixed frequency of 1KHZ of which microwave signals modulated. This meter

    indicates calibrated VSWR reading fir any loads.

    13. Define insertion loss.

    It is defined as difference in power arriving at the terminating load with or without the

    network in circuit.

    14. How do you measure microwave frequency?

    Wave meter method

    Slotted line method

    Down conversion method

    15. List the methods for measuring dielectric constants.

    Waveguide method

    cavity perturbation method

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 18

    16. Define VSWR

    Voltage standing wave ratio is defined as the ratio of maximum voltage to the minimum

    vottage VSWR=Vmax/Vmin

    17. What is Gyrator? Gyrator is a two port device which provides a relative phase shift of 180degree for

    transmission from port 1 to port 2 as compared to the phase for transmission from Port2 to port

    1.

    18. Define phase focusing effect. The bunching of electrons in known as Phase focusing effect This effect is important

    because without it, favored electrons will fall behind the phase change of electric field across the

    gaps. Such electrons are retarded at each interaction with the R.F field in magnetron.

    19. What is meant by strapping? The magnetron has eight or more coupled cavity resonators and hence several modes of

    oscillation are possible. The oscillating frequency of different modes is not same and is quite

    close to each other which results in mode jumping.

    20. List some of power detecting elements? 1. Schottky diode

    2. baretter

    3. thermistor

    4. Thermocouple

    21. What is microwave detector? Microwave detectors are the instruments used to detect the presence of microwave power are

    a microwave circuit.

    22. What is tunable detector? The tunable detectors are used to demodulate the signal and couple the required output to

    high frequency scope analyzer. The low frequency demodulated output is detected using non

    reciprocal detector diode mounted in the microwave transmission line.

    23. What is the main purpose of slotted section with line carriage? 1. for determination of location of voltage standing wave maxima and minima along the line.

    2. Measure the VSWR and standing wave pattern.

    3. Wavelength.

    4. Impedance.

    5. Reflection co efficient

    6. Return loss measurement.

    24. What is calorimeter? It is convenient device setup for measuring the high power at microwave which involves

    Conversion of microwave energy in to heat, absorbing the heat in a fluid and determine the temp.

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 19

    25. Mention the disadvantages of single bridge circuit? 1. Change in resistance due to mismatch at the microwave input port results in incorrect

    reading

    2. The thermistor is sensitive to change in the ambient temp resulting in false readings

    26. Define insertion loss? It is defined as difference in power arriving at the terminating load .with or without the

    network in circuit

    Insertion loss (db)=10 log(po/pi)

    27. How will you determine the vswr and return loss in reflecto meter method? The voltage ratio between port3 or port4 is known reflecting coefficient (T) determined we

    determine VSWR and return loss as

    VSWR= (1+T)/(1-T)

    Return loss=-20 log (T)

    28. List the different types of Impedance measurement methods? 1. Slotted line method

    2. Reflectometer method

    3. Reactor deconstructed method

    29. How do you measure microwave frequency? 1. Wave meter method

    2. Slotted line method

    3. down conversion method

    30. What is a wave meter? It is a device used for frequency measurement in microwave .It has cylindrical cavity with a

    variable short circuit termination .It changes the resonant frequency of cavity by changing Cavity

    length.

    31. Define dielectric constant? It is defined by the ratio of permittivity of medium to permittivity of free space.

    xr=x/xo=((10^-9)/36p)

    32. How the S-parameter of a microwave circuit measured? S-parameters are conveniently measured using the decamps method which utilizes the

    measured value of complex input reflection coefficient under a number of a reactive

    terminations.

    33. List the methods for measuring dielectric constants? 1. Waveguide method

    2. Cavity perturbation method

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 20

    34. What is radiation pattern? Radiation pattern is a representation of radiation characteristics of an antenna which is a

    function of elevation angle azimuth angle for a constant radial distance and frequency.

    35. What is radiation efficiency? Radiation efficiency is defined as the ratio of total power radiated to total power accepted at

    its input.

    36. How do you measure the polarization? The polarization of an antenna is measured using transmitting mode and probing the

    polarization by a dipole antenna in the the dipole is rotated in the plane of polarization and the

    received voltage pattern is recorded.

    37. What is spectrum analyzer? Spectrum analyzer is a broad band super heterodyne receiver which is used to display a wave

    in frequency domain additionally, power measurements, side bands can also be observed.

    38. .List the types of spectrum analyzer (i)Real time spectrum analyzer

    (ii) Swept tuned frequency spectrum analyzer

    39. List some application of spectrum analyzer.

    Identifying frequency terms and their power levels

    Measuring harmonic distortion in a wave

    Determine type of wave modulation

    Signal to noise ratio

    For identifying wave distortion

    40. What is transit time?

    The time taken by an electron to travel from the cathode to the anode plate of an electron tube is

    known as transit time

    41. Write the classification of microwave tubes.

    They are classified into two types 1) Otype microwave tube or linear beam 2) Mtype microwave tube

    42. Name the two configuration of klystron

    There are two basic configurations of Klystron tube

    1) Reflex Klystron: It is used as low power microwave oscillator

    2) Two cavity (or) Multicavity Klystron: It is used as low power microwave amplifier.

    43. What is drift space?

    The separation between buncher and catcher girds is called as drift space.

    44. Define velocity modulation.

    The variation in electron velocity in the drift space is known as velocity modulation.

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 21

    45. What is the need of Quality factor Q? Quality factor Q which is a measure of the frequency selectivity of a cavity.

    46. What are the methods to detect microwave power? a. Bolometer

    b. Calorimeter method

    47. Define microwave sensor. The microwave power meter consists of a power sensor, which converts the microwave power

    into heat energy. The corresponding temperature rise provides a change in the electrical parameters

    resulting in an output current in low frequency circuitry and indicates the power.

    48. Mention the sensors used for microwave power measurements.

    The sensors used for microwave power measurements are the schottky barrier diode, bolometer

    and the thermocouples whose resistance changes with the applied power

    49. What are drawbacks of using power meter with single bridge?

    The change of resistance due to a mismatch at the microwave input port results in incorrect reading the thermistor is sensitive to changes in the ambient temperature resulting in false reading

    50. What do you meant by thermocouple sensor? A thermocouple sensor is a junction of two dissimilar metals or semiconductors. It generates an

    emf when two ends are heated up differently by absorption of microwaves in a thin film tantalumnitride resistive load deposited on a Si substrate which forms one electrode of the thermocouple. This

    emf is proportional to the incident microwave power to be measured.

    51. Name the method used for high power microwave measurements.

    High power microwave measurements can be conveniently done by the calorimetric method which involves conversion of the microwave energy into heat, absorbing this heat in a fluid and then

    measuring the temperature rise of the fluid.

    52. What is calorimetric direct heating method?

    In the calorimetric direct heating method, the rate of production of heat can be measured by

    observing the rise in the temperature of the dissipating medium.

    53. What is calorimetric indirect heating method?

    In the calorimetric indirect heating method, heat is transferred to another medium before

    measurement.

    54. Mention the drawbacks in calorimeter measurements.

    The main disadvantage in calorimeter measurements are the thermal inertia caused by the lag

    between the application of microwave power and the parameter readings.

    55. What are the classifications of power measurements?

    The classifications of power measurements are a. Low power (less than 10mW)

    b. Medium power (from 10mW to 10W)

    c. High power (>10W)

    56. What are the direct microwave measure devices? The direct microwave measuring devices are vector network analyzers, spectrum analyzers and

    power meters.

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 22

    57. What is the need of tunable detectors? The tunable detectors are used to demodulate the signal and couple the required output to high

    frequency scope analyzer. The low frequency demodulated output is detected using non reciprocal detector diode mounted in the microwave transmission line.

    58. What is the need of slotted section?

    It has a line carriage is a microwave sectioned coaxial line connecting a coaxial E-field

    probe which penetrates inside a rectangular waveguide slotted section. The longitudinal slot

    is cut along the center of the waveguide broad walls. The probe is made to move along the slotted wall which samples the electric field proportional to probe voltage.

    59. Draw the diagram of thermocouple sensors in a electrical circuit.

    60. What is the purpose of frequency meter?

    Microwave setup will always uses a frequency meter in order to calibrate the frequency

    of microwave signal passes through it.

    61. What is radiation pattern?

    In the field of antenna design the term radiation pattern (or antenna pattern or far-field

    pattern) refers to the directional (angular) dependence of the strength of the radio waves

    from the antenna or other source.

    62. Define directivity?

    In electromagnetic, directivity is a figure of merit for an antenna. It measures the power

    density the antenna radiates in the direction of its strongest emission, versus the power

    density radiated by an ideal isotropic radiator (which emits uniformly in all directions)

    radiating the same total power.

    63. What are the different types of power measurement? It is classified into 3 types, they are i) Very low power, ii) low power and iii) High power measurement etc.

    64. What are the various errors occur in power measurement?

    They are instrumental error, substitution error, mount inefficiency error etc.

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 23

    65. What is tuning plunger in microwave detector?

    It is defined as a provision given in microwave device used to vary the termination point

    of the device.

    66. Define thin film resistor.

    The resistive element of thick films is 1000 times thicker than thin films, but the principal

    difference is how the film is applied to the cylinder or the surface. Thin film resistors are

    made by sputtering the resistive material onto an insulating substrate.

    67. What are the drawbacks occur while impedance measurement?

    They are time consuming and

    Cannot be automized

    UNIT IV

    PART-A

    1. Define optical communication.

    Optical communication, also known as optical telecommunication, is communication at a

    distance using light to carry information. It can be performed visually or by using electronic

    devices.

    2. What is meant by propagation of light?

    A light ray is a line or curve that is perpendicular to the light's wave fronts (and is

    therefore collinear with the wave vector). Light rays bend at the interface between two dissimilar

    media and may be curved in a medium in which the refractive index changes. Geometric optics

    describes how rays propagate through an optical system. Objects to be imaged are treated as

    collections of independent point sources, each producing spherical wave fronts and

    corresponding outward rays.

    3. Define numerical aperture.

    Numerical aperture (N.A) of the fiber is the light collecting efficiency of the fiber and is

    the measure of the amount of light rays that can be accepted by the fiber. It is equal to the sine of

    acceptance.

    4. What are the various losses in optical fiber?

    In an optical fiber cable(OFC) mainly there are following types of losses :- 1) Bending

    loss (Due to Macro bends & Micro bends) 2) Scattering loss (Rayleigh scattering & Mie

    scattering) 3) Dispersion loss 4) Absorption loss 5) Insertion loss

    5. What is meant by scattering loss?

    Attenuation is the main loss mechanism in an optical fiber. Absorption and scattering

    losses exist in the signals results in attenuation. There are two types of scattering losses. They are

    linear scattering and nonlinear scattering. In linear scattering, attenuation occurs when optical

    power is transferred from one mode to another keeping frequency unaltered. Non linear

    scattering occurs when frequency is changed during optical power transfer.

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 24

    6. What is meant by radiation loss?

    Radiation losses are caused mainly by small bends and kinks (twist) in the fiber.

    Essentially, there are two types of bends: micro bends and macro bends. Micro bending occurs as

    a result of differences in the thermal contraction rates between the core and the cladding

    material. A micro bend is a miniature bend or geometric imperfection along the axis of the fiber

    and represents a discontinuity in the fiber where Rayleigh scattering can occur. Macro bends are

    caused by excessive pressure and tension and generally occur when fibers are bent during

    handling or installation.

    7. What is meant by dispersion loss?

    Dispersion is the broadening of light pulses as it propagates through the fiber. It increases

    with length of the fiber. Excessive dispersion causes over-lapping of adjacent pulses or inter

    symbol interference. So dispersion has a negative effect on the bandwidth of a fiber. The higher

    the dispersion, lie lower will he bandwidth of the system. Dispersion also decreases the peak

    optical power of the pulse and therefore increases the effective attenuation of a fiber.

    8. What is Snells law? The relationship at the interface is known as Snells law and is given by n1sin1= n2sin2

    9. What are the advantages of LED?

    LEDs are less complex circuits than Laser diodes.

    Fabrication is easier.

    They have long life.

    10. What are the two types of LED configuration?

    Homo junction

    Single and double hetero junction.

    11. What are the advantages of Photodiodes?

    Small size

    Suitable material

    High sensitivity

    Fast response time

    12. What are the types of photodiode?

    PIN photo detector Avalanche photodiode (APD)

    13. Define avalanche effect.

    The newly created carriers are accelerated by the high electric field, thus gaining enough

    energy to cause further impact ionization. This phenomenon is called avalanche effect.

    14. What is meant by total internal reflection?

    The ray should travel from denser to rarer i.e. from core to clad region of the fiber and the

    angle of incidence in the denser medium should be greater than the critical angle of that medium.

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 25

    15. Define modal dispersion.

    Modal dispersion is a distortion mechanism occurring in multimode fibers and other

    waveguides, in which the signal is spread in time because the propagation velocity of the optical

    signal is not the same for all modes.

    16. Mention any four required properties of light sources used in the optical communication.

    High radiance output

    Fast emission response time

    High quantum efficiency

    Dimensional characteristics compatible with those of optical fibers.

    17. Mention the important semiconductors used in laser and LEDs. GaAs,

    InP,

    InGaAs GaAlAs

    18. Define internal quantum efficiency of a LED The internal quantum efficiency in the active region is the fraction of electron hole

    pairs that recombine radiatively. Its given by i=Rr/ (Rr+ Rnr)

    i is the internal quantum efficiency

    Rris the radiative recombination per unit volume.

    19. Why do we prefer laser diodes over LEDs for communication applications? 1. High intensity radiation

    2. Narrow spectral width of the laser source is the preferable features of

    Laser compared to LED.

    20. Mention any four factors which affect the power launched into the fiber. Numerical aperture

    Core size

    Refractive index profile

    Core and Cladding index difference

    Size, Radiance and angular power distribution of the source.

    21. What are the required properties of photo detector? o High response or Sensitivity

    o Minimum addition of noise

    o Fast response speed

    o Insensitive to variations in temperature

    o Compatibility with physical dimensions of fiber.

    o Long operating life.

    o Reasonable cost.

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 26

    22. What are the different noises present in the avalanche photodiode? Shot noise

    Dark current noise

    Surface leakage current noise

    Thermal noise

    23. What is dark current noise? The dark current noise arises from electrons and/or holes which are thermally generated

    in the PN junction of the photo diode. This current continues to flow through the bias circuit of

    the device when no light is incident on the photodiode.

    24. What is avalanche multiplication noise? The shot noise and bulk dark current noise in a photo detector are multiplied by

    Avalanche gain mechanism. These multiplied noises are referred to Avalanche multiplication noise.

    25. What are the different factors that determine the response time of photo detector? The transit time of the photo carriers in the depletion region.

    The diffusion time of the photo carriers generated outside the depletion region.

    The RC time constant of the photodiode and its associated circuit.

    26. Mention the important photo detector material. Why it is preferred? InGaAs

    InAlGaAs

    27. What are the different components of an optical receiver? Photo detector

    Amplifier and

    Signal processing circuitry.

    28. Define quantum efficiency of photo detector It is defined as the number of the electron hole pairs generated per incident photon of energy hv.

    29. What are the requirements of an optical receiver? a. Light detector b. Pre amplifier c. Equalizer d. Signal discriminator circuits

    30. What are the requirements for a preamplifier? Preamplifier bandwidth must be greater than or equal to signal bandwidth.

    It must reduce all source of noise

    It must have high receiver sensitivity.

    31. What are the main advantages of InGaAs photodiodes? 1. Wider operating wavelength range (1100 to 1700nm)

    2. High responsivity (0.75 to 0.95Amp/watts for PIN)

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 27

    3. Less dark current (0.5 to 2nA) 4. Less rise time (0.05 to 0.5ns)

    5. Lager bandwidth (1 to 2GHz)

    32. What are the various error sources in the optical receiver? Photon detection quantum noise

    Bulk dark current noise

    Surface leakage current

    Statistical gain fluctuation(for APD)

    Thermal noise

    Amplifier noise

    33. Define probability of error. Write an expression for it. The probability of error is defined as Pe = a P1(vth) + bP0(vth)

    Where a and b are weighting factors

    P1(vth) Probability that the equalizer output voltage is less than vth when a logical one pulse is

    sent.

    P0(vth) - Probability that the equalizer output voltage exceeds vth when a logical zero pulse is

    transmitted.

    34. Define quantum limit. It is possible to find the minimum received optical power required for a specific bit error

    rate performance in a digital system. This minimum received power level is known as quantum

    limit.

    35. Define BER. An approach is to divide the number Ne of errors occurring over a certain time interval t by the

    number Ntof pulses transmitted during this interval. This is called either the error rate or the bit error

    rate.

    Bit error rate BER =Ne/Nt = Ne /Bt Where B= 1/Tb

    36. Define Responsivity. R = Ip/P0 = q/h where Ip-average photocurrent generated

    P0- incident optical power level

    -quantum efficiency

    37. Compare the performance of APD and PIN diode The avalanche photodiode (APD), is also reverse-biased. The difference with the PIN diode is that the absorption of a photon of incoming light may set off an electron-hole pair avalanche breakdown,

    creating up to 100 more electron-hole pairs. This feature gives the APD high sensitivity (much greater

    than the PIN diode).

    38. Mention the different techniques used for measurement of fiber refractive profile Interferometric Method

    Near field scanning method

    Refractive near field method

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 28

    39. Define effective cutoff wavelength. The effective cutoff wavelength is defined as wavelength greater than the ratio between the total

    power to the launched higher order modes and fundamental mode power.

    40. Mention the techniques used for determination of fiber numerical aperture. Farfield angle from fiber using a scanning photo detector and a rotating stage

    Farfield pattern by trigonometric fiber

    Farfield pattern of NA measurement using a rotating stage.

    41. List out the advantages of outer diameter measurement. speed is large

    more accuracy

    faster diameter measurements

    Good accuracy.

    42. Draw the structure of optical fiber:

    n2 n1 = core refractive index

    n1 n2 = cladding refractive index

    43. List the different types of Fiber? Fibers are classified in to based on their functions

    number of modes

    based on refractive index profile

    based on number of modes and refractive index profile

    44. What are the Advantages of MMSI? (1) The use of spatially incoherent optical sources (LED) which cannot be efficiently coupled to

    single mode fiber.

    (2) Larger numerical aperture, as well as core diameter, facilitating easier coupling of optical sources.

    (3) Lower tolerance requirements on fiber connectors.

    45. What is SMSI? Single mode or monomode step index fiber allows the propagation of only one transverse

    electromagnetic mode (typically HE), and hence the core diameter must be of the order of

    2 to 10 micrometer.

    46. Give the Advantage of SMSI? It is majorly used for higher bandwidth application

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 29

    47. What are the disadvantages of SMSI? Launching light into the fiber

    With field jointing

    Reduced relative refractive index difference present difficulties in the fabrication

    process

    48. Define Intermodal dispersion. Pulse broadening or pulse widening caused by mode structure of a light beam inside the fiber is

    called modal dispersion or intermodal dispersion.

    49. Draw the structure of graded index fiber.

    50. Define Intermodal dispersion. This type of dispersion may occur in all types of optical fiber and results from the finite

    spectral width of the optical sources.

    51. What are the mechanisms used in intermodal dispersion? Intra modal dispersion composed of two mechanisms

    1. Material dispersion 2. Waveguide dispersion

    52. Define attenuation. Attenuation means signal less or transmission less. It is an important consideration in the design

    of optical communication system, since it plays a major role in determining the maximum

    transmission distance between a transmitter and receiver.

    53. What are the two different types of scattering losses? The two different types of scattering losses are linear scattering and non linear scattering

    losses.

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 30

    54. What are the minimization techniques for radiation losses? fiber with large relative refractive index difference

    Operating at shortest wavelength is possible.

    55. What is micro bending loss? Microbending introduces from the fiber when it is incorporated into cables. This type of bending

    introduces slight surface imperfection which can cause mode coupling between adjacent modes.

    56. Define core cladding loss? The core and cladding have difference refractive indices because they are having different

    composition. Therefore core and cladding have different attenuation coefficients 1 and 2 respectively.

    57. What is LED? A light-emitting diode (LED) is a two-lead semiconductor light source. It is a pn junction diode,

    which emits light when activated. When a suitable voltage is applied to the leads.

    58. What are the different types of LED? The different types of LED are

    active and

    opto electronic types

    59. Mention the important semiconductors used in LED. GaAs,

    InP,

    InGaAs

    GaAlAs

    60. Explain the radiation pattern from surface emitting laser diode or LED. The emission pattern is isotropic with a 120 degree half-power beam width, i.e., source is equally

    bright when viewed from any direction, but the power diminishes as cos, where is the angle between the viewing direction and normal to the surface

    61. Mention types of preamplifiers. (a) Low impedance preamplifiers

    (b) High impedance preamplifiers

    (c) Trans impedance preamplifiers

    62. What are the advantages of preamplifier? (a) low noise level (b) high bandwidth (c) high dynamic range (d) high sensitivity (e) high gain

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 31

    63. What are the standard measurement techniques? (a) Reference test methods (b) Alternative test methods

    64. Define mode field diameter. The fundamental parameter of a single mode fiber is the mode-field diameter. This can be

    determined from the mode field distribution of the fundamental LP01 mode.

    65. What are the uses of optical fiber? To transmit the information which are in the form of coded signals of the telephone

    communication.

    To transmit the optical images

    To act as a light source at inaccessible places.

    UNIT V

    PART-A

    1. Draw the block diagram of fiber optic system.

    2. Define point to point link.

    In telecommunications, a point-to-point connection refers to a communications

    connection between two nodes or endpoints.

    3. What is the method of fiber splicing?

    There are 3 methods of fiber splicing. They are:

    Electric arc fusion splicing or fusion splicing Mechanical splicing V-groove splicing or loose tube splicing

    4. What is meant by acceptance angle?

    The maximum angle max with which a ray of light can enter through the entrance end of the fiber and still be totally internally reflected is called acceptance angle of the fiber.

    5. What are step index and graded index fibers?

    In the case of graded index fiber, the refractive index of a core is a constant and is larger

    than the refractive index of the cladding. The light propagation is mainly by meridional rays. In

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 32

    the case of graded index fiber (GRIN fiber) the refractive index of the core varies parabolically

    from the centre of the core having maximum refractive index to the corecladding interface

    having constant minimum refractive index. Here the light propagation is by skew rays.

    6. Why do we prefer step index fiber for long distance communication?

    Step index single mode fiber has a)low attenuation due to smaller core diameter b) higher

    bandwidth and c) very low dispersion.

    7. Define WDM.

    In fiber-optic communications, wavelength-division multiplexing (WDM) is a technology

    which multiplexes a number of optical carrier signals onto a single optical fiber by using

    different wavelengths (i.e., colors) of laser light. This technique enables bidirectional

    communications over one strand of fiber, as well as multiplication of capacity.

    8. What is meant by SONET?

    Synchronous Optical Networking (SONET) and Synchronous Digital Hierarchy (SDH)

    are standardized protocols that transfer multiple digital bit streams synchronously over optical

    fiber using lasers or highly coherent light from light-emitting diodes (LEDs). At low

    transmission rates data can also be transferred via an electrical interface.

    9. Define AON.

    An active optical system uses electrically powered switching equipment, such as a router

    or a switch aggregator, to manage signal distribution and direct signals to specific customers.

    This switch opens and closes in various ways to direct the incoming and outgoing signals to the

    proper place. In such a system, a customer may have a dedicated fiber running to his or her

    house.

    10. Define PON.

    A passive optical network, on the other hand, does not include electrically powered

    switching equipment and instead uses optical splitters to separate and collect optical signals as

    they move through the network. A passive optical network shares fiber optic strands for portions

    of the network. Powered equipment is required only at the source and receiving ends of the

    signal.

    11. What is meant by Rayleigh scattering?

    The index variation causes a Rayleigh type of scattering of light. Rayleigh scattering in

    glass in the same phenomenon that scatters light from sun in the atmosphere, giving rise to blue

    sky.

    12. What is the measure of information capacity in optical waveguide?

    It is usually specified by bandwidth distance product in MHz. For a step index fiber the

    various distortion effects tend to limit the bandwidth distance product to 20MHz.

    13. Mention the losses responsible for attenuation in optical fibers.

    Absorption losses, Scattering losses and bending losses

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 33

    14. What do you meant by extrinsic absorption?

    Absorption phenomena due to impurity atoms present in the fiber.

    15. Define microscopic bending.

    Fibers losses occur due to small bending arise while the fiber is inserted into a cable.

    16. What is an optical network?

    An optical network is not necessarily all-optical: the transmission is certainly optical, but

    the switching could be optical, or electrical, or hybrid Also, an optical is not necessarily packet-

    switched.

    17. Define transverse modes. Transverse modes are associated with the electromagnetic field and beam profile in the

    direction perpendicular to the plane of the pn junction. They determine the laser characteristics

    as the radiation pattern and the threshold current density.

    18. Define population inversion. Stimulated emission will exceed absorption only if the population of the excited states is

    greater than that of the ground state. This condition is called as population inversion

    19. Define internal quantum efficiency. The internal quantum efficiency is the fraction of the electron-hole pairs that recombine

    radiatively. If the radiative recombination rate is R and the non radiative recombination

    rate is Rnr, then the internal quantum efficiency is the ratio of the ratio of the radiative

    recombination rate to the total recombination rate.

    20. What is meant by inter symbol interference (ISI) ?

    ISI results from pulse spreading in the optical fibre. The presence of this energy in

    adjacent time slots results in an interfering signal. Hence it is called ISI.

    21. What are splices? What are the requirements of splices?

    The splices are generally permanent fiber joints, whereas connectors are temporary fiber

    joints. Splicing is a sort of soldering. The requirements of splices are:

    Should cause low attenuation

    Should be strong & light in weight

    Should have minimum power loss

    Should be easy to install

    22. What are the methods of fiber splicing? There are 3 methods of fiber splicing. They are:

    Electric arc fusion splicing or fusion splicing

    Mechanical splicing

    V-groove splicing or loose tube splicing

    23. What are connectors? The connectors are used to join the optical sources as well as detectors to the optical fiber

    temporarily. They are also used to join two optical fibers.

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 34

    24. What are the different types of connectors used in fiber? The 2 major types of connectors are:

    Lensed type expanded beam connector

    Ferrule type connector

    25. What are the requirements of a good connector? The requirements of a good connector are as follows:

    Low loss

    Repeatability

    Predictability

    Ease of assembly and use

    Low cost & reliability

    Compatibility 26. Give the 2 analysis that are used to ensure system performance? The 2 analysis that are used to ensure system performance are:

    link power budget analysis

    rise time budget analysis

    27. Explain briefly about link power budget analysis?

    In the optical power loss model for a pt-to-pt link, the optical power rxed at the photo

    detector depends on the amount of light coupled into the fiber & losses occurring in the fiber

    at the connectors & splices. The link loss budget is derived from the sequential loss

    contribution of each element in the link 28. Give the range of system margin in link power budget?

    The system margin is usually (6-8) dB. A positive system margin ensures proper

    operation of the circuit. A negative value indicates that insufficient power will reach the

    detector to achieve the required bit error rate, BER.

    29. What are the system components of system rise time? The 4 basic system components that contribute to the system rise time are:

    Transmitter (source) rise time Material dispersion time of the fiber Modal dispersion time of the fiber link

    30. What are the techniques to reduce optical feedback?

    Fiber end faces with a curved surface to the laser emitting facet.

    Index matching oil or gel at air glass interfaces.

    PC connectors

    Optical isolators within the transmitter module.

    31. What are the basic performances of the WDM?

    Insertion loss

    Channel width

    Cross talk

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 35

    32. What is WDM? Define. WDM is wavelength division multiplexing. The optical beam consists of different

    wavelengths and several channel information is transmitted over a single channel.

    33. What is meant as bidirectional WDM? A single WDM which operates as both multiplexing and demultiplexing Devices is

    said as the bidirectional WDM.

    34. What are the factors to be considered in link power budget? The factors to be considered in link power budget are:

    transmission speed

    Optical sources & detectors

    optical fiber

    35. What is an optical network? An optical network is not necessarily all-optical: the transmission is certainly optical, but

    the switching could be optical, or electrical, or hybrid Also, an optical is not necessarily

    packet-switched.

    36. What are the advantages of optical network?

    Huge bandwidth,

    Low signal attenuation (as low as 0.2 dB/km),

    Immunity to electromagnetic interference,

    High security of signal because of no electromagnetic radiation,

    37. Give an example for the First generation optical networks? First generation optical networks,

    FDDI (Fiber Distributed Data Interface)

    SONET/SDH (Synchronous optical network/ Synchronous digital hierarchy)

    ESCON (Enterprise serial connection).

    38. Give an example for the second generation optical networks?

    Services

    Transparency.

    WDM Architecture.

    Optical Components.

    39. Why is WDM technique preferred over TDM?

    WDM is the favorite multiplexing technology for practical optical communication

    networks since all of the end-user equipment needs to operate only at the bit rate of a

    WDM channel, which can be chosen arbitrarily, e.g., peak electronic processing speed.

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 36

    40. Why do you need multiplexing?

    The need for multiplexing is driven by the fact that it is much more economical to

    transmit data at higher rates over a single fiber than it is to transmit at lower rates over

    multiple fibers, in most applications.

    41. What are the different types of multiplexing techniques?

    Time division multiplexing (TDM)

    Optical time division multiplexing (OTDM)

    Wavelength division multiplexing (WDM) 42. Define transparency.

    Transparency can be defined as the light paths can carry data as a variety of bit rates, protocols, and so forth, and can, in effect, be made protocol insensitive.

    Transparency can be defined as an operator can provide a variety of different services using a single infrastructure. The infrastructure is future-proof in that if protocols or

    bit rates change, the equipment deployed in the network is still likely to be able to

    support the new protocols and bit rates without requiring a complete overhaul of the

    entire network.

    43. What is the function of couplers?

    A coupler is a device which is used to combine and split signals in an optical network.

    44. What are the different types of optical couplers? Different types of couplers,

    Directional coupler,

    Star coupler,

    Fused fiber coupler,

    2 x 2 coupler.

    45. What are the requirements of good couplers?

    Good optical couplers should have low insertion losses.

    Good optical couplers should have low polarization-dependent loss.

    Insensitive to temperature.

    Reliability.

    46. What is the function of isolators?

    An isolator is a device used to allow transmission in one direction through it but block all

    transmission in the other direction. Isolators are used in systems at the output of optical

    amplifiers.

    Types of isolators,

    1. A polarization-dependent isolator, 2. A polarization-independent isolator.

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 37

    47. What is an isolator? List the two important key parameter of an isolator?

    An isolator is a device used to allow transmission in one direction through it but block all

    transmission in the other direction. Isolators are used in systems at the output of optical

    amplifiers.

    Key Parameter:-

    Insertion Loss

    Isolation Loss

    48. What is the function of circulators?

    A circulator is similar to an isolator, except that it has multiple ports, typically three

    or four ports. In a three-port circulator, an input signal on port 1 is sent out on port 2, an input

    signal on port 2 is sent out on port 3, and an input signal on port 3 is sent out on port 1.

    49. What are the various types of circulators?

    The different types of circulators are

    Three-port circulator,

    Four-port circulator.

    50. What is the function of filter?

    A filter is a device used to select on particular wavelength and reject all other

    wavelengths.

    51. List the different types of filter.

    The different types of filters,

    Fixed filter

    Tunable filter. Fixed filter:-

    Gratings

    Bragg gratings Tunable filter:-

    Fabry perot filter.

    Mach zehnder interferometer.

    52. What are the requirements of a good filter?

    Good optical filters should have low insertion losses.

    The loss should be independent of the state of polarization of the input signals.

    The pass band of a filter should be insensitive to variations in ambient temperature.

    The pass band skirts should be sharp

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 38

    53. Define grating.

    The term grating is used to describe almost any device whose operation involves interference

    among multiple optical signals originating from the same source but with different relative phase

    shifts. An exception is a device where the multiple optical signals are generated by repeated

    traversals of a single cavity; such devices are called etalons.

    54. List the different types of grating technique.

    Type of gratings,

    A transmission grating,

    A reflection grating.

    55. What is the function of an optical amplifier? The main function of the optical amplifier is to strengthen the weak signal without being

    any conversion.

    56. What are the different types of optical amplifiers? Three different types of optical amplifiers:

    Erbium-doped fiber amplifiers,

    Raman amplifiers, and

    Semiconductor optical amplifiers

    57. State the important parameters used to characterize the suitability of a switch for

    optical networking.

    Extinction ratio,

    Insertion loss,

    A latching,

    The reliability of the switch is an important factor,

    Switches should have a low polarization-dependent loss (PDL).

    58. What is SONET?

    Synchronous Optical Networking (SONET) or Synchronous Digital Hierarchy (SDH) are

    standardized multiplexing protocols that transfer multiple digital bit streams over optical fiber

    using lasers or light-emitting diodes (LEDs). Lower rates can also be transferred via an electrical

    interface.

    59. List out different layers in SONET architecture?

    Line Layer

    Path Layer

    Section Layer

    Photonic Layer

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 39

    60. What is the function of Line layer?

    The main function of the line layer is to provide synchronization and to perform

    multiplexing for the path layer.

    Its functions include protection switching, synchronization, multiplexing, line

    maintenance, and error monitoring.

    61. What is the function of Path layer?

    The main function of the path layer is to map the signals into a format required by the line layer.

    Its functions include reading, interpreting, and modifying the POH for performance and APS.

    62. What is the function of Section layer?

    The section layer deals with the transport of an STS-N frame across the physical medium.

    Its main functions are framing, scrambling, error monitoring, and section maintenance.

    63. What is the function of Photonic layer?

    Its main function is the conversion between STS-N and OC-N signals.

    Its functions include wavelength launching, pulse shaping, and modulation of power

    levels.

    64. Define SONET alarms.

    SONET alarms are defined as anomalies, defects, and failures.

    An anomaly is the smallest discrepancy that can be observed between the actual and desired

    characteristics of an item. If the density of anomalies reaches a level at which the ability to

    perform a required function has been interrupted, it is termed a defect. The inability of a function

    to perform a required action persisted beyond the maximum time allocated is termed a failure.

    65. What are the different types of Network elements used in SONET architecture?

    Regenerator

    Terminal Multiplexer

    Add/Drop Multiplexer

    Broadband Digital Cross-Connect

    Wideband Digital Cross-Connect

    Digital Loop Carrier

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 40

    66. What are the different types of Topologies available in SONET architecture?

    Point to point topology. Point-to-Multipoint Topology

    Hub Topology

    Ring Topology

    Mesh Topology

    67. What is function of Network management in SONET architecture?

    The functions of the NMs are as follows,

    Fault management (FM),

    Performance management (PM),

    Accounting management (AM),

    Security management (SM),

    Configuration management (CM),

    68. What is SDH?

    Synchronous Optical Networking (SONET) or Synchronous Digital Hierarchy (SDH)

    are standardized multiplexing protocols that transfer multiple digital bit streams over optical

    fiber using lasers or light-emitting diodes (LEDs). Lower rates can also be transferred via an

    electrical interface.

    69. What is WDM?

    In WDM, bit rate is kept constant with addition of more wavelengths, each operating at

    the original bit rate over the same fiber.

    70. How WDM approaches differ from TDM approach?

    Because of the lower bit rates, the distance limit due to chromatic dispersion is much larger for WDM systems than for equivalent TDM systems.

    In WDM transparent capacity can be increased in a modular manner by adding additional wavelengths.

    WDM system can be designed to be transparent systems.

    In WDM, network design is less complicated.

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 41

    PART-B (11 Marks)

    UNIT- I

    1. Explain the principle of operation of two cavity klystron oscillators. (11)

    Keys & Hint:

    The diagram of two cavity klystron

    Working principle of oscillators

    Applications

    Advantages

    Disadvantages

    2. Derive the expression of power & efficiency of two cavity klystron oscillators. (11)

    Keys & Hint:

    The diagram of two cavity klystron

    Derivation & expression for the oscillator

    Power calculation

    Efficiency calculation

    3. Explain the principle of operation of reflex klystron oscillators. (11)

    Keys & Hint:

    The diagram of reflex klystron oscillator

    Working principle of oscillators

    Applications

    Advantages

    Disadvantages

    4. Derive the expression of power, modes & efficiency of reflex klystron Oscillators. (11)

    Keys & Hint:

    The diagram of reflex klystron oscillator

    Derivation & expression for the oscillator

    Power calculation

    Efficiency calculation

    5. Explain the principle of operation of magnetrons oscillator or high power microwave

    oscillator and derive the expression of Hull equations of magnetrons. (11)

    Keys & Hint:

    The diagram of magnetron oscillator

    Working principle of system

    Applications

    Advantages

    Disadvantages

    6. Explain the principle of operation of TWT. (11)

    Keys & Hint:

    The diagram of magnetron oscillator

    Working principle of system

    Applications

    Advantages & Disadvantages

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 42

    7. Explain how the following microwave transistors are used to generate micro wave.

    a) Bipolar microwave transistor. (5)

    b) FET microwave transistor (6)

    8. Explain the operation of

    a). Gunn diode oscillator or transferred electron oscillator. (5)

    b). Avalanche diode oscillator. (6)

    9.Explain about the operation of Parametric amplifier (11)

    Keys & Hint:

    The diagram of parametric amplifier

    Working principle of system

    Applications

    Advantages

    Disadvantages

    10. Discuss the operation Avalanche diode Oscillator & TWT in detail (11)

    Keys & Hint:

    The diagram of Avalanche diode oscillator & TWT

    Working principle of system

    Applications

    Advantages & Disadvantages

    UNIT-II

    1. a)Explain the properties of S-parameter. (5)

    b) Explain the shifting of reference planes in two port network. (6)

    2. a) Discus the scattering matrix formulation for N- port junction. (6)

    b) Explain the conversion between ABCD and S parameter. (5)

    3. a)Compare S-parameter with Z & Y parameter. (5) b) Why S-parameter is used to analyze the Microwave network. (6)

    4. a)Explain the operation & derive the S matrix for any two port network or Isolator. (6)

    b) Explain the advantages of multi-port network. (5)

    5. Explain the operation & derive the S matrix for any three port network or E-Plane tee. (11)

    Keys & Hint:

    Working principle of E-Plane

    Derivation S Matrix for E-Plane tee.

    6. Explain the operation & derive the S matrix for any three port network or H-Plane tee. (11)

    Keys & Hint:

    Working principle of H-Plane

    Derivation S Matrix for H-Plane tee.

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 43

    7. Explain the operation & derive the S matrix for any four port network or magic tee. (11)

    Keys & Hint:

    Working principle of Magic tee

    Derivation S Matrix for Magic tee.

    8. Explain the operation Hybrid rings (11)

    Keys & Hint:

    Working principle of Hybrid rings

    Diagrams of rat race

    Applications & Comparison

    Advantages & Disadvantages

    9. Write brief notes about waveguide Tee (11)

    Keys & Hint:

    Introduction about waveguide and Tee section

    Types of Waveguide Tee

    Diagrams

    Explanation about each type

    Advantages & Disadvantages

    10. Discuss in detail about Isolator, Circulator with necessary diagrams (11)

    Keys & Hint:

    Working principle of Isolator and circulator

    Diagrams

    Explanation about each type

    Advantages

    Disadvantages

    UNIT-III

    1. Explain about the VSWR measurement in detail (11)

    Keys & Hint:

    VSWR definition

    Types of VSWR measurement

    Diagrams of types

    Description about each and every type

    2. Explain about the various losses in microwave measurements (11)

    Keys & Hint:

    Description about losses in microwave systems

    Types of losses

    Diagrams of types

    Description about each and every type

  • MICROWAVE & OPTICAL ENGINEERING EC T72

    C.THIAGARAJAN-AP/ECE DEPT. Page 44

    3. Explain about the scattering parameters. (11)

    Keys & Hint:

    Description about losses in scattering parameters

    Diagrams

    4. Explain about the Dielectric constant measurement. (11)

    Keys & Hint:

    Explanation about dielectric constant & its measurement

    Types

    Diagrams of types

    Description about each and every type

    5. Explain about the Antenna measurements. (11)

    Keys & Hint:

    Description about antenna & its measurement technique

    Diagrams

    Details about types

    6. Explain about the radiation pattern in detail. (11)

    Keys & Hint:

    Explanation about radiation pattern and its measurement procedure

    Diagrams

    Details about types

    7. Write a brief note on insertion loss and attenuation measurements (11)

    Keys & Hint:

    Description about insertion & attenuation loss measurement technique

    Diagrams

    Details about types

    8. Explain in detail about the d