Top Banner

of 13

Lecture 18 Mems Cad

Apr 07, 2018

Download

Documents

movie1_ec
Welcome message from author
This document is posted to help you gain knowledge. Please leave a comment to let me know what you think about it! Share it to your friends and learn new things together.
Transcript
  • 8/6/2019 Lecture 18 Mems Cad

    1/13

    CAD Application to MEMS

    TechnologyBruce K. Gale, University of Utah

    Acknowledgment: Nora Finch, Intellisense

    M. Mehregany, Case Western ReserveJohn R. Gilbert, Microcosm Technologies

    Abdelkader Tayebi, Louisiana Tech

    Definition of Computer Aided Designin Microsystems Technology

    In MEMS technology, CAD is defined as atightly organized set of cooperating computer

    programs that enable the simulation of manufacturing processes, device operationand packaged Microsystems behavior in a

    continuous sequence, by a Microsystemsengineer.

    Commercially Available Software Coventorware from Coventor

    http://www.memcad.com

    IntelliSuite from Intellisense Inc. (Corning) http://www.intellisense.com

    MEMS ProCAETool from Tanner Inc. http://www.tanner.com

    MEMScap from MEMScap Inc. http://www.memscap.com

    SOLIDIS from ISE Inc. http://www.ise.com

    MEMS CAD Motivation

    Match system specifications Optimize device performance

    Design package Validate fabrication process

    Shorten development cycle Reduce development cost

    1 2 8 T r a n s m

    i t t e r s

    1 2 8 R e c e i v e r s

    :

    :

    ::

    MEMS micro-mirrors

    Collimator Lens Arrays

  • 8/6/2019 Lecture 18 Mems Cad

    2/13

    Example: IntelliSuite System

    M a t e r i a l s d a t a b a s e

    F a b r ic a t io n d a t a b a s e

    Anis o tropic e tch simula tor

    M a s k e d i t o r

    Fabrication Simulation

    Solid Modeling& Meshing

    Performance Analysis

    Thermo-electro-mechanical

    P i ez o el e c t r i c E

    l e c

    t r o m a g n e

    t i c

    Thermal-fluid-structure

    Model courtesy of Auburn University

    Example: IntelliSuite Advantages Design for manufacturability

    Fabrication database Thin-film materials engineering Virtual prototyping

    Ease of use Consistent user interface Communication with existing tools

    Accuracy

    MEMS-specific meshing and analysis engines In-house code development Validated by in-house MEMS designers ISO certified for quality

    The Design Process

    All systems have some common threads totheir design Device design

    Design a manufacturable component

    Package design Design a practical package

    System design Design the system into which the device fits.

    Goal: concurrent design at these levels

    MEMS CAD System Flow

    Device Modeling

    Device Modeling

    System Modeling

    System Modeling

    Package Design

    Package Design

    ProcessDesign

    ProcessDesign

    Layout

    Layout

    ManufacturingData and QA

    Structures

    ManufacturingData and QA

    Structures

  • 8/6/2019 Lecture 18 Mems Cad

    3/13

    Types of MEMS Design

    Custom Level Design New MEMS in New Process Goal: A New MEMS component

    Semi-Custom Design Existing MEMS in New Process Goal: A Better MEMS component

    Standard/IP

    Re-Use Existing MEMS and MEMS Process Making Existing MEMS Available to IC level

    Designers to Build new systems

    Who Designs?

    SystemArchitect

    Digital

    MEMS

    Packaging

    AnalogSystem Design

    What is Top Down Design System Architect

    Designs and Simulates Mixed Technology System at ahigh level

    Subsystem Designers Receive subsystem target specs in Hardware Description

    Language (HDL) form from SA Design and pass back HDL model of realizable subsystem Iterate with SA until realizable design is acceptable

    Top Down Design Enables SA to make tradeoffs among subsystem design

    teams Enables Design teams and SA to quantitatively

    communicate their goals and constraints

    Implementing Top Down Design Iterative design in each subsystem Implementing the

    Architect to Designer Loop Behavioral Model to Layout (Design)

    Layout to Behavioral Model (Verify) Enable Communication in the Design Team Interoperability (Composite CAD VHDL-AMS working

    group)

    System

    Design

    Subsystem

    Design

    MEMSAnalogDigital

    Verificationagainst HDL

    HDLSpecification

  • 8/6/2019 Lecture 18 Mems Cad

    4/13

    MEMS IC Design Flow

    ModelBehavior

    ModelBehavior

    SystemRequirements

    Stimulus Response

    Stimulus Response

    Sub-SystemRequirements

    Layout 3D PhysicsModel

    ModelExtraction

    Top-downDesign

    Bottom-upVerification

    Cornering the Design Space

    Simulated von Mises stressin Analog Devices ADXL76Simulated von Mises stressin Analog Devices ADXL76 -0.006

    -0.004

    -0.002

    0

    0.002

    0.004

    200 250 300 350 400

    Central LocationBottom Location

    C h a n g e

    i n r e

    l a t i v e

    C a p a c

    i t a n c e

    Temperature [K]

    Outline of the Task SequenceAccomplished by a CAD Tool

    Layout and process

    Topography simulation Boundaries, IC process results and Material

    properties Mesh generation Device simulation

    System-Level Simulation MEMS Control CAD

    Layout and Process Resources

    First Resource: The Process Description of theinterface and the driving circuitry: Can be acompished using a layout file editor (eg.

    CADENCE, http://www.cadence.comor L-Edit,http://www.tanner.com)

    Second Resource: The Process flow descriptionfile: Relates a processing step to each lithography mask in

    the layout file Can be optimized by using the MISTIC software from

    the University of Michigan(http://www.eecs.umich.edu/mistic/)

  • 8/6/2019 Lecture 18 Mems Cad

    5/13

    Layout Editor Layout process

    Multi-layer mask sets

    Cell hierarchy Boolean operations Curved shapes

    MEMS-specific features Any-angle feature creation Multi-copy by translation

    or rotation

    Links directly to processsimulation and meshgeneration

    Compatible with GDSII &DXF

    Topography Simulation

    Goal: Obtain a realistic topography of theconsidered device by: Realistically representing complex 2D and 3D

    structures to simulate the manufacturing process

    Process Simulation

    Document & validate process steps or process flows

    Model creation directlyfrom fabrication process

    Link process & designto reduce prototype runs

    Process database MEMS process steps Standard foundry templates

    Expandable for customsteps or templates

    Model courtesy of the University of Windsor SEM courtesy of IME Singapore

    Anisotropic Etch Simulation(AnisE)

    Etch rate databases Single & double sided

    etching Multiple etch stops Real time etch visualization 3D geometry visualization Direct measurements of

    etch depths and featuresizes

    Study process deviationsAbove: Examples of corner compensation

    Below: Rounded edge after 1 hour (left) and 5 hours (right)

    Lower models courtesy of OptIC

  • 8/6/2019 Lecture 18 Mems Cad

    6/13

    Virtual Prototyping

    Validate process Verify mask set View 3D geometry after each process step

    Surface micromachining simulation

    Anisotropic etch simulation

    Model (left) courtesy of Tennessee Technological University

    Boundaries, IC Process Resultsand Material Properties

    Description of the material interface

    boundary Dopant Distribution within each layer of the

    device Distribution of residual stresses Optimization of the Material Properties (eg.

    MEMCAD from Microcosm Inc.)

    Thin-film Material Expertise Accurate material property

    estimation for deviceanalysis

    Provide insight intomaterial behavior Expandable for custom

    materials or processes Reduce number of

    materials characterizationfabrication runs

    Increase device performance Improve yields

    Youngs Modulus variation in deposited layer due to processtemperature and film thickness

    Mesh Operations

    Generate a computational mesh for devicesimulation by either using boundary

    element methods or finite element methodsor coupling of both

  • 8/6/2019 Lecture 18 Mems Cad

    7/13

    Automatic Mesh Generation

    From fabrication simulation 3D model based on mask set and process sequence

    Material properties transferred to analysis

    Import or export ANSYS, ABAQUS, PATRAN models

    Models courtesy of the University of Windsor (left), Raytheon (center),and Tennessee Technological University(right)

    Interactive Mesh Refinement

    Mesh optimization provides faster simulation times 100% Automated or 100% user-driven Local or global

    Mesh optimization results in greater accuracy Independent refinement of electrostatic & mechanical

    meshes

    Model (right) courtesy of DSI, Singapore

    Device Simulation

    Compute the coupled response of a MEMSdevice using numerical methods

    Also provide many coupling effect thatMEMS rely on (eg. electromechanical,thermomechanical, optoelectrical, andoptomechanical coupling behaviors)

    Extract behavioral models for system-levelsimulation.

    Modeling of All Contributing Factors Process induced effects

    Deformation Stiffening

    Micro-assembly &

    post-contact behavior Coupled dynamic analysis

    Frequency vs. voltage bias RF switching time

    Macro-model extraction Electrostatic force vs.

    Displacement characterization Coupled boundary element &

    finite element analysis

    Large & small displacement theory 3D static & dynamic analysis

    Model courtesy of Auburn University

  • 8/6/2019 Lecture 18 Mems Cad

    8/13

    3D Device Modeling Structural Mechanics (including contact) Electrostatics & Capacitance Extraction

    Thermo-mechanics Coupled Electro-Thermo-Mechanics (including contact) Thermal Flow Analysis Piezoresistive Devices Electro-Thermal Devices CFD for Compressible and Incompressible Flow

    Electrokinetics and Chemical Transport in Liquids Inductance (RL) and RL-Thermo-Mechanics Damping of complex structures Electrokinetic Switching

    for Chemical Transport

    Coupling Effects

    A. K. Noor and S. L Venneri, bulletin for the international association for computationalmechanics, n o6, summer 1998

    System-Level Simulation

    Conversion of a numerical matrix to anequivalent subcircuit

    Translate specific changes in deviceconfiguration, dimensions, and material

    properties into the circuit-equivalent behavioral model

    XL76 Layout ProcessFlow

    MemBuilder 3-D

    SolidModel

    Device to System

    92.00

    92.50

    93.00

    93.50

    94.00

    94.50

    95.00

    0 0.5 1 1.5 2 2.5 3 3.5Time (ms)

    S e n s o r

    F i n g e r

    C a p a c

    i t a n c e

    ( f F )

    Cross-axissensitivity

    SystemPerformance

    System Model

  • 8/6/2019 Lecture 18 Mems Cad

    9/13

    System Modeling

    y posi tio n_o ut

    vi n

    vbia s

    zposi tio n_m as s

    z positi on _ ma ss

    y pos iti on_ mass

    k: 0.6 5 pos1

    pos2

    mas s

    m: 2e- 10

    pos

    ma ss

    m:2 e- 10

    pos

    d:. 57e- 6

    pos1

    pos2

    d: .23 u

    pos1

    pos2

    v

    50

    100Meg

    vout

    v

    50

    CLK

    v initial:0 pulse:30 period:50mtr:.1utf :.1u

    y

    z

    pos itio n

    120 u

    pos1

    pos2

    die le ct ri c2d_ mic ro c

    delt a0:6 0u

    zpos1

    e1 e2

    ypos2y pos1

    zpos2

    die le ct ri c2d_ mic ro c

    del ta 0: 60u

    zpos1

    e1e2

    y pos2 yp os1

    z pos2

    k:0 .325

    delta 0:3 0u

    pos1

    pos2

    k: 0. 325

    delt a0: 30u

    pos1

    pos2

    posi ti on

    90u

    pos1

    pos2

    posi ti on

    30u

    pos1

    pos2

    60

    0.00

    0.50

    1.00

    1.50

    2.00

    2.50

    3.00

    0 0.5 1 1.5 2 2.5Time (ms)

    Demodulator Outpu

    t(V)

    92.00

    92.50

    93.00

    93.50

    94.00

    94.50

    95.00

    0 0.5 1 1.5 2 2.5 3 3.5Time (ms)

    S e n s o r

    F i n g e r

    C a p a c

    i t a n c e

    ( f F )

    Cross AxisSensitivity

    -60

    -40

    -20

    0

    20

    40

    60

    0 0 .5 1 1 .5 2 2.5 3 3.5Time (ms)

    A c c e

    l e r a t

    i o n

    ( g ' s )

    Demodulator Output

    Input Acceleration

    Sensor HDL Model

    HDL (Macromodel) Generationfrom Device Modeling

    Extract from 3D model: Auto Fit of Behavior Curves

    Mechanical Spring Electrostatic Forces Mass Damping Coefficients

    Auto generation of 6-DOFHDL Model

    Industry standardsystem/circuit modelingtools: SABER, SPICE,Matlab, etc.

    ADXL76 finger-cell 3D model

    Capacitancecharacterization

    Effect Of 10% Tether MisalignmentOn Response

    Effect Of A +/- 10% Variation Of Tether Spring Constants

  • 8/6/2019 Lecture 18 Mems Cad

    10/13

    Packaging Simulation

    Automated package-device interactionsimulation by: Separating FEA of both the package and the

    device Coupling the results through parametric

    behavioral package models (MEMCAD fromMicrocosm Inc.

    Package to Device

    Device

    Package

    Coupled Packageand Device Effects

    Compact

    Package

    Model

    Package Model Calibration

    m

    Metal foil strain gaugesMetal foil strain gauges

    Displacement along the sensitiveaxis of the resistor element

    Displacement along the sensitiveaxis of the resistor element

    Potential distribution in the metal foilPotential distribution in the metal foil

    Silicon die,wirebonds,

    and leadframeof plasticpackage

    Silicon die,wirebonds,

    and leadframeof plasticpackage

    Packaging Sensitivity Analysis

    Temperature BC

    Package MechanicalAnalysis

    Displacement

    Extraction

    MEMCAD

    - 0 . 0 0 6

    - 0 . 0 0 4

    - 0 . 0 0 2

    0

    0 . 0 0 2

    0 . 0 0 4

    2 0 0 2 5 0 3 0 0 3 5 0 4 0 0

    C e n t r a l L o c a t i o nB o t t o m L o c a t i o n

    C h a n g e

    i n r e

    l a t i v e

    C a p a c i

    t a n c e

    T e m p e r a t u r e [K ]

    Device Mechanical /Electrical Analysis

  • 8/6/2019 Lecture 18 Mems Cad

    11/13

    Summary

    MEMS/MST tools exist today. The Tools can support the design of RF devices

    and systems. The Design Process needs to support the

    integration of MEMS and ASIC subsystems. ALL players in the design process (Architect,

    Analog, Digital, MEMS, Package) mustcommunicate.

    Communications are enabled by specific layers inthe design tool set which allow models from onesubsystem to influence the others.

    IntelliSuite ApplicationExamples

    Raytheon Systems

    RF switch Corrugated geometry contact analysis Electrostatically actuated

    CAD

    Fabricated device SEM

    Von Mises Stress

    Device model

    NASA

    Radiation detectors

    CAD stress results Fabricated array

  • 8/6/2019 Lecture 18 Mems Cad

    12/13

    Ford Microelectronics, Inc.

    Capacitive pressuresensor Capacitance as a function

    of applied pressure

    Comparison between IntelliSuite simulation andFords experimental results

    3

    3.2

    3.4

    3.6

    3.8

    4

    4.2

    4.4

    0 50 100 150

    Differential Pressure (PSI)

    Ford Experimental Data

    IntelliSuite Simulation Data

    D e v i c e

    C a p a c

    i t a n c e

    ( p F )

    *Ford Microelectronics, Inc. Colorado Springs, CO,JMEMS , June96, p 98

    Gyro / Accelerometer

    Natural frequency shift Electrostatic or thermal frequency tuning Only 3D simulation available Accounts for levitation & other 2nd order

    effects

    Voltage (V)

    N o r m .

    F r e q u e n c y

    ( 4 8 8 5 4

    . 3 H z )

    Natural Frequency vs. Voltage

    Corning IntelliSense

    Mirror array packaging analysis

    IntelliSense Packaging Group

    Integrate With System-level Design

    Electro-mechanical outputas input to optical model 3D mirror profile

    Maximum mirror angle Jitter angle associated with

    mirror stability Surface material

    Micro-mirror radius vs.fraction of geometric encircledenergy in an 8 micron diameter

    0

    0.1

    0.2

    0.3

    0.4

    0.5

    0.6

    0.7

    0.8

    -6000 -4000 -2000 0 2000 4000 6000

    Radius of micro-mirror (mm)

    F r a c

    t i o n o

    f g e o m e

    t r i c

    e n c

    i r c

    l e d e n e r g y

    i n a n

    8 m

    i c r o n

    d i a m e

    t e r

    Rangeofsilicon wafer naturalradius

    Range ofsiliconwafer naturalradius

    Model courtesy of NASA, Goddard Space Flight Center

  • 8/6/2019 Lecture 18 Mems Cad

    13/13

    Fluidic analysis overview

    3D Navier-Stokes solution Incompressible, laminar,

    single-phase flow Heat transfer Steady-state and transient Squeeze-film damping Electro-kinetic phenomena

    Electro-osmosis Electro-phoresis

    Finite element & finitevolume solvers

    Electrophoresis channels

    Clockwise from top: AnisE simulation of channels,velocity vectors, flow profile

    Electro-osmosis

    Pressure Plot Vector Plot

    Injection Port10 V

    0 V

    Ambient Pressure at all Ports

    5 V

    5 V

    Ref.: Patankar and Hu; Analytical Chemistry, Vol. 70, No. 9, May 1, 1998

    Cross-channel fluid flow Cross-channel fluid flow