Top Banner
The European journal for the microwave and wireless design engineer european business press JANUARY - FEBRUARY 2020 JANUARY - FEBRUARY 2020 RF - Microwave www.mwee.com MW Test & Measurement Test & Measurement Wireless Infrastructure Wireless Infrastructure
28

JANUARY - FEBRUARY 2020 MW January-February 2020 MW 3 200131_AWAIT_MWE_EU.indd 1 1/27/20 2:56 PM Contents 10, 12 Test & Measurement: 5G’s Far-Reaching Ambitions Set

Feb 26, 2021

Download

Documents

dariahiddleston
Welcome message from author
This document is posted to help you gain knowledge. Please leave a comment to let me know what you think about it! Share it to your friends and learn new things together.
Transcript
Page 1: JANUARY - FEBRUARY 2020 MW January-February 2020 MW 3 200131_AWAIT_MWE_EU.indd 1 1/27/20 2:56 PM Contents 10, 12 Test & Measurement: 5G’s Far-Reaching Ambitions Set

The European journal for the microwave and wireless design engineer

europeanbusiness press

JANUARY - FEBRUARY 2020JANUARY - FEBRUARY 2020

RF - Microwave www.mwee.comMW

Test & MeasurementTest & Measurement

Wireless InfrastructureWireless Infrastructure

200131_8-9_Mill_MWE_EU_Snipe.indd 1200131_8-9_Mill_MWE_EU_Snipe.indd 1 1/27/20 3:06 PM1/27/20 3:06 PM

Page 2: JANUARY - FEBRUARY 2020 MW January-February 2020 MW 3 200131_AWAIT_MWE_EU.indd 1 1/27/20 2:56 PM Contents 10, 12 Test & Measurement: 5G’s Far-Reaching Ambitions Set

200131_AWAIT_MWE_EU.indd 1200131_AWAIT_MWE_EU.indd 1 1/27/20 2:56 PM1/27/20 2:56 PM

Page 3: JANUARY - FEBRUARY 2020 MW January-February 2020 MW 3 200131_AWAIT_MWE_EU.indd 1 1/27/20 2:56 PM Contents 10, 12 Test & Measurement: 5G’s Far-Reaching Ambitions Set

www.mwee.com 3January-February 2020 MW

200131_AWAIT_MWE_EU.indd 1200131_AWAIT_MWE_EU.indd 1 1/27/20 2:56 PM1/27/20 2:56 PM

Contents

10, 12Test & Measurement: 5G’s Far-Reaching Ambitions Set Complex and Long-Term Challenges

Wireless Infrastructure: Choosing the Right Low Power Wireless Protocols

18, 20Wireless Infrastructure: 5G – Striving for Sustainable Growth Amid High Expectations

Integrated Passive Components Simplify Signal Conditioning in Package that is 20% the Size

RF - Microwave

MW5

News

Radar allows heavy lifting drones to navigate complex environments

5G test center for connected and autonomous driving opens in Spain

4Comment

5G as a tool in the fight against future pandemics

16, 17Wireless Infrastructure: 4G and 5G: A Star Crossed Marriage for the Future Connectivity

Microwave pulse method reduces error rate in quantum computers

22Products

VNA covers 70 kHz to 220 GHz in single sweep

60 GHZ phased array antenna

Editor In ChiefJean-Pierre JoostingTel. +44-7800 [email protected]

Advertising ProductionLydia GijsegomTel +32 (0) 2 740 00 [email protected]

Circulation & FinanceLuc DesimpelTel +32 (0) 2 740 [email protected]

Art ManagerJean-Paul SpeliersTel +32 (0)2 740 [email protected]

AccountingRicardo Pinto FerreiraTel +32 (0)2 740 [email protected]

PublisherAndre RousselotTel +32 (0)2 740 [email protected]

European Business Press SA 533 Chaussée de Louvain1380 Lasne - BelgiumTel: +32 (0)2 740 00 50Fax: +32 (0)2 740 00 59www.mwee.comVAT Registration: BE 461.357.437RPM: NivellesCompany Number: 0461357437

© 2020 E.B.P. SA

• All rights reserved. No part of this publication may be reproduced or transmitted in any form or by any means, electronic or mechanical, including photocopying, recording or any information storage or retrieval system without the express prior written consent of the publisher.

• The contents of Microwave Engineering Europe are subject to reproduction rights in information storage and retrieval systems.

• MWee RF & Microwave is published seven times a year. Apply for a free copy of the journal online at www.mwee.com/subscribe

• Subscriptions: Microwave Engineering Europe is available on subscription to others at 150 Euro. Refunds on cancelled subscriptions will only be provided at the Publisher’s discretion, unless specifically guaranteed within the terms of the subscription offer. Paid subscription queries tel: +32 2 740 00 50

• Printed by Perka

Page 4: JANUARY - FEBRUARY 2020 MW January-February 2020 MW 3 200131_AWAIT_MWE_EU.indd 1 1/27/20 2:56 PM Contents 10, 12 Test & Measurement: 5G’s Far-Reaching Ambitions Set

www.mwee.com MW4 January-February 2020

Comment

5G as a tool in the fight against future pandemicsNovel coronavirus is causing global panic and the economic fallout could be severe. Whether an over reaction or not time will tell. However, it is perception that now drives markets and politics. Countires are preparing isolation facilities and if there is a full blown pandemic, healthcare systems will be overwhelmed very quickly.

Are there better ways to respond to such outbreaks? Some of the answers could lie in future 5G networks, the most obvious being the use of advanced telemedicine systems.

Just recently, ZTE Corporation and the Sichuan Branch of China Telecom have announced that they have collaborated on China's first 5G remote diagnosis of the new coronavirus pneumonia.

5G, featuring high bandwidth and low latency, makes diagnosis and treatment more efficient and convenient, especially for dangerous diseases like the latest coronavirus pneumonia. The 5G remote diagnosis involves West China Hospital and Chengdu Public Health Clinic Center of Sichuan University.

On-site, ZTE has employed its CPE equipment to commission 5G services by means of outdoor 5G signals while constructing indoor coverage points. On January 25, 5G indoor base stations were built and interconnected, and the conference room for remote diagnosis and treatment in West China Hospital was first connected to the remote diagnosis and treatment system.

On January 26, ZTE completed the rapid construction, optimization, speed test, and commissioning of the 5G indoor distribution system at another core point of the remote diagnosis and treatment system. After the 5G network was commissioned, Sichuan health and health commission, West China Hospital, and Chengdu Public Health Clinic Center conducted remote video consultation.

In accordance with the work arrangement of the Sichuan Health and Health Commission, the 5G remote consultation system will take West China Hospital of Sichuan University as the central node, and be the first batch to access 27 hospitals that have accepted and treated patients. In the next step, ZTE will build China's first new 5G remote diagnosis coronavirus infection system covering three levels: Sichuan province, city, and county, to provide a "one network" for remote diagnosis in front-line hospitals that help Wuhan. In the future,

ZTE will carry out video consultation with the Wuhan front-end medical rescue team through the 5G network, so that critical patients in Wuhan can also enjoy expert diagnosis and treatment services in the West China Hospital.

Remote diagnostics and monitoring of patients either in a hospital or at home are made possible by high-speed, low latency 5G networks. Monitoring systems that check the health of airline passengers using Infrared cameras can also be networked to ensure no-one with a fever boards an aeroplane without being tested. Testing can be done at airports and at homes with the results sent to the relevant hospital. In the future, we might develop better portable diagnostics systems that are integrated into 5G.

One concern here, which is playing out in China right now, is the draconian measures put in place to stop the coronavirus most likely cannot be replicated in other countries with different judicial systems in place. Quarantining cities the size of London would not be possible in masny areas. Another concern here is individual privacy as public trust is key to controlling and epidemic. Both of these issues would need to be addressed in many countries around the world for an effective fight against a future pandemic. Monitoring and fast diagnostics could help avoid these problems by finding and isolating infected individuals quickly. Monitoring devices could also enable individuals with relatively mild symptoms to opt for quarantine at home, much like people under home arrest are tagged and monitored. However, in this case, heath and vital signs monitoring would be essential as well. The use of private health wallets could also alleviate privacy concerns.

Another problem in a pandemic is getting food and supplies to people and mitigating the huge economic costs that are associated with shutting down factories and transport. Teleworking is one solution that could keep people working to some extent, albeit from home. Here 5G would be indispensable to running fast VPNs and teleconferencing systems. Maybe, many companies could become more distributed in structure as a result.

Deliveries of food and supplies, and even spraying disinfectant in certain areas could be done with drones. However, drones are required to navigate complex environments in cities that go beyond

maps such as the ones cars use to navigate. Anti-collision with people, objects and other drones needs to virtually perfect as the public tolerance for accidents is probably not very high. Judicial systems also need to be changed to provide reasonable compensation for damage and assign blame in a balanced way. This is a similar problem that Level 4/5 autonomous vehicles will face in the near future when they become available.

To illustrate the nascent rise of drones in delivery, on February 6, 2020, a medical delivery drone provided by Terra Drone group company Antwork flew from the People’s Hospital of Xinchang County to the disease control center of Xinchang County, marking the launch of the first “urban air transportation channel” to help to fight the novel coronavirus (2019-nCoV), a global health emergency.

Further, the Antwork branch company (Aerodeli), which undertook this operation task, had obtained the world’s first urban drone delivery “license” issued by the Civil Aviation Administration of China (CAAC) in October 2019.

Drones could become an important tool for delivering supplies to areas under quarantine in pandemics or in general any disaster area. They potentially could also be used to get people out of areas or bring them to hospitals. Pandemics also drive on-line shopping and Amazon and Alibaba are looking at drone delivery to facilitate and cut costs of delivery. Another last mile problem!

For all this to work at scale 5G would be indispensable. Currently, 5G is rolling out and in a few years such systems will be common place.

www.zte.com.cnwww.terra-drone.net/global

By Jean-Pierre Joosting, Editor, MWEE

Page 5: JANUARY - FEBRUARY 2020 MW January-February 2020 MW 3 200131_AWAIT_MWE_EU.indd 1 1/27/20 2:56 PM Contents 10, 12 Test & Measurement: 5G’s Far-Reaching Ambitions Set

www.mwee.com 5January-February 2020 MW

News

Report 'sees' IoT, AI, 3D printing driving next-gen battlefieldIn their latest report, BIS Research contends that the next generation battlefield technology market was valued at $16.66 billion in 2018 and is projected to reach $42.12 billion by 2024. The market is expected to grow at a CAGR of 17.02% during the fore-cast period from 2019 to 2024.

BIS say that the market has been wit-nessing a high growth rate, owing to the increasing expenditure and moderniza-tion in the defense industry for develo-ping military equipment. Moreover, the rapid technological advancement and in-tegration of artificial intelligence (AI) and robotics in military as well as the growing demand for cloud services in military are expected to gradually increase the demand for next generation battlefield technologies in the market.

The rising adoption of artificial intelligence and Internet of Things (IoT) for execution of military operations for

engine and structure of military vehicles through 3D printing are some of the ma-

jor factors expected to create lucrative opportu-nities for the global next generation battlefield technology market in the coming five years.

With recent deve-lopments in AI, IoT, 3D

printing, and wearable devices, various equipment such as unmanned ground vehicles, unmanned marine vehicles, unmanned aerial vehicles, armored fighting vehicles, submarines, as well as fighter jets and ships are expected to be available at reasonable costs for manufacturing industries. In addition, as the complexity of automating tasks increases, the adoption of next genera-tion technologies is anticipated to rise, as these technologies are expected to enhance the production capabilities of manufacturing industries.

www.bisresearch.com

Microchip and Arrow to simplify edge IoT securityMicrochip Technology and Arrow have announced the implementation of an engineering services collaboration to simplify IoT security and connectivity across industrial, smart building and energy markets.

Arrow will augment Microchip’s smart, connected, secure portfolio with engineering services so that original equipment manufacturers (OEMs) can decrease their time to market and meet requirements in recent government legis-lation and NIST IoT security guidelines.

Microchip’s range of secure pro-duct features span secure root of trust, secured communication, crypto authen-tication, certificate management, cloud provisioning, data security, encryption services, secure boot and IP protection.

The first secure end-to-end platform resulting from this collaboration is the Shield96. This platform enables OEMs to have the needed security foundation integrated into the reference design.

www.arrow.comwww.microchip.com

Filtronic wins two contracts for two mmWave modulesFiltronic plc has announced contract wins for two separate advanced mmWave design and development contracts. The first contract, from a lea-ding RF equipment company in the USA, covers the design, development and delivery of high-performance, mmWave modules for incorporation within their next generation over-the-air equipment. The project will extend the frequency range of the customer's existing offe-ring above 50 GHz (and will accommo-date the new mmWave bands released following the 2019 World Radiocom-munication Conference by employing Filtronic's extensive, mmWave specific, design and manufacturing know-how. The design and development order is structured around incremental deve-lopment milestones over a period of approximately 16 months, for a full contract value approaching $1.0m (approximately £0.8m) with revenue pre-dominantly recognised in FY2021.

The second contract, agreed with a leading High Altitude Pseudo-Satellite ("HAPS") provider in the USA, covers the design, development and delivery of next generation, high-performance transceiver modules to enable high ca-pacity HAPS to ground and inter-HAPS data transmission using E-band frequen-cies. The project will push the bounda-ries of current E-band technology and will be based on Filtronic's class leading "Orpheus" and "Morpheus" transcei-ver technology platforms, providing the customer with a performance step change when compared to their current backhaul solution. The design and de-velopment order is structured around in-cremental development milestones over a period of approximately 18 months, for a full contract value approaching $0.6m (approximately £0.5m) with revenue pre-dominantly recognised in FY2021.

www.filtronic.com

Broadband access equipment revenue hit by virtualizationA newly published report by Dell'Oro Group predicts that sales of cable broadband access equipment will decrease with a 2 percent CAGR from 2019 to 2024. The virtualization of network infrastructure, which is already playing out in the cable market, will extend to other equipment areas, thereby reducing traditional hardware revenue.

"Global operators continue to invest in their broadband access networks, but are increasingly delivering more capacity with lower-cost, virtualized hardware," said Jeff Heynen, Senior Research Director at Dell'Oro Group. Virtualization, coupled with subscriber saturation in some mature markets will result in gradually declining revenue for broadband access equipment globally," added Heynen.

Further, the report finds that virtual CMTS/CCAP revenue will grow from $90 Million in 2019 to $418 Million worldwide in 2024.

www.delloro.com

News

Page 6: JANUARY - FEBRUARY 2020 MW January-February 2020 MW 3 200131_AWAIT_MWE_EU.indd 1 1/27/20 2:56 PM Contents 10, 12 Test & Measurement: 5G’s Far-Reaching Ambitions Set

www.mwee.com MW6 January-February 2020

Radar allows heavy lifting drones to navigate complex environmentsPlextek is partnering with Griff Aviation to put its electronic-scanning (e-scan) micro radar and sensors on heavy lifting drones developed by Griff. UAVs (Unmanned Aerial Vehicles) designed to carry payloads up to 250kg are being deve-loped by Griff for a wide range of applications including moving building equipment and materials across harsh terrains including mountainous and forested landscapes.

The Plextek electronic-scanning (e-scan) micro radar and advanced software have been optimised for use on drones and other UAVs. Operating in the 60 GHz mm-waveband, the e-scan radar is capable of detecting hazards such as power lines from up to 60 metres as well as buildings, bridges, vegetation and other objects both in front of and beneath the drone, with good range out to 300m and angular resolution. It also

provides day and night, all-weather sen-sing. And because the 60 GHz band is

licence exempt in most territories, the micro radar can be freely used and is unlikely to cause interference with other equipment on board the UAV or in close proximity.

The Plextek micro radar will enable the drones to fly at a pre-defined height when integrated with the Micro Pilot flight controller. A sof-tware shim layer converts the distance and azimuth information into MAVLINK commands used by the flight controller to navigate with speed and safety.

Plextek is working with Griff Aviation with on-site trials in Norway ahead of put-ting the drones through their paces with a leading energy provider in Japan and a power line company in Australia.

https://griffaviation.comwww.plextek.com

Z-Wave to be an open ratified, multi-source wireless standard

Silicon Labs and the Z-Wave Alliance are planning to open the Z-Wave specifica-tion as a ratified, multi-source wireless standard for development.

The new proposal will allow semi-conductor and software suppliers to join the Z-Wave ecosystem, contribute to future versions, and develop sub-GHz Z-Wave radio devices and software stacks. The Z-Wave Alliance will conti-nue to manage the Z-Wave Certification program for software and hardware.

The new, open Z-Wave Specification is expected to be released in the second half of 2020 and it includes the ITU.G9959 PHY/MAC radio specification, the application layer, the network layer and the host-device communication protocol. The changes, once imple-mented, will enable Z-Wave to become a multi-source, wireless smart home standard developed by a collective working group.

www.silabs.com/z-wavewww.z-wavealliance.org

5G market grows to 208 devices from 78 vendorsThe Global mobile Suppliers Association (GSA) has reported that the number of announced 5G devices has broken the 200 barrier for the first time.

With 208 5G devices now announced from 78 vendors, the number of commer-cial devices has more than doubled in the last five months, having surpassed the milestone of 100 devices from 41 vendors in August 2019.

“During 2019, the number of an-nounced 5G devices grew rapidly, star-ting with a few announcements and then gathering pace as operators in various parts of the world launched their first commercial 5G services,” commented Joe Barrett, President, GSA. “This growth has continued into 2020 with the number of announced 5G devices exceeding 200 for the first time. Not only is this a symbolic milestone, but it also means we are starting to be able to identify trends in spectrum support and form factors. The diversity of both further reinforces how

the industry is working hard to deliver on the 5G promise to markets and operators around the globe.”

The latest market data reveals that over two-thirds (66.8%) of all announced 5G devices are identified as supporting sub-6 GHz spectrum bands and just over one-third (33.6%) are understood to support mmWave spectrum. Slightly more than 27% of all announced devices are known to support both mmWave and sub-6 GHz spectrum bands. The bands known to be most supported by announced 5G devices are n78, n41, n79 and n77.

Part of the GSA Analyser for Mobile Broadband Devices (GAMBoD) database, the GSA’s 5G device tracking reports global device launches across the 5G ecosystem and contains key details about device form factors, features and support for spectrum bands.

https://gsacom.com

Smart Home a key driver for Bluetooth IoT marketAccording to ABI Research the smart home market is forecasted to account for over 13% of Bluetooth device shipments by 2024, equating to over 815 million Bluetooth-enabled products at this time.

The massive growth in a wide range of device types, including smart lighting, voice-control front ends, smart appliances and sensors, among others, many of which support Bluetooth, is driving the market to grow from 250 million units in 2019 to become one of the largest market opportunities over the next five years.

"The smart home market is beginning to ramp up, and strong growth for wire-less devices and services is anticipated over the next few years as an increasing number of homes deploy solutions," says Andrew Zignani, Principal Analyst, ABI Research.

However, Wi-Fi, 802.15.4, and Z-Wave smart home products are also all anti-cipated to see device shipments grow between now and 2024.

www.abiresearch.com

News

Page 7: JANUARY - FEBRUARY 2020 MW January-February 2020 MW 3 200131_AWAIT_MWE_EU.indd 1 1/27/20 2:56 PM Contents 10, 12 Test & Measurement: 5G’s Far-Reaching Ambitions Set

www.mwee.com 7January-February 2020 MW

News

Researchers develop mobile quantum satellite ground stationChinese researchers have reportedly developed what they say is the world’s first mobile quan-tum satellite station, which weighs about 80 kg (176 lb) and is small enough to fit in or on top of a car.

The portable ground station for sending and recei-ving secure quantum communications, say the researchers, was successfully connected to China’s Quantum Science Satellite - nicknamed Mozi - which was launched in August 2016. The resear-chers used the mobile station along with a 28-cm (11-inch) telescope to send a secure data transmission using quantum key distribution (QKD) from Jinan in northeast China.

QKD enables two parties to produce and share a random secret key - known only to them - that can be used to encrypt and decrypt information, and

with which any attempt by a third party to gain knowledge of the key will be

detected. A key was relayed via Mozi between the mobile ground station in Jinan and a fixed station in Shanghai.

The mobile ground station transmits data at a rate of between 4000 and 10,000 bits per

second, say the researchers, compared with about 40,000 bits per second for larger stations.

The researchers say they plan to launch a quantum nanosatellite in the next two years, targeted at commercial clients. "We want more and more users to use quantum keys to protect their important information,” says Ji-Gang Ren at the University of Science and Technology of China.

http://en.ustc.edu.cn

Ericsson strengthens investment in 5G research

Ericsson has signed an agreement for a credit facility with the Nordic Investment Bank (NIB) for USD 150 million, maturing in 2025, part of which will be used to boost 5G research.

Of these new funds, 98 million will replace credit with NIB that was set to mature in 2021. In addition to stren-gthening Ericsson's balance sheet and financial flexibility, the loan has been granted for the purpose of financing Ericsson to support investments in research and development (R&D) for the development of 5G technology during the years 2020-2022.

Erik Ekudden, Ericsson Chief Tech-nology Officer, says: "Our increased investments to secure technology leadership in 5G, IoT and digital ser-vices have enabled us to reach nearly 80 commercial 5G agreements and contracts with unique operators, 24 of which are live networks - more than any other company."

www.ericsson.com

Apple purchases startup developing edge AI technologyApple (Cupertino, CA) has acquired artifi-cial intelligence (AI) startup Xnor.ai (Seat-tle, WA) for a price reportedly of about $200 million. Xnor.ai develops technology that runs deep learning models efficiently on edge devices such as phones, IoT devices, security cameras, drones, and embedded CPUs. Its acquisition would reportedly give Apple access to low-power edge AI tools for image recognition in cameras, smartphones, and wearables.

Apple has previously indicated interest in such technology for its devices, as it promises to both keep user information more private and to speed up processing. In 2016 the smartphone giant acquired machine learning startup Turi, whose technology let developers build apps with machine learning and AI capabilities that automatically scale and tune.

Similarly, last month Apple acquired UK startup Spectral Edge, which deve-lops machine learning technology that improves photos taken on smartphones.

The tech giant also made another AI purchase last year with its acquisition of self-driving startup Drive.ai, which had been running a ride-hailing service with autonomous shuttles in Texas.

According to reports, the latest acqui-sition, Xnor.ai, will likely move to Apple's offices in Seattle. The tech giant has previously announced plans to drama-tically expand its Seattle operations by employing 2,000 people over the next five years in an engineering hub, with a key focus on AI and machine learning.

Apple has reportedly confirmed the acquisition of Xnor.ai with its standard acquisition statement: "Apple buys smal-ler technology companies from time to time and we generally do not discuss our purpose or plans."

Xnor.ai was spun off in 2017 from the non-profit Allen Institute for AI (AI2).

www.apple.comwww.xnor.ai

Intel acquires AI chip firm for $2 billionAs expected, Intel has acquired Habana Labs Ltd. (Caeserea, Israel), a develo-per of deep learning accelerators for the data center. The purchase price is approximately $2 billion, Intel said.

The move boosts Intel's position in the AI silicon market, a market which Intel expects to be worth $25 billion in 2024. Intel added that the market for AI silicon in the data center would be worth about $10 billion in the same year. Intel said it expects its own AI-based revenue to be more than $3.5 billion in 2019 up 20 percent year-over-year.

Habana brings to Intel a dedicated training processor called Gaudi and an inference processor called Goya. Intel Capital has been keeping tabs on Habana and led a $75 million series B round which has contributed to more than $120 million raised by Habana since its formation in 2016. Habana will remain an independent business unit.

www.intel.comwww.habana.ai

News

Page 8: JANUARY - FEBRUARY 2020 MW January-February 2020 MW 3 200131_AWAIT_MWE_EU.indd 1 1/27/20 2:56 PM Contents 10, 12 Test & Measurement: 5G’s Far-Reaching Ambitions Set

www.mwee.com MW8 January-February 2020The Microchip name and logo and the Microchip logo are registered trademarks of Microchip Technology Incorporated in the U.S.A. and other countries. All other trademarks are the property of their registered owners. © 2019 Microchip Technology Inc. All rights reserved. DS20006124A. MEC2309A-ENG-12-19

www.microchip.com/FlexiblePower

Digitally Enhance Your Analog ControlsCombine the Speed of an Analog Controller With the Flexibility of a Digital Microcontroller

No system can perform without reliable power supplies. Our Digitally Enhanced Power Analog (DEPA) family of products combines the performance of an analog Pulse-Width Modulation (PWM) controller with the configurability of an 8-bit PIC® microcontroller (MCU). The combination of these methods allows the addition of digital features to a reliable, easy-to-implement analog control loop, including fast transient responses, high efficiencies, reliable gain and phase margins. Adding the ability to measure and respond to changes with tailored algorithms improves the robustness of the system, while offering diagnostic and communication options.

The single-chip solution can accept a high-voltage input and regulate a wide output current or voltage range, which allows you to maintain robust operation within an unstable environment. Discover how the flexibility of our DEPA products can enhance your next design.

Key Features• Fast and efficient power conversion with analog

current-mode control loop• Flexible control with an integrated MCU• Dynamically settable hardware protections enable

robust operation

5G test center for connected and autonomous driving opens in Spain

Telecommunications provider Telefónica has inaugurated a test center for connec-ted and autonomous driving together with German testing company Dekra in Málaga, Spain. On the premises, the companies are testing 5G systems and Vehicle to Everything (V2X) technologies together with other cooperation partners. Also, the use of various 5G frequency bands for the international deployment of mobility systems can be tested.

Telefónica is testing future 5G appli-cations in the more than 50,000 square metre site, while Dekra intends to deve-lop test technologies for its test tracks there, where they will then be available to

the automotive and mobility industry for broad-based trials. For the most com-prehensive simulation of applications and real traffic conditions, variously designed roads, crossings, unpaved surfaces, beacons, roundabouts and tunnels are available on site. The entire road in-frastructure is equipped with sensors that enable mobile communication with the vehicles used. Furthermore, additio-nal real and digitally simulated vehicles are used. During the joint presentation by Telefónica and Dekra, for example, a test vehicle detected various obstacles within fractions of a second and automatically recognised other road users on the road.

In future, Telefónica and Dekra will focus their research on connectivity, new services for on-board entertainment and vehicle navigation as well as improved vehicle monitoring and maintenance. Other areas of research include safety and accident prevention, driver assistan-ce systems and autonomous driving.

In the research environment, which is unique in Europe, interested compa-

nies can test the compatibility of their components and mobile communica-tions devices, utilizing different frequen-cy bands. In this way, they can ensure that their mobility solutions function in markets with different regulations over the available frequency bands. This also brings the project partners one step closer to cross-national networked and autonomous driving.

The test lab is equipped with two 5G antennas, high-performance servers and a comprehensive camera system to test the connectivity of on-board and geolocation services, process large amounts of data and optimize software and vehicle monitoring services (mana-gement CPD).

The 5G research and development site was equipped with network tech-nology in cooperation with supplier Ericsson. It is open to both automotive companies and manufacturers of vehicle components, equipment and road infrastructure to further promote connec-ted driving in Europe.

Android devices see 93% of mobile transactions blocked as fraudulent in 2019A recently released report from mobile technology company, Upstream on the state of malware and mobile ad fraud finds that 93 percent of total mobile tran-sactions in 20 countries were blocked as fraudulent in 2019. The “Invisible Digital Threat” data is based on deployments of Upstream’s Secure-D full-stack an-ti-fraud platform that detects and blocks fraudulent mobile transactions mostly originated from ad fraud malware. The platform at the end of 2019 covered 31 mobile operators in 20 countries.

In the markets examined, Upstream’s security platform processed 1.71 billion mobile transactions and blocked 1.6 billion of them as fraudulent, a huge 93 percent of total transactions. It is estimated that left unchecked these transactions would have cost users $2.1bn in unwanted charges. For the industry as a whole, losses from online, mobile and in-app advertising reached $42 billion in 2019 and are expected to

reach $100 billion by 2023 [according to Juniper Research].

The number of malicious apps discovered by Secure-D in 2019 rose to 98,000, up from 63K in 2018. These 98,000 malicious apps had infected 43 million Android devices. With Android devices now accounting for an estimate 75-85% of all smartphone sales world-wide [Statista and Statcounter], Android is by far the most dominant mobile operating system (OS). At the same time it is the most vulnerable due to its open nature, making it a favorite playground for fraudsters.

While, as mentioned in the Secure-D report, it is always a good rule of thumb for consumers to only download mobile applications from Google’s official storefront, Google Play, thanks to its scale and set up, rogue apps are still getting through its defences. Of the top 100 most active malicious apps that were blocked in 2019, 32 percent are

reported still available to download on Google Play. A further 19 percent of the worst-offending apps were previously on Google Play but have since been remo-ved, while the remaining 49 percent are available through third-party app stores.

Dimitris Maniatis, CEO of Upstream commented, “Mobile ad fraud is a criminal enterprise on a massive scale. Though it may seem that it is only targe-ted at advertisers, it greatly affects the whole mobile ecosystem. Most impor-tantly it adversely impacts consumers; eating up their data allowance, bringing unwanted charges, messing with the performance of their device, and even targeting and collecting their personal data. It is more than an invisible threat, it is an epidemic, calling for increased mobile security that urgently needs to rise up in the industry’s priority list’’.

www.secure-d.io/ mobileadfraud2019report

News

Page 9: JANUARY - FEBRUARY 2020 MW January-February 2020 MW 3 200131_AWAIT_MWE_EU.indd 1 1/27/20 2:56 PM Contents 10, 12 Test & Measurement: 5G’s Far-Reaching Ambitions Set

The Microchip name and logo and the Microchip logo are registered trademarks of Microchip Technology Incorporated in the U.S.A. and other countries. All other trademarks are the property of their registered owners. © 2019 Microchip Technology Inc. All rights reserved. DS20006124A. MEC2309A-ENG-12-19

www.microchip.com/FlexiblePower

Digitally Enhance Your Analog ControlsCombine the Speed of an Analog Controller With the Flexibility of a Digital Microcontroller

No system can perform without reliable power supplies. Our Digitally Enhanced Power Analog (DEPA) family of products combines the performance of an analog Pulse-Width Modulation (PWM) controller with the configurability of an 8-bit PIC® microcontroller (MCU). The combination of these methods allows the addition of digital features to a reliable, easy-to-implement analog control loop, including fast transient responses, high efficiencies, reliable gain and phase margins. Adding the ability to measure and respond to changes with tailored algorithms improves the robustness of the system, while offering diagnostic and communication options.

The single-chip solution can accept a high-voltage input and regulate a wide output current or voltage range, which allows you to maintain robust operation within an unstable environment. Discover how the flexibility of our DEPA products can enhance your next design.

Key Features• Fast and efficient power conversion with analog

current-mode control loop• Flexible control with an integrated MCU• Dynamically settable hardware protections enable

robust operation

Page 10: JANUARY - FEBRUARY 2020 MW January-February 2020 MW 3 200131_AWAIT_MWE_EU.indd 1 1/27/20 2:56 PM Contents 10, 12 Test & Measurement: 5G’s Far-Reaching Ambitions Set

www.mwee.com MW10 January-February 2020

5G Test

“5G is now” could be a very short sum-mary of the current situation as we en-ter the next evolution of cellular wireless communication. Network operators are in the throes of launching commercial 5G services, end-user devices are en-tering the market, and the trend toward private 5G networks to support new use cases in the industrial internet of things IIoT is clear. Of course, 5G is so much more than simply the successor to 4G. In fact, it seems that 5G is expected to be everything to everybody – the go-to consumer broadband technology, the connectivity for autonomous motoring, the channel for masses of IoT data, the medium for smart manufacturing and Industry 4.0. And that’s just for starters.

To fulfil all these ambitions demands almost infinite flexibility, so 5G is incre-dibly complex; much more so even than 3G and 4G. It has taken roughly about 1.5 years for the standards bodies to define the specifications adequately for the first Release 15, which is now seen as the fundamental part of the first de-ployments paving the way for upcoming releases. Like legacy technologies one can observe a certain timeline: prioritiza-tion of features, followed by introduction of prototypes, and finally the launch of commercial products, despite the fact that work on some of the more complex features has not yet begun. Technology standards are essential and only when these are ready can companies properly tackle the challenges associated with designing, building, and testing in-frastructure equipment and networks.

SPECIFICATIONS – NEVER ENDING STORYTo get 5G up and running, and into the public consciousness, the pilot services rolling out this year are Non-Standa-lone (NSA) implementations. While 5G frequencies will be used for high-speed data exchanges, the networks will rely on 4G (LTE) technologies to manage connections to infrastructure and ser-vers. The 3GPP TS38.521-3 transmit-ter and receiver tests for interworking with LTE in the 5G Frequency Range 1

(FR1) below 6 GHz and in the FR2 mm-wave bands (24 GHz-52 GHz) are quite well deve-loped. On the other hand, some test specifications remain as work in progress. As an example, performance tests (38.521-4) and radio resource management (RRM) test requirements have been standardized but a few points remain open and need to be clarified. After the first NSA implementations go live, the next challenges will involve integra-ting 5G core network functiona-lity into the system infrastructure ultimately aiming enable standa-lone (SA) 5G operation.

Specifications for SA operation, na-mely TS38.521-1 for FR1 and TS38.521-2 for FR2 are more advanced, although other parts of the SA standards will not be deployed until later in 2020.

While 3GPP accomplished Release 15 in June 2019, work is ongoing on the Release-16 feature list to be accom-plished in the summer 2020 timeframe and early discussion on Release-17 has already started, with the objective to finalize it by mid-2021. Clearly, we can understand 5G as a living technology with many more features to come.

NEW APPROACHES TO TESTINGAlthough the standards bodies are wor-king hard and meticulously to properly define the test specifications, the risk of compatibility or performance issues can be problematic for companies deve-loping products or services to use 5G networks. Due to the vast complexity of services offered by 5G, issues such as interoperability, coexistence and secure operation are key and need to be tested to guarantee proper interworking.

Just to give a few examples of new approaches and challenges with regard to 5G:

5G networks will be deployed in both frequency ranges, FR1 and FR2, which presents challenges to the design of functional blocks such as filters, power amplifiers, antennas, and RF

frontends. Moreover, moving beyond the design challenges, companies must also handle the integration complexity associated with both user devices and network components.

5G networks will offer a wide range of services. For some of these, reliability and secure communication is critical. So one demand will be how to test that 5G operates in a secure environment and incorporates suitable measures to tackle possible cybersecurity attacks.

5G networks will operate on a lean control channel management and sup-port active directional – or beamforming – antennas. This opens new questions on simple measurement aspects like how to measure cell coverage if only few signals will be always on air, or how to measure the electric field strength if the radio waves are directional and dependent on the traffic load. Clearly, new test tech-niques and equipment will be required.

There are still many technical challen-ges, too. PCBs comprising antennas, amplifiers and analog phase shifters must be highly integrated to mini-mise internal attenuation, but suitable RF connectors for making traditional conducted measurements are not avai-lable or even not suitable. For instance there is no obvious way to verify that a signal beam is pointing in the right direction when using a conducted test approach. Instead, testing must be done Over the Air (OTA).

5G’s Far-Reaching Ambitions Set Complex and Long-Term ChallengesBy Reiner Stuhlfauth, Technology Manager Wireless, Rohde & Schwarz International GmbH

Page 11: JANUARY - FEBRUARY 2020 MW January-February 2020 MW 3 200131_AWAIT_MWE_EU.indd 1 1/27/20 2:56 PM Contents 10, 12 Test & Measurement: 5G’s Far-Reaching Ambitions Set

www.mwee.com 11January-February 2020 MW

5G Test

OTA testing places constraints on the distance between the device under test (DUT) and the antenna of the measure-ment system, governed by the Fraunho-fer distance that describes the boundary between near field and far field. Mea-surements like modulation quality, trans-mit power and receiver sensitivity need to be made in far field, where the wave propagation can be described as plane wave. The far-field distance depends on the wavelength and the dimensions of the antenna aperture under test.

A new approach called plane wave synthesis has recently been accep-ted by standardization bodies as a means of testing large active antenna systems that would otherwise require large test chambers: In this approach, a test system antenna array uses signal synthesis methods to create a spherical plane wave propagation zone within a relatively short distance.

The larger far-field distances asso-ciated with higher frequencies would require a very large test chamber, maybe 10 meters or longer, for 5G OTA testing. The latest Compact Antenna Test Range (CATR) chambers are an example of the new generation of la-boratory equipment that is emerging to help overcome challenges like the size and accuracy of the quiet zone, as well as the measurement uncertainty and the practical challenges associated with calibrating the equipment.

In addition, the temperature sensiti-vity of the amplifiers and phase shifters used for beam forming and steering is also critical and must be tested. Rapidly heating or cooling a large test chamber is impractical, so Rohde & Schwarz has developed a solution for isolating the environment around the DUT allowing the temperature to be varied without affecting the radiation parameters.

Moreover, proper beam-forming operation can only be verified by perfor-ming tests in three dimensions, which requires a repeatable 3D positioning mechanism and a suitable means of recording the position of the DUT accu-rately for each procedure.

NEW USE CASES, NEW PRIORITIESCurrently, Release 15 of the 5G spe-cification defined by 3GPP, the stan-dards-making body, provides the foundation for current networks to sup-port 5G enhanced Mobile Broadband (eMBB) services. The full diversity of 5G services depends on additional network capabilities, namely mas-sive Machine-Type Communications

(mMTC) and Ultra-Reliable Low-Latency Communication (URLLC), which will be supported in later SA deployments. These will allow the more advanced ser-vices that we expect to see emerging in sectors such as industry 4.0, e-health, autonomous driving, and others.

Already industry-specific groups are forming, such as the 5G Automotive Association (5GAA) and the 5G Alliance for Connected Industry and Automation (5GACIA), comprising chip makers, so-lution integrators, and telecom opera-tors interested in developing new ideas that will leverage 5G for automotive and industrial purposes. The emergence of these bodies will undoubtedly pro-duce additional new proposals that will ultimately change the standards as they apply to 5G infrastructures, devices, applications, and services.

With these security-critical use cases, and others such as e-health, will come demands for additional testing to cover aspects that influence safety and secu-rity, such as reliability, deterministic low latency, authentication, and encryption. This is to verify that new security mecha-nisms in 5G like privacy protection, en-hanced user authentication, and encryp-tion, as well as integrity procedures, satisfy all applicable requirements.

Because the wide range of 5G ser-vices will place differing requirements on aspects such as bandwidth, data vo-lume, and response time, ensuring Qua-lity of Service (QoS) is critical if network resources are to be managed efficient-ly. Ultimately, user perception will be governed by the Quality of Experience (QoE). Defining suitable metrics and de-termining acceptable thresholds for each will be far more complex and challenging than for previous generations, given the large numbers of devices such as IoT sensors and connected cars, and the wide variety of services that are expec-ted to take advantage of 5G’s mMTC and URLCC capabilities.

MAKE IT HAPPENUntil now the 3GPP has had its hands full developing the specifications to the extent needed for today’s early com-mercial deployments to go ahead. In fact, the current Release 15 of the 5G specifications has been subdivided into three major parts to support develop-ment and facilitate this. The first “early drop” was released in December 2017 focussing on the physical layer, and a second drop arrived in the middle of 2018 to address signalling aspects. A third “late drop” accomplished in June

2019 defines additional specifications on lower priority architecture aspects.

While Release 15 aimed to put in place the fundamentals of the new radio, describing all the technology details, Release 16 focuses on the ver-ticals. The work items discussed here include the specifications for URLLC, such as standalone industrial networks, and other new features and services such as cellular vehicle-to-everything (C-V2X) communication, 5G broadcast, positioning support and 5G radio ope-ration in unlicensed bands and addi-tional frequency bands to name only few Even early work on Release 17 has started, although the work items for this release are currently being defined; one example is the idea of non-terrestrial networks. As these become clearer, the industry can work harder to realise even more of 5G’s potential to touch and change every aspect of our lives.

www.rohde-schwarz.com

CMX500 radio communication tester from Rohde & Schwarz targets 5G NR signaling test in sub-6 GHz (FR1) and mmWave (FR2) frequency bands.

The 3GPP 5G-compliant ATS1800C helps optimize the overall performance of RF modules, including beam characterization measurements.

Page 12: JANUARY - FEBRUARY 2020 MW January-February 2020 MW 3 200131_AWAIT_MWE_EU.indd 1 1/27/20 2:56 PM Contents 10, 12 Test & Measurement: 5G’s Far-Reaching Ambitions Set

www.mwee.com MW12 January-February 2020

Low Power Wireless

The ever-growing scope of the Internet of Things (IoT) and evolving technologies that are making it possible are promising the future of a truly connected world. Transportation (land, sea and air) and telecommunications networks (wireless or wireline) currently provide us with various options via which to connect to other people at any location on the planet depending on our particular needs (e.g. meeting a client face to face, speaking with a colleague in a diffe-rent country using a landline or a VoIP platform, or hosting a video conference call for participants across various time zones). Similarly, there will also be an array of network technologies needed to connect millions of IoT devices accor-ding to their individual functional criteria.

Although the purpose of IoT devices may vary widely, generally speaking their connectivity needs can be nar-rowed down to four key elements:

[1] They must (in most cases at least) be wireless.

[2] They should have a relatively long range (up to 5km in urban areas and between 10km and 40km in rural areas).

[3] They should have low power consumption (allowing batteries to last more than 5 years, and even as much as 10 years).

[4] Finally, these devices need to be deployed and maintained at a low cost.

IoT Analytics predicts that active global IoT connections will grow from 7 billion in 2018 to 15.8 billion in 2023, with low power wide area networks (LPWANs), such as the ones outlined above, accounting for 1.15 billion connected devices. Meanwhile, ID-TechEx Research forecasts there will be 2.7 billion LPWAN IoT connections in operation by 2029.

LPWAN PROTOCOLSThis article will focus on LPWAN technologies that fill the gap in terms of transmitting data between short-range wireless connections (Bluetooth, Wi-Fi and Zigbee) and energy-intensive cellular networks (2G, 3G and 4G). The more popular of these technologies

include Sigfox, LoRa and Weightless. It will also touch on narrowband IoT (NB-IoT) – which, although based on the LTE protocol and capable of running on both GSM and LTE networks, supports bi-di-rectional transmission of small amounts of data with low power consumption.

SigfoxThis proprietary technology was deve-loped by a French company with the same name, back in 2009. It operates on the unlicensed industrial, scienti-fic and medical (ISM) radio band at 868 MHz in Europe, 915 MHz in North

Choosing the Right Low Power Wireless ProtocolsBy Mark Patrick, Mouser Electronics

Figure 1: An overview of the main functional blocks of the ATA8520.

Page 13: JANUARY - FEBRUARY 2020 MW January-February 2020 MW 3 200131_AWAIT_MWE_EU.indd 1 1/27/20 2:56 PM Contents 10, 12 Test & Measurement: 5G’s Far-Reaching Ambitions Set

www.mwee.com 13January-February 2020 MW

Low Power Wireless

America and 433 MHz in Asia, with a bandwidth of 100 Hz. Sigfox has effectively been tailored as a lightweight protocol to handle messages of limited size. It transmits small quantities of data (100 bps or 600 bps depending on the region) using binary phase shift keying (BPSK) modulation. It is bi-di-rectional, but has a daily restriction of uploading 140 12-byte messages and downloading four 8-byte messages. It was deployed nationwide in France by 2014 and the company currently claims coverage across 60 countries. Sup-porting Sigfox, Microchip Technology’s 868 MHz ATA8520 single-chip RF trans-ceivers are flexible solutions that can combine with any host microcontroller unit (MCU). They incorporate an RF front end and controller technology in a single chip with low-power operation (32.7 mA at 14.5 dBm output power and 5 nA in off-mode current).

LoRaThis was also developed in France and patented by Cycleo SAS in 2009 (which was subsequently acquired by Semtech in 2012). It operates in the public ISM band using the same frequencies as Sigfox, but at a bandwidth of 125 kHz and 250 kHz, and a data transmission rate of up to 50 kbps (supporting 243-byte messages each way) via chirp spread spectrum (CSS) modulation. It also supports end-to-end AES-128 encryption. In 2015, the LoRa Alliance established LoRaWAN as the standard LoRa protocol. To date it has been de-ployed in 100 countries.

Semtech is the sole provider of the ICs employed in LoRa networks. Developed for smart metering, security sensors and agriculture monitoring, the SX1301 digital baseband chip enables the creation of outdoor LoRaWAN macro gateways. It has an integrated LoRa concentrator IP, which is a mul-tichannel high-performance transcei-ver designed to receive several LoRa packets simultaneously using random spreading factors on random channels. The device allows for a good connec-tion between a central wireless data concentrator and many wireless end-points spread over a very wide range of distances. It also features simultaneous dual-band operation, dynamic data rate (DDR) adaptation and 10 programmable parallel demodulation paths.

Murata, a pivotal member of the LoRa Alliance, has released compact, low-power-type ABZ LoRa wireless modules that are designed for smart

metering, wearables and asset tracking. These 12.5- x 11.6- x 1.76-mm units are housed in metal-shielded packages. They each include a Semtech SX1276 ultra-long-range spread-spec-trum wireless transceiver and one of STMicroelectronics’ STM32L0 series ARM Cortex M0+ 32-bit MCUs (with 192 kB of Flash me-mory and 20 kB of RAM).

To build a LoRa network, three things are required: a gateway, at least one node, plus a local server to monitor all the connec-ted devices. Seeed Studio’s LoRa/LoRaWAN 868 MHz and 915 MHz gateways are long-range wireless solutions for creating LPWANs. These kits provide all the basic building blocks – including a Raspberry Pi 3, a Seeeduino LoRaWAN with GPS, plus a 10-channel gateway and local server for collecting and transferring data among all the LoRa nodes. Engineers can build prototypes in minutes by connecting the gateway with Seeeduino LoRaWAN and Grove modules.

WeightlessDeveloped by the Cambridge-based, nonprofit organization the Weight-less Special Interest Group (SIG), the Weightless open standard operates in the sub-1GHz unlicensed spectrum and provides three different versions: Weightless-W, Weightless-N and Weightless-P. Weightless-W makes use of the “whitespace” or unused local spectrum in the licensed TV band. Weightless-N uses the unlicensed ultra-narrowband protocol based on NWave’s unidirectional technology. Weightless-P operates in the full range of unlicensed sub-1-GHz ISM/SRD bands, uses FDMA + TDMA modulation in the 12.5 kHz narrowband and has an adaptive data rate (going from 200 bps to 100 kbps). Weightless supports AES-128/256 encryption and authentication of both the terminal and the network.

Narrowband IoT (NB-IoT) This was standardized by the 3rd Ge-neration Partnership Project (3GPP) in 2016 (Release 13) and can operate over licensed GSM and LTE cellular networks while consuming minimal power. It has a 180 kHz bandwidth and a 50 kbps data rate (upload/download) with 1.6 kB messages. NB-IoT deployment is still playing catchup. T-Mobile launched the USA’s first nationwide NB-IoT network service in July 2018, while AT&T plans

to roll out its NB-IoT network across North America later this year. Vodafone’s European NB-IoT network now includes 10 different countries (the Netherlands, UK, Czech Republic, Ireland, Germany, Greece, Italy, Spain, Hungary and Ro-mania), with Turkey in the process of being added.

DECIDING ON THE RIGHT PROTOCOLSelecting the appropriate LPWAN tech-nology will depend on several factors – with network range/coverage, energy efficiency, latency and quality of service (QoS), as well as scalability and cost all needing to be considered. Farming/agriculture applications will require long battery life and minimal transmissions, but given that the locations are usually beyond current LTE coverage areas, Sigfox and LoRa would probably be more suitable options. Real-time moni-toring of machinery in factories would require various sensors with multiple communication requirements. Where Wi-Fi or Ethernet are not available, NB-IoT will be able to support the need for frequent communications and high QoS.

Asset tracking or status monitoring need low-cost sensors with long battery life, making LoRa and Sigfox best suited. However, for assets that are in motion (i.e. tracking trucks, tankers or pallets in the logistics industry), LoRa would be the more reliable choice. Similarly, when monitoring environmen-tal conditions in smart buildings that do not require high QoS or frequent communication, LoRa and Sigfox are likely to prove optimal (depending on coverage and indoor penetration).

Given the various dynamics at play, many IoT applications will require designers to develop a hybrid LPWAN solution. STMicroelectronics’ STEVAL-FKI001V1 is a development and pro-

Figure 2: Semtech SX1301 digital baseband.

Page 14: JANUARY - FEBRUARY 2020 MW January-February 2020 MW 3 200131_AWAIT_MWE_EU.indd 1 1/27/20 2:56 PM Contents 10, 12 Test & Measurement: 5G’s Far-Reaching Ambitions Set

www.mwee.com MW14 January-February 2020

europeanbusiness press

EmbeddedNews

ANALOGNews

AUTOMOTIVENews

LED LIGHTINGNews

POWER MANAGEMENTNews

TEST & MEASUREMENTNews

EUROPENews

RF - Microwave

MW

check your magazines andnewsletters subscription here

www.mwee.com/renew

NEWSLETTERS

up to date

Make sure

youget

the

information

you need

House Ad 2017 (MWee).indd 1 8/05/18 13:27

100-GHz FEMs

totyping tool enabling system designs based on Sigfox, Bluetooth Low Energy (BLE) and sub-1GHz technologies. This development kit features a fully pro-grammable Jorjin Technologies WS2118 module, which embeds the BlueNRG-1 system-on-chip (SoC) for BLE func-tionality and the S2-LP transceiver for sub-1GHz functionality. Its very low active RF and MCU current, plus low-power-mode current consumption provide a prolonged battery lifetime, allowing operation with coin cell batte-ries or via energy harvesting. The kit is compatible with Arduino shield boards featuring MEMS motion sensors, en-vironmental sensors and time-of-flight (ToF) ranging sensors.

Engineers can also use the company’s B-L072Z-LRWAN1 STM32 LoRaWAN discovery board to construct IoT hard-ware based on LoRa and/or FSK/OOK technologies. This incorporates Mura-ta’s all-in-one open-module solution to support LoRaWAN. It comes with the certified I-CUBE-LRWAN embedded software to set up a complete LoRaWAN node. The software is LoRaWAN class A certified and sustains class C. The board features Arduino connectors for a sensor extension cards, an integrated ST-Link V3 debugger, a USB 2.0 FS device port, a battery pack slot, an SMA connector and a 50Ω antenna.

ABOUT THE AUTHOR

Mark Patrick, Technical Marketing Ma-nager, EMEA joined Mouser Electronics in July 2014 having previously held se-nior marketing roles at RS Components. Prior to RS, Mark spent 8 years at Texas Instruments in Applications Support and Technical Sales roles and holds a first class Honours Degree in Electronic Engineering from Coventry University.

www.mouser.com

Mark Patrick.

100-GHz front-end modules using III-V and III-N devices on SiResearchers at Imec have de-monstrated what they believe to be the first functional GaAs-based heterojunction bipolar transistor (HBT) devices on 300mm Si, and CMOS-compatible GaN-based devices on 200mm Si for mm-wave applications, showing cut off frequencies in the 40/50 GHz region.

In wireless communication, with 5G as the next generation, there is a push towards higher operating frequencies, moving from the congested sub-6GHz bands towards mm-wave bands (and beyond). The introduction of these mm-wave bands has a significant impact on the overall 5G network infrastructure and the mobile devices. For mobile services and Fixed Wire-less Access (FWA), this translates into increasingly complex front-end mo-dules that send the signal to and from the antenna. To be able to operate at mm-wave frequencies, the RF front-end modules will have to combine high speed (enabling datarates of 10 Gbps and beyond) with high output power. In addition, their implementation in mobile handsets puts high demands on their form factor and power efficiency.

Beyond 5G, these requirements can no longer be achieved with today’s most advanced RF front-end mo-dules that typically rely on a variety of different technologies amongst others GaAs-based HBTs for the power am-plifiers – grown on small and expensive GaAs substrates.

“To enable the next-generation RF front-end modules beyond 5G, imec explores CMOS-compatible III-V-on-Si technology”, explains Nadine Collaert, program director at imec. “Imec is looking into co-integration of front-end components (such as power amplifiers and switches) with other CMOS-based circuits (such as control circuitry or transceiver technology), to reduce cost and form factor, and enabling new hybrid circuit topologies to address performance and efficiency.

Imec is exploring two different routes: one is Indium Phosphide (InP) on Si, targeting mm-wave and fre-quencies above 100 GHz (future 6G applications) and the other is GaN-

based devices on Si, targeting (in a first phase) the lower mm-wave bands and addressing applications in need of high power densities. For both routes, the researchers have now obtained first functional devices with promising performance characteristics while iden-tifying ways to further enhance their operating frequencies

The researchers demonstrated func-tional GaAs/InGaP HBT devices grown on 300mm Si, obtaining a defect-free device stack with below 3x106 cm-2. threading dislocation density using imec’s unique III-V nano-ridge enginee-ring (NRE) process. The devices were shown to perform considerably better than reference devices, with GaAs fabricated on Si substrates with strain relaxed buffer (SRB) layers. Next, the re-searchers aim to explore higher-mobility InP-based devices (HBT and HEMT).

On a second research path, imec has fabricated CMOS-compatible GaN/AlGaN-based devices on 200-mm Si and compared three different device architectures – HEMTs, MOSFETs and MISHEMTs, concluding that MISHEMT devices outperform the other device types in terms of device scalability and noise performance for high-fre-quency operation. For 300-nm gate lengths, the researchers measured peak cut-off frequencies of fT/fmax around 50/40 GHz, which is in line with reported GaN-on-SiC devices. They are confident that further gate length scaling could further improve their device performance and increase the operating frequency within the mm-wave bands.

www.imec-int.com

The NRE approach for hybrid III-V/CMOS integration on 300-mm Si: (a) nano-trench formation; defects are trapped in the narrow trench region; (b) HBT stack growth using NRE and (c) different layout options for HBT device integration.

Page 15: JANUARY - FEBRUARY 2020 MW January-February 2020 MW 3 200131_AWAIT_MWE_EU.indd 1 1/27/20 2:56 PM Contents 10, 12 Test & Measurement: 5G’s Far-Reaching Ambitions Set

europeanbusiness press

EmbeddedNews

ANALOGNews

AUTOMOTIVENews

LED LIGHTINGNews

POWER MANAGEMENTNews

TEST & MEASUREMENTNews

EUROPENews

RF - Microwave

MW

check your magazines andnewsletters subscription here

www.mwee.com/renew

NEWSLETTERS

up to date

Make sure

youget

the

information

you need

House Ad 2017 (MWee).indd 1 8/05/18 13:27

Page 16: JANUARY - FEBRUARY 2020 MW January-February 2020 MW 3 200131_AWAIT_MWE_EU.indd 1 1/27/20 2:56 PM Contents 10, 12 Test & Measurement: 5G’s Far-Reaching Ambitions Set

www.mwee.com MW16 January-February 2020

4G to 5G

Two network generations, both an es-sential part of the wireless ecosystem, but with hugely different infrastructure needs. It might not be the start of the world’s most popular love story, but it certainly has the potential to spell tragedy for network operators that do not work out how to marry 4G and 5G networks to support the future of connectivity

THE 5G HYPEThere is no doubt that 5G has a star-ring role in the next decade of mobile networking, but it is not the only key player. 4G is an essential part of the eco system meaning that network evo-lution is not a rip and replace situation, but a much more difficult merging of technologies. Meeting the promises of 5G and delivering the associated ROI is dependent on the entire ecosystem working together as one.

4G IS GOING NOWHERE4G still has a lot to offer customers and with operators still looking to see return on their investment in 4G technology, it makes sense to continue to squeeze value out of their existing infrastructure. A recent report from BICS demonstrates that 4G usage is only increasing, doubling for the third year in a row, emphasising the vital role it has to play in consumer demands for capacity. Additionally, by maintaining 4G infrastructure, operators can reserve 5G for use cases that offer higher return on investment. However, despite clear need to maintain 4G networks, it does pose a significant practical problem for operators – how to accommodate 5G equipment without impacting 4G, parti-cularly on base station sites.

THE INFRASTRUCTURE CONUNDRUMIncreasing capacity means increasing infrastructure, but this is not a straight-forward task. Replacing existing equip-ment doesn’t work as 4G still has its role to play, but simply adding antennas and increasing base station footprints

isn’t an option either. Between the cost implications, site owner resistance to change, and consumer aversion to a ‘forest of antennas’, operators have to think smarter when they look to build out their RAN. It is less about expan-ding infrastructure, but extending the capabilities of what is already in place.

SOLVING THE PROBLEMThis is where the marriage concept co-mes to play. By building 5G capabilities into 4G equipment, operators have the best of both worlds. The solid revenue stream 4G delivers and the ability to offer 5G and benefit from the opportu-nities next generation networks offer. Consolidation will be key for operators as they roll out 5G; working with OEMs to develop multipurpose equipment allows more to be delivered with less. Take Active Passive Antennas as an example. Marrying passive components needed for legacy frequency trans-missions with the active components needed for 3.5 GHz mMIMO transmis-sions in 5G networks allows operators to deliver 4G and 5G with a single

antenna and without expanding their physical footprint.

Not only this but if they are smart enough to take a modular approach, where equipment can be upgraded to match network demands, they create a truly futureproof infrastructure and can opt for a phased approach, spreading the investment and slowly building 5G into infrastructure as it is required.

CONCLUSIONThe RAN is often an area taken for granted. It is assumed that as essential network ‘plumbing’ it will just work, but as networks evolve, this is not the case. Furthermore, those operators taking this view miss out on the benefits that joining 4G and 5G at an infrastructure level offers. As demand for capacity continues to grow, capitalizing on ways to meet this while managing the base station restrictions is crucial to ensure a happy future for network operators and their customers.

www.rfsworld.com

4G and 5G: A Star Crossed Marriage for the Future ConnectivityBy Peter Raabe, Strategy Director, RFS

Page 17: JANUARY - FEBRUARY 2020 MW January-February 2020 MW 3 200131_AWAIT_MWE_EU.indd 1 1/27/20 2:56 PM Contents 10, 12 Test & Measurement: 5G’s Far-Reaching Ambitions Set

www.mwee.com 17January-February 2020 MW

Research

Microwave pulse method reduces error rate in quantum computersResearchers from the University of Hannover and the Physikalisch-Tech-nische Bundesanstalt (PTB) in Braunschweig have presented a me-thod that reduces the error rate in cal-culations by quantum computers and delivers reliable results much faster.

At present, two experimental ap-proaches for the realization of qubits are considered to be the most ad-vanced: superconducting circuits and trapped ions. The former store quan-tum information in electronic com-ponents, the latter at different energy levels of individual atoms. In supercon-ducting circuits, it was recently shown experimentally for the first time that quantum computers can perform highly specialized tasks that classical compu-ters fail to do. The ion-based method, on the other hand, is characterized by the fact that the error rate of compu-ting operations has always been much lower than with any other approach.

The ion method now developed by scientists from the University of Hannvover and the German national metrology agency PTB further reduces the error rate and thus delivers reliable calculation results much faster. It fol-lows an approach in which the ions are held in a vacuum above a chip struc-ture by means of electric fields.

The computing operations on the qubits are performed by sending microwave signals through special conductor loops embedded in the chip structure. Usually, extremely precisely controlled laser beams are used to perform computing operations. The

use of microwaves has the advantage that microwave technology is very advanced and in widespread use which makes it relatively cheap to use. And that it is comparatively easy to control these fields.

The researchers have investigated how to perform the computing ope-rations on the qubits most efficiently. This is a question that is also of great relevance in today's computer chips, because in the end the energy required per computing operation decides how many of them can be performed per second before the chip gets too hot. In the case of the ion-microwave quantum computer, the researchers were able to show that specially shaped microwave pulses, in which the microwave field is slowly built up and then broken down again, have error rates 100 times lower than a calculation operation in which the fields are simply switched on and off for the same energy input, despite the presence of interference sources.

To this end, the team had introduced additional, precisely controlled sources of interference into the experiment

and determined the calculation errors for sources of interference of varying intensity and for both pulse forms. "For our experiment this made a huge difference," says Giorgio Zarantonello, one of the authors of the study. "In the past, for good arithmetic operations, we had to try and optimize for a long time until we caught a moment when the sources of interference were very small. Now we can simply switch on our experiment and it works!".

Now that the scientists have been able to show that elementary arithmetic operations can be realized with low error rates, they want to achieve the same for more complex tasks. Their goal is to achieve significantly less than one error every ten thousand opera-tions. Only then does it make sense to extend the application to many qubits. To this end, the scientists have already developed a patented manufacturing process that makes it possible to store and manipulate many qubits in a chip structure.

The work was supported by the Quantum Technology Flagship Project of the EU, among others. Within the next decade, the EU intends to invest 1 billion euros to make findings from quantum physical basic research tech-nologically usable. Researchers from Hanover and Braunschweig are wor-king here within the "MicroQC" project together with colleagues from Siegen, Sussex, Jerusalem and Sofia.

https://doi.org/10.1103/ PhysRevLett.123.260503

Reseachers combine AI with satellite imagery to enrich GPS mapsA team of researchers at MIT and Qatar Computing Research Institute (QCRI) has developed a machine-learning model that leverages satellite imagery to tag road features in digital maps used for GPS na-vigation. By tapping into the global road network visible across millions of satel-lite images, and having learned how to extract relevant road features from known datasets, the RoadTagger model is able

to enrich poorly tagged digital maps even for parts of the world overlooked by large digital mapping companies.

Creating detailed maps is an expen-sive and time-consuming process. Think of the Google car driving around with cameras, combining the collected video data with other data to create up-to-date maps. This is what may enable a GPS system to make the difference between

diverging or merging lanes, helping the driver take the right direction. Similarly, incorporating information about parking spots can help drivers plan ahead, while mapping bicycle lanes can help cyclists negotiate busy city streets. Providing up-dated information on road conditions can also improve planning for disaster relief.

www.mit.edu

Page 18: JANUARY - FEBRUARY 2020 MW January-February 2020 MW 3 200131_AWAIT_MWE_EU.indd 1 1/27/20 2:56 PM Contents 10, 12 Test & Measurement: 5G’s Far-Reaching Ambitions Set

www.mwee.com MW18 January-February 2020

5G

In 2019, more than 50 operators across the globe announced their commercial launch of 5G, with over 200,000 new 5G Sub-6-GHz base stations deployed. However, 5G commercialization still remains in the initial stage of infrastruc-ture development, as indicated by large-scale construction only in China, South Korea, the United States, and a few other countries in Europe and the Middle East.

It is estimated that China will build more than 500,000 5G base stations in 2020, which will accelerate global 5G deployment. Currently, 5G rollout has faced a number of challenges, which includes stricter requirements for base stations density, lower power consump-tion, and profitable business models. In addition, operators are seeking Re-turn on Investment (RoI) from different vertical industries and a collaborative ecosystem for empowering all indus-tries through 5G innovations.

A decade ago, 4G witnessed its wor-ldwide prosperity despite uncertainties. Technological development in combina-tion with innovative practices facilitated the commercial deployment of 4G, enabling the mobile internet to expedite social transformation.

TECHNOLOGICAL DEVELOPMENT IS PROMOTING LARGE-SCALE 5G COMMERCIALIZATIONAlthough the per-bit power consump-tion of 5G has dramatically decreased compared with that of 4G, the power efficiency still remains a key issue as 5G networks are expected to accelerate data processing rate by dozens of times. Chipsets are determinant to the perfor-mance, integration, power consumption, and other key parameters of products; therefore the foundry process of chip-sets is crucial for product evolution. In the past, the foundry process of the 28 nm chipset available for both system equipment and mobile devices impelled 4G commercialization. Today, the 7 nm chipset is bound to drive 5G commer-cialization. With its self-developed 7 nm baseband chips and DIF chips, ZTE has

made remarkable progress, including a 40% improvement in integration, a over 20% increase in end-to-end RF output power, and a 30% decrease in both power consumption and product weight. In 2020, these chipsets will be exten-sively used in 5G networks. In the next few years, continuous development of technologies, power consumption and product weight will continue to reduce. Therefore, the industry-leading deve-lopment of 7-nm/5-nm chipsets will consolidate the leadership of mains-tream vendors' 5G products.

The coverage issue brought by the 5G higher spectrum band can be miti-gated by using new technologies, such as Massive MIMO. Consequently, the 5G base station density in urban areas is similar to that of 4G base stations.

THE CHALLENGE OF MULTI-VENDOR INTEGRATIONMulti-vendor integration is still the biggest challenge for 5GC commer-cialization. Currently, the Cloud-Native Architecture (CNA) and Service Based Architecture (SBA) have already achie-

ved software-hardware decoupling and have been put into commercial use. Network slicing can achieve automatic cross-deployment in the RAN, transport network, and core network built by one vendor. Operators are now looking into exploring multi-vendor integration to improve management domain stan-dards facilitating automatic end-to-end deployment. The typical services that emerge in the 5GC commercialization, including 5G VoNR and SMS services, billing service, inter-RAT handover, and user data migration without change of SIM cards or numbers, have passed Interoperability Testing (IOT) and proven their capabilities to support eMBB scenarios. The standards for the vertical industry-oriented services such as high-precision 5G positioning, ESTUN, NPN, TSN, and 5G LAN are are beco-ming mature. ZTE has been a leading player in promoting 5GC commerciali-zation based on mature 5GC products, field testing and network performance.

In the initial stage of 5G develop-ment, the standards for 5G user equip-ment and 5G systems are still under

5G – Striving for Sustainable Growth Amid High ExpectationsBy Wang Xiyu, CTO, ZTE

Page 19: JANUARY - FEBRUARY 2020 MW January-February 2020 MW 3 200131_AWAIT_MWE_EU.indd 1 1/27/20 2:56 PM Contents 10, 12 Test & Measurement: 5G’s Far-Reaching Ambitions Set

www.mwee.com 19January-February 2020 MW

5G

development. Therefore, vendors with end-to-end 5G capabilities become industry leaders in implementing unified commissioning and making better use of spectrum bands. In February 2019, ZTE released the Axon 10 Pro 5G, Chi-na's first 5G smartphone in commercial use. By the end of 2019, the shipments of 5G smartphones to global customers had reached 5 million, accounting for 0.15% of the total smartphone ship-ments in the world. In the first quarter of 2020, ZTE intends to release a series of next-generation multimode multi-fre-quency 5G smartphones like the Axon 11. By the end of 2020, the lowest price of ZTE's 5G smartphones is anticipated to be USD 300, and the price of SA modules for vertical industries is also expected to decrease rapidly. With the wider coverage of 5G networks, global operators predict that by the end of 2020, 5G user equipment around the world will reach 160 million.

5G COMMERCIALIZATION ACCELERATES DIGITAL TRANSFORMATIONZTE is collaborating with vertical in-dustry partners to maximize the value of each application. ZTE has explored the deep integration of 5G and vertical industries with Sany Heavy Industry, Xinhua News Agency, Tianjin Port, and Suning, jointly promoting the digital transformation of these industries.

Practices and exploration of 5G in vertical industries indicate that the combination of real and virtual scena-rios are vital requirements raised by in-dustries. In many 5G applications, video services are considered essential for digital transformation. Although 4G can support the transmission of text, data, pictures, and small videos, 5G ensures the reliable transmission of control signals and video services that feature interaction, real time, and determinis-tic latency. By promoting digitization

in societies and putting digital control systems into applications, 5G can acce-lerate digital transformation.

In the 2G era, operators concen-trated on the construction of network infrastructure, reaching their golden age when voice services predominated. In 3G and 4G eras, the weak correlation between content and networks enabled OTT service providers to share benefits derived from the existing networks. Therefore, those who stayed at the forefront of mobile internet and cloud computing became the industry giants. In the 5G era, the requirements for large bandwidth, high interaction, and de-terministic latency make services more reliant on networks that enable content, computing, and storage services. While 4G is a catalyst for the booming cloud computing, 5G will be the driving force for distributed, real-time and syn-chronized video services in the cloud computing era. Telecom operators would share benefits originating from the digital economy on the basis of their superior network resources and capabi-lity advantages.

Partnering with leading enterprises in different industries, ZTE is dedicated to boosting the development of vertical industries through 5G and empowering all partners to enable core capabilities such as Cloud XR, AI, intelligent inter-connection, high-precision positioning, and security capabilities for industrial applications.

APPLICATION OF ESSENTIAL SOFTWARE IS ADVANCING THE ESTABLISHMENT OF A MULTI- VENDOR ECOSYSTEMUnlike the traditional consumer field, the digital transformation in vertical industries requires a more mature ecosystem and a dramatic uplift in reliability from 99.9% to 99.999% and even higher. Essential software, such as operating systems and databases

is fundamental to the best use of both hardware and applications.

ZTE's NewStart operating system has been adopted in the key fields of telecom, high-speed rail, power, auto-motive and industrial automation. With more than 200 million sets shipped to global customers, the NewStart opera-ting system has become a fundamental technology that ensures reliable social evolution.

In view of the increasing amount of data to be processed and the increa-sing business transactions in the 5G era, traditional network architecture with dedicated servers and centralized databases cannot support long-term service development with its currently limited system performance, scalability, and flexibility. The application of distri-buted databases, given their better per-formance and higher reliability, ensures rapid implementation of new technolo-gies in the ecosystem, and removes the risks of shrinking ecosystem, the diffi-culties in talent cultivation, and the high costs brought by dedicated servers. GoldenDB, ZTE's distributed database, has been applied by major banks in their credit card systems to meet the stringent business requirements. Assis-ting customers in smoothly processing the spiking traffic in the Double 11 (11 Nov) and Double 12 (12 Dec) Shopping Festivals, the database sets an example for other innovative database applica-tions in diverse industries.

ZTE is committed to facilitating the 5G commercialization and the deve-lopment of information superhighway, advancing digital transformation by empowering vertical industries through 5G. By promoting its widely-used and mature NewStart operating system and GoldenDB database, the company is committed to striving for the prosperity of the ecosystem.

www.zte.com.cn

AI platform for networks gets seed fundingComputer networking platform startup Augtera Networks (Palo Alto, CA) has announced that it has raised $4 million in seed funding for what it says is the indus-try's first AI platform for networks.

Built from the ground up for networks, the AI platform, says the company, brings the benefits of AI-augmented operations, planning, and orchestration to physical, virtual, and cloud network

environments. The funding will enable the company to expand operations to support existing and rapidly growing production deployments across Global 1000 companies.

The company has developed proprie-tary algorithms – built and matured using production data from nine very large scale networks - with over two years of R&D to apply machine learning to the networking

domain. AI applications that leverage these algorithms are custom built for networking constructs. These AI appli-cations are powered by the company's platform, which, says the company, provides the data plumbing, network models, scale, visualization, ecosystem integration, and agility needed to bring the benefits of AI to complex and dynamic networking environments.

Page 20: JANUARY - FEBRUARY 2020 MW January-February 2020 MW 3 200131_AWAIT_MWE_EU.indd 1 1/27/20 2:56 PM Contents 10, 12 Test & Measurement: 5G’s Far-Reaching Ambitions Set

www.mwee.com MW20 January-February 2020

Passive Components

Integrated Passive Components (IPCs) are attracting increasing interest due to the miniaturization of wireless devices, as well as the need to increase reliability of signal conditioning in RF circuits such as filtering, impedance matching, differential to single ended conversion and coupling.

IPCs are essentially electronic sub-systems that combine multiple discrete passive components into a single surface mounted device. Manu-factured using Low Temperature Cofired Ceramic (LTCC) technology that allows the passive components to be layered “3-dimmensionally,” IPCs deliver the same functionality as 10-40 individual components, while dramatically redu-cing the board space required.

With this approach, the entire front-end between the RF chipset and the an-tenna can be manufactured in a single, ultra-low profile (0.35-1.0 mm total thickness) package that is less than 20% the total size of the same circuit comprised of discrete components.

“If you were to look at the circuit from above, you would only see three chips: the RF wireless chip, the IPC and the antenna,” explains Manuel Carmona of Johanson Technology, a leader in high frequency ceramic components including chip antennas, High Q capa-citors and EMI chip filters. “The design is very clean and really small. You can make the overall PCB size much smaller using IPCs while maintaining high wire-less performance.”

Industries already adopting IPC technology include automotive, me-dical, mobile electronics and “smart” wearables.

For customers less concerned with board space or overall size, IPCs deli-ver another significant benefit – greater reliability. By creating a literal circuit within a small LTCC package, variability

and potential points-of-fai-lure are all but eliminated when compared to mounting many discrete components. A single integrated package of matching components also all but assures com-pliance with FCC or ETSI requirements.

“A lot of the variability of “solo” surface-mounted components is due to the individual variance of each component. When you mul-tiple that by 10 to 20 com-ponents, you just need one weak link in the RF chain for the entire front-end to fail,” says Carmona.

Carmona says IPCs are available for almost any type of passive circuit, including low and high pass filters, diplexers, triplexers, impedance matched baluns, balun-filters band pass filters, couplers and other custom signal conditioning circuits.

LTCC TECHNOLOGYThe process to manufacture IPCs is similar to the technology already used to create multi-layer SMD component parts, such as capacitors and induc-tors. However, low temperature co-fired ceramic (LTCC) manufacturing allows circuits to be embedded in as many as 40 separate layers in a three-dimensio-nal package that is still very low profile.

Using this manufacturing process, Johanson Technology has developed a line of small, highly reliable IPCs for RF systems manufactured with a proprie-tary LTCC (low temperature co-fired ceramic) process. These components operate over several bands from 300 MHz to 10 GHz covering Cellular, DECT, WLAN, Bluetooth, 802.11 (a, b and g) and GPS applications.

Each integrated package is tho-roughly 100% RF tested to ensure all the components are working properly and are integrated together.

“Because of the LTCC manufactu-ring process is extremely precise and repeatable, we can stay within the manufacturing limits of alignment, va-riations, and so forth, so you get really consistent, robust, RF circuitry,” says Carmona.

As a result, Johanson Technology is able to guarantee the IPC is a passive subsystem that is guaranteed to pass its RF performance requirements with FCC and ETSI and any other emission regulation.

MATCH-FILTER BALUNS One standout IPC offering is Johanson Technology’s line of Matched-Filter Baluns.

A balun is an electrical device that converts between a balanced (diffe-rential) and unbalanced (single-ended) signal. The component can take many forms and may include devices that also transform impedances.

Integrated Passive Components Simplify Signal Conditioning in Package that is 20% the SizeBy Johanson Technology

Front-end” IPC provides all the passive components between the RF chipset and antenna, in a single package that is 1/20th the size of discreet components mounted on PCB

Typical structure of a Johanson IPC.

Page 21: JANUARY - FEBRUARY 2020 MW January-February 2020 MW 3 200131_AWAIT_MWE_EU.indd 1 1/27/20 2:56 PM Contents 10, 12 Test & Measurement: 5G’s Far-Reaching Ambitions Set

www.mwee.com 21January-February 2020 MW

Passive Components

Because many RF wireless chipsets have differential (two pins) outputs – an RF input and output – that connect to a single-ended antenna, the signal needs to be converted from differential to single ended in a specific impedance ratio, most of the time these wireless RFICs have a non-standard complex impedance which the IPC’s match for optimum power efficiency. Some baluns are also combined with a bandpass, low pass, or high pass filters.

To accomplish a impedance conju-gate match, Johanson Technology works with chipset OEMs to create a specific Match-Filter Baluns with a matching part number for each chip. The collaboration begins with reference designs during development to simplify and speed-up adoption of the chipset in the market.

“The IPC is basically a plug-and-play solution,” explains Carmona. “By working with the leading chipset manu-facturers, we have already completed the R&D to ensure it is optimized for that specific chip. Not only will it work, but it will comply with any emission requirements.”

MARKETSBecause IPCs require much less board space, smaller miniaturized devices with RF circuitry can be designed and smaller form-factor products created.

“With PCB real estate at a prime, the size and placement of the passive components are critical because as every-thing gets smaller it becomes increasingly difficult to place more components on the board,” explains Carmona. “Therefore, design engineers are looking to component manufacturers to deliver miniaturized solutions that occupy next to no real board space.”

Beyond size, a smaller PCB can also impact the aesthetics of a product, allowing for slim, low profiles. The elimination of components on a 10:1 or greater basis also reduces the overall weight of devices, even if that savings is measured in tenths of grams.

These factors have major implications for next generation smart wearables

(rings, bracelets, shoes, jeans, shirts and other apparel), implantable medical devices and portable electronics.

As it relates to greater reliability, Carmona says the automotive industry is already utilizing IPCs for on-board cellular, Wi-Fi, Bluetooth, satellite radio and GPS systems, as well as key fobs. As such, IPCs are designed to meet AEC-Q200 standards.

www.johansontechnology.com

Johanson IPCs in a single package that is 1/20th the size of discreet components mounted on PCB.

Infineon, Oculii collaborate on scalable radar software systems

Oculii and Infineon are collaborating on radar software systems that scale performance for cost-effective single chip implementations tailored for Level 1 ADAS, all the way to multi-chip high performance systems tailored for Level 4 autonomous driving.

A fundamental building block for both current and future automated driving ap-plications, radar sensors are used in dis-tance warning and automatic emergency braking systems – making driving safer. Such sensors will be part of the standard equipment of every new car in the future. Among other things, they are required for autonomous driving. With more than 100

million 77 GHz radar chips sold, Infineon is the technology and market leader in this segment.

Traditional radar architectures re-quire more physical antennas in order to deliver higher angular resolution, but additional antennas translate into higher cost, size, and power. Proprietary Virtual Aperture Imaging radar software, developed by Oculii, increases the angular resolution of an array through sophisticated software as opposed to more physical transceiver elements. This software technique uses an array mul-tiplier – enabling a MIMO radar sensor to achieve an increase of over 10x in angular resolution, delivering sub-degree angular with existing mass manufactured automotive-grade silicon.

The partnership will enable automotive OEMs and Tier-1 customers to leverage Infineon’s best in class chipset contai-ning AURIX™ MCUs, MMIC and power supply hardware platform along with Oculii’s Virtual Aperture Imaging software to deliver high-resolution performance at an attractive price point.

“This partnership is another step by Infineon to leverage advanced software around our best in class 77 GHz radar portfolio,” said Ritesh Tyagi, Head of the Automotive Silicon Valley Innova-tion Center at Infineon. “Oculii’s Virtual Aperture Imaging technology combined with Infineon’s existing mass manufac-tured automotive grade radar solutions enables an increase in angular resolu-tion without the need for multiple active transceivers or expensive antenna technologies.”

“Infineon is the technology and world market leader in radar silicon, and we have been working closely with them to integrate our Virtual Aperture Software to further improve the angular resolution and performance of their market leading radar sysyems,” said Oculii’s CEO, Steven Hong, PhD. “Our team has de-monstrated that our software architec-ture can improve angular resolution and field of view by an order of magnitude.”

www.oculii.comwww.infineon.com

Page 22: JANUARY - FEBRUARY 2020 MW January-February 2020 MW 3 200131_AWAIT_MWE_EU.indd 1 1/27/20 2:56 PM Contents 10, 12 Test & Measurement: 5G’s Far-Reaching Ambitions Set

www.mwee.com MW22 January-February 2020

Products

VNA covers 70 kHz to 220 GHz in single sweep

Anritsu Company has introduced the VectorStar™ ME7838G broadband vector network analyzer (VNA), the first VNA capable of making measurements from 70 kHz to 220 GHz in a single sweep.

By offering unprecedented frequency coverage, the latest VectorStar VNA allows engineers to more accurately and effi-ciently characterize devices over a much broader range of frequencies to provide accurate device models, thus optimizing the chance for accurate simulations and opportunity to reduce design turns.

To consistently provide the industry's widest single sweep broadband cove-rage for improved device modeling, the VectorStar VNA system incorporates the Anritsu Non-linear Transmission Line (NLTL) millimeter-wave (mmWave) modules. An innovative design allows the 220 GHz probe to connect directly to the mmWave module for accurate, stable on-wafer measurements, thereby avoiding the limits of coaxial connectors at sub-te-rahertz frequencies.

The 220 GHz ME7838G leverages the company's proven VectorStar broadband platform's ability to go beyond traditional boundaries in a single sweep without the need to reconstruct the wafer probe station from 110 GHz to higher waveguide bands. Because of the design, engineers can measure a wide range of circuits on the same wafer without transferring from a coax microwave VNA to coax mmWave VNA or waveguide mmWave VNA. The system reduces measurement time of the entire wafer, improves measurement accu-racy of wideband devices by eliminating the need for waveguide concatenation, and delivers wafer probe station cost effi-ciencies by reducing the number of VNA reconfigurations.

The ME7838G addresses the market need to conduct on-wafer measure-ments that span into the upper mmWave frequencies for more accurate device characterization. The VectorStar-based broadband system gives engineers confidence in the systems they are desi-

gning by characterizing the devices from near-DC to well beyond the operating frequency, for more accurate modeling.

www.anritsu.com

Radio moduleoffers long-distance wireless communication

The Themisto-I radio module from Würth Elektronik has an RF output power of up to 25 dBm and can attain ranges of up to 10 km. Proprietary network solutions for IIoT/M2M applications can be implemented in the shortest possible time using the 17- x 27- x 3.8-mm radio module, the WE-ProWare firmware, and the SDK package. With its 915 MHz frequency band, as well as FCC and IC module certification, Themisto-I is aimed at the American market.

With the appropriate broadband pro-files and increased input sensitivity at the receiver, the radio range can be increased from 800 m to over 10 km. Themisto-I is fully compatible with the Telesto-III low-power version in terms of radio profile, pins and command interface. So, for example, it extends the options for setting up decentralized sensor networks.

www.we-online.com

5-W wireless power receiver chipfor WPC and QiKinetic Technologies has launched a new line of WPC/Qi compliant, wireless charging products with the KTE7000 5-W wireless power receiver.

The KTE7000 is a single-chip wireless power system that conforms to WPC/Qi v1.2.4 Baseline Power Profile (BPP) standards. Fully compatible with all WPC/Qi certified transmitters, the KTE7000 will operate in BPP mode when interopera-ting with either BPP transmitters or EPP (Extended Power Profile) transmitters.

The chip integrates a full-synchronous rectifier with robust voltage surge protec-tion and an LDO to efficiently convert the wireless AC power into 5V DC power at up to 1.5A. Higher power outputs are pos-sible in proprietary modes. An embedded microcontroller with ROM and program-mable memory provides power manage-ment, protection, and communications with the power transmitter.

The KTE7000 is available in a green compliant, 52-bump, 2.66- x 3.90-mm WLCSP package.

www.kinet-ic.com

High efficiency 500-W LDMOS transistoroperates in the 433 MHz bandA high efficiency LDMOS-based power amplifier transistor, the BLP05H9S500P from Ampleon is designed for use in industrial heating, defrosting, plasma ligh-ting and medical applications.

Operating at a frequency range of between 423- to 443-MHz, the BLP05H9S500P LDMOS transistor can deliver up to 500-W output power in pulsed or continuous wave modes and enables so far untapped drain efficiency levels of typically 75%. Such best in class characteristics will keep the required cooling capacity to a minimum while also saving both space and operation costs.

In addition, the lightweight push-pull transistor amplifier was specifically designed to withstand a VSWR of 10:1 at 50-V through all phases with no damage or degradation, further simplifying the system design and protection circuitry.

Page 23: JANUARY - FEBRUARY 2020 MW January-February 2020 MW 3 200131_AWAIT_MWE_EU.indd 1 1/27/20 2:56 PM Contents 10, 12 Test & Measurement: 5G’s Far-Reaching Ambitions Set

www.mwee.com 23January-February 2020 MW

Products

The BLP05H9S500P is based on Ampleon’s 9th generation high voltage LDMOS process technology and comes in a low thermal resistance OMP780 package. It is currently in production with a minimum longevity commitment of 15 years.

www.ampleon.com

Skyworks cellular-based modulesdrive 5G massive IoT applications

Skyworks Solutions has announced that its family of connectivity modules are powering the rapidly emerging 5G Massive Internet of Things (Massive IoT) market.

Specifically, the company’s turnkey engines provide the critical wireless func-tionality that is becoming essential for the billions of devices, objects and machines across an increasingly connected world of the Massive IoT.

Cellular-based connectivity modules from Skyworks expedite time-to-market by incorporating all the required functio-nality to deliver maximum performance and optimized power efficiency in the most compact package available. The flagship SKY66430-11 is the world’s smallest, fully certified all-in-one device and incorporates a multi-band, multi-chip system-in-package (SiP) enabling cellular LTE-M/NB-IoT (half-duplex FDD) architec-tures. By integrating Sequans’ MONARCH SQN3330 CHIP, customers have an entire RF front-end (RFFE), transceiver, power management, memory and baseband modem for an LTE multiband radio ope-rating in the 700 to 2200 MHz frequency range. This SiP has been certified by leading worldwide carriers including KDDI, NTT Docomo, SoftBank and Verizon.

Other products in the portfolio include the SKY68020-11, SKY68001-31, SKY68001-41, SKY68018-11 and SKY77368-11 multiband RFFE modules supporting up to Power Class 3 (+23 dBm)

half-duplex transceiver platforms. These modules are designed for global 5G Mas-sive IoT network deployments in low-band and mid-band frequencies in addition to providing 2G backward compatibility, either natively or through auxiliary ports. These 5G-ready devices work in tandem with all major LTE-M/NB-IoT modems and are currently available.

IoT manufacturers are quickly embra-cing cellular connectivity given its ability to deliver a secure, real-time device-to-cloud connection needed for remote monitoring, control, or management. This low-power, wide area network (LPWAN) capability is ideal for widely adopted consumer pro-ducts such as smartwatches, wearables and asset trackers, as well as industrial and infrastructure applications such as gas, water and electric metering, machine monitoring, factory automation, supply chain and logistics oversight.

www.skyworksinc.com

Next-gen Bluetooth LE Audio codec IPSynopsys has collaborated with Fraunho-fer Institute for Integrated Circuits (IIS) to release an implementation of the next-ge-neration Bluetooth LE Audio Low Com-plexity Communication Codec (LC3).

The new codec is designed to be compliant with the upcoming Bluetooth LC3 audio codec specification and is optimized to deliver high-quality audio and voice playback in battery-powered devices incorporating DesignWare® ARC® EM DSP and HS DSP processor IP.

The LC3 codec is an important feature of Bluetooth LE Audio, the soon-to-be-released next-generation audio standard defined by the Bluetooth Special Interest Group (SIG) that enables system-on-chip (SoC) designers to efficiently implement high-quality voice and audio streaming

in a wide range of applications, including mobile, wearables, and home automa-tion. The LC3 codec for ARC proces-sors is based on an implementation by Fraunhofer IIS that is designed to meet Bluetooth SIG requirements. The new LC3 codec, running on ARC EM and HS DSP processors, allows designers to rapidly integrate a complete, pre-verified hardware and software solution for voice and speech processing into Bluetoo-th-enabled devices requiring minimal energy consumption.

The 32-bit DesignWare ARC EM and HS DSP processors are based on the scalable ARCv2DSP Instruction Set Architecture (ISA) and integrate RISC and DSP capabilities for a flexible processing architecture. The ARC EM DSP proces-sors offer ultra-low power and indus-try-leading performance efficiency while the multi-core-capable ARC HS DSP processors provide a unique combination of high-performance control and high-ef-ficiency digital signal processing. All ARC processors are supported by the ARC MetaWare Development Toolkit, which includes a rich library of DSP functions to allow software engineers to rapidly imple-ment algorithms from standard DSP buil-ding blocks. In addition, ARC processors and the LC3 codec can be combined with Synopsys' Bluetooth 5.1-compliant DesignWare Bluetooth Low Energy IP to deliver power-efficient, high-quality wire-less audio capability for smart IoT and other Bluetooth-enabled devices.

www.synopsys.com/designware

Minauture AI modulesuperior noise suppression, simplies design

Murata Electronics claims it has created what is believed to be the smallest AI module based on the Edge TPU ASIC from Google. To be commercialized as the Coral Accelerator Module, the custom designed AI module packages Google’s Edge TPU ASIC within a miniaturized footprint. The companies claim that the module overcomes some of the most pressing challenges in implementing AI by delivering superior noise suppression and simplifying printed circuit board design in a smaller footprint. Miniatu-rization is key as all board space must be optimized to achieve highly robust functionality in space constrained ope-rations. The result of this collaboration is a system that speeds up the algorithmic calculations required to execute AI.

Page 24: JANUARY - FEBRUARY 2020 MW January-February 2020 MW 3 200131_AWAIT_MWE_EU.indd 1 1/27/20 2:56 PM Contents 10, 12 Test & Measurement: 5G’s Far-Reaching Ambitions Set

www.mwee.com MW24 January-February 2020

Products

Coral provides the complete toolkit of hardware components, software tools, and pre-compiled models for building devices with local AI. The AI module is an integral part of the fully integrated Coral platform, which can be implemented in a myriad of applications across numerous industries.

Murata worked closely with Coral to ensure that the AI module helped enable the flexibility, scalability, and compatibility for integration into applications deploying the Coral technology.

www.coral.ai

Open-source software tool for signal integrity

Teledyne LeCroy has announced the availability of a free open-source Python-based software tool, SignalIntegrity, to solve signal integrity problems for design and test engineers.

In order to avoid signal integrity issues in today's world of gigabit-per-second transfer rates, engineers must have superior tools for the necessary com-bination of simulation, modeling and measurement. The goal of this software is to provide free tools for solving real-time signal integrity problems.

The new SignalIntegrity software provi-des extra analysis capabilities to Teledyne LeCroy's WavePulser 40iX High-Speed Interconnect Analyzer, which is the ideal single measurement tool for high-speed hardware designers and test engineers. WavePulser's combination of s-parame-ters and impedance profiles in a single acquisition with a deep toolbox provides unmatched characterization insight of high-speed interconnects.

Engineers in industries including computer, semiconductor and consu-mer electronics, data storage, automo-tive, industrial, military, aerospace and telecommunication markets work to test, design and understand signal integrity on protocols such as Gigabit Ethernet, Infiniband, Fibre Channel, USB, HDMI and SATA. With Teledyne LeCroy's open-source software, engineers are now able to access the first-of-its-kind SignalInte-grity source code, and then modify and extend it to aid their work in test and design. The software can be used for linear circuit and system simulation sol-ving for interconnected circuits and s-pa-rameter blocks, de-embedding, virtual probing and viewing s-parameter files.

https://pypi.org/project/ SignalIntegrity

Wi-Fi 6E access points for 6 GHz WLANBroadcom has made available a portfo-lio of Wi-Fi 6E devices that cover both enterprise and residential WLAN appli-cations in a new soon-to-be-operational 6 GHz band. Wi-Fi 6E is a new standard that builds on the rich feature set of Wi-Fi 6, including OFDMA and other multi-user operations that improve performance in crowded environments, advanced roa-ming capabilities and increased security. Wi-Fi 6E extends the Wi-Fi 6 standard to support the soon-to-be-operational 6 GHz band. This new band enables up to 1200 MHz of spectrum for Wi-Fi use, which WLAN access point (AP) manu-facturers can leverage to deliver faster speeds, higher capacity and lower latency with no congestion from legacy devices.

These devices coupled with the new spectrum will further accelerate the deployment of Wi-Fi 6 technology delive-ring a steady, swift and secure consu-mer experience. This expands upon the existing Wi-Fi 6 ecosystem which has over 125 million smartphones. The innovations of Wi-Fi 6E open up unpre-cedented capacity for high-bandwidth applications such as 8K video streaming, real-time immersive gaming, virtual and augmented reality, and high-speed tethe-ring. The new 6 GHz spectrum band is expected to be operational in the United States in 2020, and a wide ecosystem of 6 GHz-enabled devices will be available at launch.

"With access to additional spectrum and unencumbered by legacy Wi-Fi 4 and Wi-Fi 5 devices, Wi-Fi 6E will be

able to reach its full potential in terms of data rates, latency, and network effi-ciency," said Phil Solis, research director at IDC. "Building on Wi-Fi 6's use of OFDMA and other advanced features, Wi-Fi 6E will allow Wi-Fi to expand into new applications."

www.broadcom.com

Infineon develops tiny 3D image sensorfor face authenticationInfineon Technologies AG has colla-borated with software and 3D Time of Flight system specialist pmdtechnolo-gies ag to develop the smallest available and at the same time most powerful 3D image sensor.

The 3D image sensor assumes a key role in smartphones and for applications that rely on accurate 3D image data, inclu-ding reliable face authentication, improved photo functions and authentic augmented reality experiences.

The latest REAL3™ single-chip 3D image sensor measures just 4.4 x 5.1 mm and is the fifth generation of successful time-of-flight deep sensors from Infineon. In addition to its small dimensions, which allow it to be incorporated into even the smallest devices with just a few elements, the chip provides the highest resolution data with low power consumption.

“With the fifth generation of our REAL3™ chip we are once again demons-trating our leading position in the field of 3D sensors,” says Andreas Urschitz, President of the Power Management and Multimarket Division at Infineon, which also includes sensor business. “It’s robust, reliable, powerful, energy efficient and at the same time decisively small. We see great growth potential for 3D sensors, since the range of applications in the areas of security, image use and context-based interaction with the devices will steadily increase.” The 3D sensor also allows the device to be controlled via gestures,

Page 25: JANUARY - FEBRUARY 2020 MW January-February 2020 MW 3 200131_AWAIT_MWE_EU.indd 1 1/27/20 2:56 PM Contents 10, 12 Test & Measurement: 5G’s Far-Reaching Ambitions Set

www.mwee.com 25January-February 2020 MW

Products

so that human-machine interaction is context-based and without touch.

The depth sensor time-of-flight tech-nology enables an accurate 3D image of faces, hand details or objects which is relevant when it must be ensured that the image matches the original. This is already applied in payment transactions using mobile phones or devices that need no bank details, bank cards or cashiers and the payment is carried out instead via facial recognition. This requires an extremely reliable and secure image and return transmission of the high-resolu-tion 3D image data. The same applies to securely unlocking devices with a 3D image. The Infineon 3D image sensor also implements this in particular in extreme lighting conditions such as strong sunlight or in the dark.

Furthermore, the chip provides addi-tional options for ambitious photos with cameras, for example, with enhanced autofocus, bokeh effect for photo and video, and improved resolution in poor lighting conditions. Real-time full-3D mapping also allows authentic augmented reality experiences to be presented.

Series production will begin in the middle of 2020. In addition, Infineon Tech-nologies offers an optimized illumination driver (IRS9100C) that further improves performance, size and cost as a complete implementation.

www.infineon.com/real3www.pmdtec.com

Production ready softwarefor gesture control and 3D motion trackingCEVA has introduced a new genera-tion of its Hillcrest Labs sensor fusion product family, with the launch of the MotionEngine™ Air, a production ready software that delivers low power, motion-based gesture control, 3D motion tracking and pointing for consu-mer handheld devices in high volume markets. Markets for gesture control and 3D motion tracking include smartphone and PC stylus pens, smart TV and over-the-top (OTT) remote controls, game controllers, AR and VR controllers, and PC peripherals.

New advancements in low power inertial sensors and Bluetooth Low Energy along with the MotionEngine Air sensor fusion software yields sub-mil-liamp level power draw for the whole system. This enables the proliferation of

precise, interactive and intuitive motion-control for always-on and always-aware user experiences.

Some key features of MotionEngine Air include precise pointing, gesture and motion control algorithms that feature cursor for point-and-click control of an onscreen UI; gestures such as flick, shake, tap, tilt, rotate, or circle for intuitive UI controls; 6-axis sensor fusion enabling 3D motion tracking for gaming and VR; and Motion events such as pick-up, flip and stability detector to enable power savings.

MotionEngine Air benefits from patented orientation compensation and adaptive tremor removal, required for a consistent and intuitive user experience.

Pre-qualified for use with sensors from the leading inertial sensor suppliers MotionEngine Air includes a compre-hensive software stack with host drivers and sensor management to streamline software integration with widely used operating systems including Android, Windows, MacOS and Linux. An Arm Cor-tex-M based evaluation board and related firmware are available.

MotionEngine Air software is a highly flexible, low power and small memory footprint solution that can run on a variety of processors, including Arm Cortex-M, RISC-V and CEVA-BX and CEVA-TeakLite families of DSPs. It can be delivered in multiple configurations – including a full-featured system that requires an accelerometer plus gyroscope (IMU) and a gesture and motion event-based solution that requires only an accelerometer.

www.ceva-dsp.com

77 GHz CMOS radar transceiverdeveloped by Chinese startupShanghai startup Calterah Semiconduc-tor Technology has rolled out its second generation of 77 GHz CMOS radar system chip for autonomous driving applications. The Alps chip is manu-factured in 40nm CMOS and comes in variants with either two transmit and four

receive channels (2T4R) or four transmit and four receive channels (4T4R).

It includes a configurable waveform generator, and an analog-to-digital converter with sampling rates of up to 50 million of samples per second (MSPS). The broadband processor based on the ARC EM6 licensed from Synopsys imple-ments radar algorithms.

In addition to the conventional embedded wafer-level ball grid array (eWLB) package, the Alps chip series also includes an Antenna in Package (AiP), which greatly reduces the diffi-culty and cost of radar development by integrating the antenna onto the chip packaging layer. Alps covers long-range, medium-range, short-range, and ultra-short-range radar requirements.

Calterah has also developed indoor detection and tracking of the human body applications based on 60 GHz and 77 GHz mm-Wave radar chip families, employing FMCW and MIMO technologies.

Calterah Semiconductor Technology (Shanghai) Co. Ltd., was founded in 2014 and has rolled at a series of 77 GHz and 60 GHz CMOS radar transceivers and sensors including antenna in package. The Yosemite (77/79 GHz) and Yellows-tone (60 GHz) were produced in 2017 followed by the Alps 77/79 GHz CMOS radar SoC in 2019. The Rhino 60 GHz CMOS radar SoC is due to follow.

www.calterah.comwww.synopsys.com

Vehicle test environment adds GNSS stimulation

A collaboration between AVL and Rohde & Schwarz permits the reproduction of realistic GNSS reception conditions for testbed vehicle testing. As a result, users can reliably test all aspects of GNSS-based vehicle positioning – a core functio-nality of autonomous vehicles.

AVL DRIVINGCUBE™ enables the reproducible testing of driver assistance systems and driving features for self-dri-ving vehicles using a real vehicle within a

Page 26: JANUARY - FEBRUARY 2020 MW January-February 2020 MW 3 200131_AWAIT_MWE_EU.indd 1 1/27/20 2:56 PM Contents 10, 12 Test & Measurement: 5G’s Far-Reaching Ambitions Set

www.mwee.com MW26 January-February 2020

europeanbusiness press

EmbeddedNews

ANALOGNews

AUTOMOTIVENews

LED LIGHTINGNews

POWER MANAGEMENTNews

TEST & MEASUREMENTNews

EUROPENews

www.mwee.com

House Ad MWee 2018.indd 1 9/05/18 17:18

Products

virtual environment in a variety of different traffic situations. For that purpose, test drives are performed with a real, ready-to-drive vehicle on a chassis dynamome-ter or powertrain testbed. With the help of realistic virtual driving scenarios it is possible to test peripheral sensors, control systems and actuators inside the vehicle in a fully reproducible and reliable manner. Automated vehicle functions are thus suffi-ciently validated during development and even before testing on the proving ground.

The range of environment simulations carried out with AVL DRIVINGCUBE™ can now be extended to include GNSS signals, bringing simulation closer to rea-lity than ever before. The vehicle’s GNSS receiver (e.g. GPS) is stimulated realisti-cally using GNSS signals generated on the testbed. This way, technical engineers can identify exactly how sensors, auto-mated driving features and other actua-tors respond inside the vehicle. The now possible GNSS-based vehicle positioning feature is a core functionality of auto-mated driving, and the approach ensures that it is reliably tested.

For generating GNSS signals, Rohde & Schwarz GNSS stimulators are used (SMBV100B or SMW200A), which allow the generation of signals for all of the avai-lable satellite navigation systems (GPS, Glonass, Galileo, BeiDou, QZSS, SBAS) across all frequency bandwidths (L1, L2, L5). This also makes them suitable for testing multi-frequency receivers, which are playing an increasingly important role in automated driving.

www.rohde-schwarz.com

GaAs sub-harmonic mixer MMICs offer low conversion loss

Custom MMIC has announced a new product category, adding to its growing list of high performance MMICs, with the introduction of three new GaAs Sub-har-monic mixers.

The CMD303, CMD310/C3 MMICs cover frequency ranges of 13-21 GHz and 20-32 GHz respectively, with excellent conversion loss and isolation. Sub-harmo-nic mixers are driven by a local oscillator (LO) signal that is an integer fraction, or sub-harmonic, of the desired LO fre-quency. This eases the design, layout and cost of the LO source for microwave frequency designs. These new mixers operate with a x2 LO, meaning the user needs to provide the mixer with an LO at half the desired mixing frequency.

www.CustomMMIC.com

Cisco enters chip market with network processor

Cisco Systems has announced it is ship-ping a network processor called the Sili-con One or Q100. Cisco also announced the 8000 router using the silicon.

Cisco had previously been a customer for network processors. Reportedly the Silicon One is not only powering Cisco hardware, such as the Cisco 8000 router but also shipping to Facebook and Micro-soft. The company has reportedly spent $1 billion on developing a new approach to networking and the design of the Silicon One ASIC. Cisco's approach is now characterized as "silicon plus optics plus software." Cisco's 8000 router is designed to support 400 Gbps and higher port rates.

The Silicon One is intended to be a universal networking processor that can be deployed in data centers, in modular networking equipment and in enterprise routers. It operates at 10 terabits per second and is expected to scale up to 25 Tbps.

The chip seems to be descended from Cisco's acquisition of Leabe Semiconduc-tor Ltd. (Caesarea, Israel) in March 2016.

Cisco does not appear to have dis-closed what foundry is manufacturing the chip or what manufacturing process is being used. As the technology is likely to be close to the leading edge the likely candidates are TSMC and Samsung.

www.cisco.com

60 GHZ phased array antennaenables cost-effective systems

The PER-PAx patented series of phased array antennas from Peraso Techno-logies complement the company’s 802.11ad chipsets, providing a cost-ef-fective way to increase system perfor-mance and cover the extremely wide bandwidth allowed in the unlicensed 60 GHz band.

The antennas feature a multi-layer construction optimized for low-cost with fibre-resin substrates and standard process technology – eliminating exotic soft-board or ceramic substrates. The PER-PAG models use air-gap dielectric for increased antenna gain and system performance and the PER-PAD models are designed to feed parabolic reflectors and use phased array beam steering to facilitate and optimize antenna aiming. They deliver consistent gain response over the 57-71 GHz unlicensed fre-quency band over a wide operating temperature range.

The PER7213-PAG is the first version of the PER-AG series containing 64 ele-ments configured in a 2-D scanning array with 22 dBi gain across the full frequency range. In conjunction with the X720 60 GHz chipset from Peraso, a complete system is able to achieve a 1.5 km link without the use of a dish antenna.

The PER7211-PAD is the first PER-PAD model, designed to feed a 390-mm dish with 44 dBi gain. In conjunction with the X720 60 GHz chipset from Peraso, a complete system is able to achieve a 5 km link with a data rate of 1 Gb/s.

“The PER-PAx antennas provide a solution that the mmWave industry needs in order to reduce system cost without sacrificing performance,” explains Bill McLean, President and CEO of Peraso. “Coupled with our new X720 chipset, our customers are able to build multi-giga-bit mmWave radio links with ranges in excess of 5 kms.”

https://perasotech.com

Page 27: JANUARY - FEBRUARY 2020 MW January-February 2020 MW 3 200131_AWAIT_MWE_EU.indd 1 1/27/20 2:56 PM Contents 10, 12 Test & Measurement: 5G’s Far-Reaching Ambitions Set

europeanbusiness press

EmbeddedNews

ANALOGNews

AUTOMOTIVENews

LED LIGHTINGNews

POWER MANAGEMENTNews

TEST & MEASUREMENTNews

EUROPENews

www.mwee.com

House Ad MWee 2018.indd 1 9/05/18 17:18

Page 28: JANUARY - FEBRUARY 2020 MW January-February 2020 MW 3 200131_AWAIT_MWE_EU.indd 1 1/27/20 2:56 PM Contents 10, 12 Test & Measurement: 5G’s Far-Reaching Ambitions Set

MagMicrowave Engineering Europe

Page # 15 bleed .125 in

USA & Canada Internationalpasternack.com

+1 (866) 727-8376 +1 (949) 261-1920

Largest RF Selection Available for Same-Day Shipping

The Engineer’s Immediate RF Source

Pasternack meets the immediate needs of engineers who are building the future of RF communications.

• Over 40,000 RF, microwave, and mmWave components in 100+ categories of interconnect, passives, actives, and antennas

• 99.4% in-stock availability

• Shipped same day

• No minimum order required

In-Stock and Shipped Same Day