Top Banner
International Conference on Silicon Carbide and Related Materials 2019 September 29 - October 4, 2019 Kyoto International Conference Center Kyoto, Japan Technical Program
42

International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

Jul 19, 2020

Download

Documents

dariahiddleston
Welcome message from author
This document is posted to help you gain knowledge. Please leave a comment to let me know what you think about it! Share it to your friends and learn new things together.
Transcript
Page 1: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

International Conference on

Silicon Carbide and Related Materials 2019

September 29 - October 4, 2019Kyoto International Conference Center Kyoto, Japan

Technical Program

Page 2: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

Sunday(September 29)

Monday(September 30)

Tuesday(October 1)

Wednesday(October 2)

Thursday(October 3)

Friday(October 4)

8 30

45

9 00

15

30

45

10 00

15

30

45

11 00

15

30

45

12 00

15

30

45

13 00

15

30

45

14 00

15

30

45

15 00

15

30

45

16 00

15

30

45

17 00

15

30

45

18 00

15

30

45

19 00

15

30

45

20 00

15

30

45

[Th-2B]Alternative

Bulk Growth

(Annex-2)

Tutorial

10:30-17:00

(Room A )

Welcome Reception

18:00-20:00

(Swan)

Break 11:00-11:30

Lunch

12:45-14:15

Break 15:30-15:45

[PL-1]Plenary: James Cooper

Plenary: Takafumi FukushimaInvited poster introduction

9:10-11:00

(Main Hall)

[Mo-P]

Poster Session

15:45-17:45

(Annex-1)

[Mo-2A]Oxidation & Nitridation

(Room A)

[Mo-2B]3C-Hetero-

Epitaxy

(Annex-2)

[Mo-1B]Quantum

Technology I

(Annex-2)

[Mo-1A]MOSFETs I

(Room A)

Industrial Session

18:00-21:00

(Room A )

Opening 9:00-9:10 (Main Hall)

Lunch

12:15(12:30)-13:45

Break 10:15-10:45

[Tu-P]

Poster Session

16:15-18:15

(Annex-1)

[Tu-1B]Extended Defects I

(Annex-2)

[Tu-1A]Packaging

(Room A)

[Tu-2B]Sublimation Bulk Growth

(Annex-2)

[Tu-2A]MOSFETs 2

(Room A)

[Tu-3B]Quantum

Technology II

(Annex-2)

[Tu-3A]Transition Layer &

Fluctuations

(Room A)

Break 15:45-16:15

Break 17:45-18:00

Lunch

12:15-13:45

Break 10:15-10:45

[We-P]

Poster Session

16:15-18:15

(Annex-1)

[We-1B]High-temp. &

ICs

(Annex-2)

[We-1A]Interface Defect

Structures

(Room A)

[We-2B]4H-Homo-

Epitaxy

(Annex-2)

[We-2A]Inversion

Layer Transport

(Room A)

[We-3B]Fundamental Physics and

Measurement Techniques

(Annex-2)

[We-3A]Diodes &

Processing

(Room A)

Break 15:45-16:15

Lunch

12:15-13:45

[Th-P]

Poster Session

13:45-15:45

(Annex-1)

[Th-1B]Extended Defects 2

(Annex-2)

[Th-1A]Testing & Radiation

(Room A)

[Th-2A]Device

Processing

(Room A)

Banquet

18:30-20:30

(Nijo-jo Castle)

Lunch

11:45(12:00)-13:00

Break 10:00-10:30

Break 14:15-14:45

[Fr-2B]Wafer

Manufacturing

(Annex-2)

[Fr-2A]Bipolar Power Transistors

(Room A)

[Fr-3B]Device-Related Characterization

(Annex-2)

[Fr-3A]Applications

(Room A)

[Fr-1B]Novel

Materials & Concepts

(Annex-2)

[Fr-1A]Oxide Stability & Reliability

(Room A)

[PL-2]Plenary: Philip Neudeck14:45-15:30 (Room A)

Closing15:30-16:30 (Room A)

Break 10:15-10:45

Page 3: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

Monday | [OP] Opening 09:00-09:10 Location: Main Hall Session Chair: Noboru Ohtani (Kwansei Gakuin University) 09:00 [OP-01]

Opening Address Tsunenobu Kimoto (Kyoto Univ.), Organizing Committee Chair

Monday | [PL-1] Plenary Session I 09:10-10:40 Location: Main Hall Session Chairs: Tsunenobu Kimoto (Kyoto University), Hidekazu Tsuchida (CRIEPI) 09:10 [PL-1-01 (Plenary)]

"Progress is Impossible without Change": Innovation as a Driver of SiC Technological Evolution *James A Cooper1 1. Sonrisa Research, Inc. and Purdue University (United States of America)

09:55 [PL-1-02 (Plenary)]

N700S Shinkansen traction system adopting SiC device ~Shinkansen rolling stock and their traction system that continue to evolve~ *Takafumi Fukushima1 1. Central Japan Railway Company (Japan)

Monday | [IP] Invited Poster Introduction 10:40-11:00 Location: Main Hall Session Chairs: Tsunenobu Kimoto (Kyoto University), Hidekazu Tsuchida (CRIEPI) 10:40 [IP-01 (Invited)]

SiC epitaxial reactor cleaning by ClF3 gas with the help of reaction heat Keisuke Kurashima1, Masaya Hayashi1, *Hitoshi Habuka1, Hideki Ito2, Shin-ichi Mitani2, Yoshinao Takahashi3 1. Yokohama National University (Japan), 2. NuFlare Technology, Inc (Japan), 3. KANTO DENKA KOGYO CO., LTD (Japan)

10:45 [IP-02 (Invited)]

Silicon vacancy related defects in 4H SiC for quantum technology *András Csóré1, Nguyen Tien Son2, Ivan Gueorguiev Ivanov2, Adam Gali1,3 1. Budapest Univ. of Tech. and Economics (Hungary), 2. Linköping Univ. (Sweden), 3. Wigner Res. Centre for Physics, HAS (Hungary)

10:50 [IP-03 (Invited)]

Evaluation of the influence of Al+ implantation on the forward degradation of SiC bipolar devices *Teruaki Kumazawa1,2, Masakazu Baba2, Yusuke Yamashiro2, Mariko Hayashi2,3, Manabu Takei2,4, Kimimori Hamada1, Shinsuke Harada2, Yoshiyuki Yonezawa2, Hajime Okumura2 1. Toyota Motor Corp. (Japan), 2. National Institute of Advanced Industrial Science and Technology (AIST) (Japan), 3. DENSO CORP. (Japan),

4. Fuji Electric Co., Ltd. (Japan) 10:55 [IP-04 (Invited)]

Electrical Parameters Degradations of p-GaN HEMTs under Repetitive UIS Stress *Sheng Li1, Siyang Liu1, Chi Zhang1, Jiaxing Wei1, Weifeng Sun1, Yiheng Li2, Zhichao Yang2 1. Southeast Univ. (China), 2. CorEnergy Semiconductor Corp. Ltd. (China)

Page 4: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

Monday | [Mo-1A] MOSFETs I 11:30-12:45 Location: Room A Session Chairs: Yoshiyuki Yonezawa (AIST), Takashi Shinohe (FLOSFIA) 11:30 [Mo-1A-01 (Invited)]

Design and Performance of SiC Trench MOSFETs *Dethard Peters1 1. Infineon Technologies AG (Germany)

12:00 [Mo-1A-02]

Effects of Grounding Bottom Oxide Protection Layer in Trench-Gate SiC-MOSFET by Tilted Al Implantation *Yutaka Fukui1, Katsutoshi Sugawara1, Rina Tanaka1, Hidenori Koketsu1, Hideyuki Hatta1, Yusuke Miyata1, Hiroyoshi Suzuki1, Kensuke Taguchi1, Yasuhiro Kagawa1, Shingo Tomohisa1, Naruhisa Miura1 1. Mitsubishi Electric Corp. (Japan)

12:15 [Mo-1A-03]

Performance Improvement of Trench Gate SiC MOSFET by Localized High-Concentration N-Type Ion Implantation *Rina Tanaka1, Katsutoshi Sugawara2, Yutaka Fukui1, Hideyuki Hatta1, Hidenori Koketsu1, Hiroyoshi Suzuki2, Yusuke Miyata1, Kensuke Taguchi2, Yasuhiro Kagawa2, Shingo Tomohisa1, Naruhisa Miura1 1. Advanced Technology R&D Center, Mitsubishi Electric Corp. (Japan), 2. Power Device Works, Mitsubishi Electric Corp. (Japan)

12:30 [Mo-1A-04]

1200 V / 200 A V-groove Trench MOSFET Optimized for Low Power Loss and High Reliability *Kosuke Uchida1, Toru Hiyoshi1, Yu Saitoh1, Hiroshi Egusa1, Tatsushi Kaneda1, Hirotaka Oomori1, Takashi Tsuno1 1. Sumitomo Electric Industries, Ltd. (Japan)

Monday | [Mo-1B] Quantum Technology I 11:30-12:45 Location: Annex Hall 2 Session Chairs: Takeshi Ohshima (QST), Michael Krieger (FAU Erlangen-Nürnberg) 11:30 [Mo-1B-01 (Invited)]

First principles calculation of highly anisotropic g-tensors of Kramers-doublet transition metals in hexagonal SiC András Csóré1, *Adam Gali1,2 1. Department of Atomic Physics, Budapest University of Technology and Economics (Hungary), 2. Wigner Research Centre for Physics,

Hungarian Academy of Sciences (Hungary) 12:00 [Mo-1B-02]

The carbon antisite-vacancy defect in 4H-SiC: energy level and charge state control *Nguyen Tien Son1, Pontus Stenberg1, Valdas Jokubavicius1, Hiroshi Abe2, Takeshi Ohshima2, Jawad Ul-Hassan1, Ivan Gueorguiev Ivanov1 1. Department of Physics, Chemistry and Biology, Linköping Univ., SE-58183 Linköping (Sweden), 2. National Inst. for Quantum and

Radiological Sci. and Tech., 1233 Watanuki, Takasaki, Gunma 370- 1292 (Japan) 12:15 [Mo-1B-03]

Physical model of the optical charge state switching of the divacancy in 4H-SiC *Ivan G Ivanov1, András Csóré2, Björn Magnusson1,5, Takeshi Ohshima3, Adam Gali2,4, Son Nguyen Tien1 1. Linköping Univ. (Sweden), 2. Budapest Univ. of Technology and Economics (Hungary), 3. National Institutes for Quantum and

Radiological Science Technology (Japan), 4. Wigner Research Centre for Physics, Hungarian Academy of Sciences (Hungary), 5. Norstel

AB (Sweden) 12:30 [Mo-1B-04]

Polytype Control over Spin and Optical Properties of Defects in SiC *Victor Soltamov1, Vladimir Dyakonov1, Timur Biktagirov2, Wolf Gero Schmidt2, Uwe Gerstmann2, Sergei Orlinskii3, Pavel Baranov4 1. Experimental Physics VI, Julius- Maximilian Univ. of Würzburg, 97074 Würzburg (Germany), 2. Lehrstuhl für Theoretische Materialphysik,

Univ. of Paderborn, 33098 Paderborn (Germany), 3. Kazan Federal Univ., 420008 Kazan (Russia), 4. Ioffe Inst., 194021 St. Petersburg

(Russia)

Page 5: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

Monday | [Mo-2A] Oxidation and Nitridation 14:15-15:30 Location: Room A Session Chairs: Ulrike Grossner (ETH Zürich), Munetaka Noguchi (Mitsubishi Electric) 14:15 [Mo-2A-01]

Anomalous band alignment change of SiO2/4H-SiC MOS capacitors induced by NO-POA and its possible origin *Tae-Hyeon Kil1, Koji Kita1 1. Univ. of Tokyo (Japan)

14:30 [Mo-2A-02]

Investigation of SiC Microwave Plasma Oxidation Kinetics via Oxygen Isotope Labelling *Nannan You1,2, Shengkai Wang1,2, Jilong Hao1,2, Yun Bai1,2, Xinyu Liu1,2 1. Institute of Microelectronics of the Chinese Academy of Sciences (China), 2. University of Chinese Academy of Sciences (China)

14:45 [Mo-2A-03]

Impact of substrate orientation on the structure of SiO2/SiC interface *Xiuyan Li1,2, Mengjun Li2, Tianning Cui1, Sang Soo Lee3, Sylvie Rangan2, Alexi Aermakov2, Timothy T. Fister3, Torgny Gustfsson2, Eric Garfunkel2, Paul Fenter3, Leonard C. feldman2 1. Shanghai Jiao Tong Univ. (China), 2. Rutgers Univ. (United States of America), 3. Argonne National Lab. (United States of America)

15:00 [Mo-2A-04]

Nitridation of SiC surfaces by H2/N2 treatment *Koichi Murata1, Daisuke Mori2, Aki Takigawa2, Hidekazu Tsuchida1 1. Central Research Institute of Electric Power Industry (CRIEPI) (Japan), 2. Fuji Electric Co., Ltd. (Japan)

15:15 [Mo-2A-05]

Reduction of Interface States in 4H-SiC/SiO2 near both Conduction and Valence Band Edges by High-temperature Nitrogen Annealing *Keita Tachiki1, Tsunenobu Kimoto1 1. Kyoto Univ. (Japan)

Monday | [Mo-2B] 3C-Hetero-Epitaxy 14:15-15:30 Location: Annex Hall 2 Session Chairs: Gabriel Ferro (Université Claude Bernard Lyon I), Hiroyuki Nagasawa (CUSIC) 14:15 [Mo-2B-01]

High-quality C-face 3C-SiC(111) Grown on off-axis C-face 4H-SiC Substrates Yuchen Shi1, Valdas Jokubavicius1, Ivan G. Ivanov1, Rositsa Yakimova1, Mikael Syväjärvi1, *Jianwu Sun1 1. Linköping University, Sweden (Sweden)

14:30 [Mo-2B-02]

3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1, C. Calabretta1, M. Zielinski2, F. Mancarella1, Francesco La Via1 1. CNR-IMM (Italy), 2. NOVASiC (France)

14:45 [Mo-2B-03]

Analysis of Defect-Free Hot Filament CVD-grown 3C-SiC *Bart Van Zeghbroeck1, Ryan R Brow2, Tomoko Borsa1, David Bobela3 1. Univ. of Colorado (United States of America), 2. NREL (United States of America), 3. TrueNano Inc (United States of America)

15:00 [Mo-2B-04]

Exploration of Solid Phase Epitaxy of 3C-SiC on Silicon *Marcin Zielinski1, Sylvain Monnoye1, Hugues Mank1, Frank Torregrosa2, Gregory Grosset2, Yohann Spiegel2, Marc Portail3, Adrien Michon3 1. NOVASiC (France), 2. IBS (France), 3. CNRS-CRHEA, UCA Univ. (France)

15:15 [Mo-2B-05]

Microscopic Identification of Surface Steps of SiC by the Density-Functional Calculations *Kaori Seino1, Atsushi Oshiyama1 1. Nagoya Univ. (Japan)

Page 6: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

Tuesday | [Tu-1A] Packaging 08:45-10:15 Location: Room A Session Chairs: Yasunori Tanaka (AIST), Nando Kaminski (University of Bremen) 08:45 [Tu-1A-01 (Invited)]

Packaging Enables Next Generation Power Electronics *Christoph Marczok1, E. Hoene1 1. Fraunhofer IZM (Germany)

09:15 [Tu-1A-02]

Improving Heat Conduction of Insulated Metal Substrate with Thermal Pyrolytic Graphite Core for SiC Power Module Packaging *Wei Fan1, Garry Wexler2, Emre Gurpinar3, Burak Ozpineci3 1. Momentive Performance Materials Inc. (United States of America), 2. Henkel Corp. (United States of America), 3. Oak Ridge National

Laboratory (United States of America) 09:30 [Tu-1A-03]

Impact of humidity stress on blocking reliability for SiC power devices *Hiroyuki Matsushima1, Yuki Mori1, Akio Shima1 1. Hitachi, Ltd. Research & development Group (Japan)

09:45 [Tu-1A-04]

Power Cycling Capability and Lifetime Estimation of Discrete Silicon Carbide Power Devices *Felix Hoffmann1, Nando Kaminski1 1. University of Bremen (Germany)

10:00 [Tu-1A-05LN]

High Temperature Gate Voltage Step-by-Step Test to Assess Reliability Differences in 1200 V SiC MOSFETs *Elena Mengotti1, Enea Bianda1, David Baumann1, Jason Bettega1, Joni Jormanainen2 1. ABB (Switzerland), 2. ABB Drives (Finland)

Tuesday | [Tu-1B] Extended Defects I 08:45-10:15 Location: Annex Hall 2 Session Chairs: Koji Maeda (CRIEPI), Robert Stahlbush (NRL) 08:45 [Tu-1B-01 (Invited)]

Operando X-ray topography analysis of 4H-SiC MOSFETs for investigating stacking fault expansion K. Konishi1, R. Fujita1, K. Kobayashi1, A. Yoneyama1, Y. Mori1, *Akio Shima1 1. Research &Development Group, Hitachi, Ltd. (Japan)

09:15 [Tu-1B-02]

Nitrogen Concentration Dependence of Expansion Behavior of Double Shockley Stacking Faults in 4H-SiC Studied by In-situ Synchrotron X-ray Topography *Fumihiro Fujie1, Shunta Harada1,2, Hiromasa Suo3,4, Tomohisa Kato4, Toru Ujihara1,2,5 1. Department of Materials Process and Eng., Nagoya Univ. (Japan), 2. Center for Integrated Res. of Future Electronics (CIRFE), Inst. of

Materials and Systems for Sustainability (IMaSS), Nagoya Univ. (Japan), 3. Showa Denko K. K. (Japan), 4. National Inst. of Advanced

Indus. Sci. and Tech. (AIST) (Japan), 5. GaN Advanced Device Open Innovation Lab. (GaN-OIL), National Inst. of Advanced Indus. Sci.

and Tech. (AIST) (Japan) 09:30 [Tu-1B-03]

Synchrotron X-ray Topography Study on the Relationship between Local Basal Plane Bending and Basal Plane Dislocations in PVT-grown 4H-SiC Substrate Wafers *Tuerxun Ailihumaer1, Balaji Raghothamachar1, Michael Dudley1, Gil Chung2, Ian Manning2, Edward Sanchez2 1. Stony Brook Univ. (United States of America), 2. Dupont Co. (United States of America)

09:45 [Tu-1B-04]

Analysis of Prismatic Dislocations in 4H-SiC Crystals by Multiple-beam Diffraction Topography *Isaho Kamata1, Norihiro Hoshino1, Yuichiro Tokuda2, Takahiro Kanda2, Naohiro Sugiyama2, Hironari Kuno2, Hidekazu Tsuchida1 1. Central Res. Inst. of Electric Power Industry (CRIEPI) (Japan), 2. DENSO CORPORATION (Japan)

Page 7: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

10:00 [Tu-1B-05] Lattice Plane Distortions Introduced by Epilayer Growth of SiC wafers *Nadeemullah A Mahadik1, Robert E Stahlbush1, Stanislav Stoupin2, Hrishikesh Das3, Peter Bonanno1, Albert Macrander4 1. Naval Research Laboratory (United States of America), 2. Cornell High Energy Synchrotron Source, Cornell Univ. (United States of

America), 3. On Semiconductor (United States of America), 4. Argonne National Lab. (United States of America)

Tuesday | [Tu-2A] MOSFETs II 10:45-12:15 Location: Room A Session Chairs: Kung-Yen Lee (National Taiwan University), Peter Friedrichs (Infineon Technologies AG) 10:45 [Tu-2A-01 (Invited)]

Challenges in extremely low specific on-resistance with SiC SJ-VMOSFETs *Takeyoshi Masuda1, Y. Saito1,2, T. Hatayama1, H. Michikoshi1, Y. Mikamura2, S. Harada1 1. National Institute of Advanced Industrial Science and Technology (Japan), 2. Sumitomo Electric Industries, Ltd (Japan)

11:15 [Tu-2A-02]

Demonstration of Superior Static, Dynamic, and Short-Circuit Performance of 1.2 kV 4H-SiC Split-Gate Octagonal Cell MOSFETs compared with Linear, Square, and Hexagonal Topologies *Kijeong Han1, Ajit Kanale1, B. Jayant Baliga1, Subhashish Bhattacharya1 1. North Carolina State Univ. (United States of America)

11:30 [Tu-2A-03]

Field Stabilizing Trench-Etched DMOS for Suppression of Performance Variation *Takeru Suto1, Naoki Watanabe1, Yuan Bu1, Hiroshi Miki1, Naoki Tega1, Yuki Mori1, Digh Hisamoto1, Akio Shima1 1. Hitachi, Ltd. (Japan)

11:45 [Tu-2A-04]

Experimental Study of Switching and Short-Circuit Performance of 1.2kV 4H-SiC Accumulation and Inversion Channel Power MOSFETs *Aditi Agarwal1, Ajit Kanale1, Kijeong Han1, B. Jayant Baliga1, Subhashish Bhattacharya1 1. NC State University (United States of America)

12:00 [Tu-2A-05]

Superior turn-on loss characteristics of 1.2 kV SiC IE-UMOSFET with a very short channel length. *Taiga Kanamori1, Ruito Aiba1, Masataka Okawa1, Shinsuke Harada2, Hiroshi Yano1, Noriyuki Iwamuro1 1. Univ. of Tsukuba (Japan), 2. National Inst. of Advanced Indus. Sci. and Tech. (Japan)

Tuesday | [Tu-2B] Sublimation Bulk Growth 10:45-12:30 Location: Annex Hall 2 Session Chairs: Noboru Ohtani (Kwansei Gakuin University), Toru Ujihara (Nagoya University) 10:45 [Tu-2B-01 (Invited)]

Status of Large Diameter Semi Insulating and N-Type Single Crystals at II-VI Advanced Materials *Rajan Rengarajan1, A. Gupta1, X. Xu1, K. Yang1, I. Zwieback1, G. Ruland1 1. II-VI Advanced Materials (United States of America)

11:15 [Tu-2B-02]

Growth of 50 mm useable height 150 mm 4H-SiC, defect conversion and reduction by thermal treatment and their characterization *SRaghavan Parthasarathy1, Roman Drachev1, Bob Berliner1, Bala Bathey1, Henry Chou1 1. GT Advanced Technologies Tech (United States of America)

11:30 [Tu-2B-03]

Growth and Characterization of Al-Doped p-type 4H-SiC Grown by PVT *Kazuma Eto1, Hiromasa Suo1,2, Tomohisa Kato1, Hajime Okumura1 1. National Institute of Advanced Industrial Science and Technology (AIST) (Japan), 2. Showa Denko K.K. (Japan)

Page 8: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

11:45 [Tu-2B-04] Prospects of bulk growth of 3C-SiC using sublimation growth *Peter J Wellmann1, Philipp Schuh1, M. Schöler1, J. Steiner1, M. Zielinski2, M. Mauceri3, G. Litrico4, F. La Via4 1. Univ. of Erlangen (FAU) (Germany), 2. NOVASIC (France), 3. LPE S.P.A. (Italy), 4. CNR-IMM (Italy)

12:00 [Tu-2B-05]

PVT Growth and Manufacturing of 2-Inch AlN Single Crystal Substrates *Rafael Dalmau1, Jeffrey Britt1, Raoul Schlesser1 1. HexaTech, Inc. (United States of America)

12:15 [Tu-2B-06LN]

Investigation of Dislocation Behavior at the Early Stage of PVT-grown 4H-SiC Crystals *Tuerxun Ailihumaer1, Balaji Raghothamachar1, Michael Dudley1, Gilyong Chung2, Ian Manning2, Edward Sanchez2 1. Stony Brook Univ. (United States of America), 2. DuPont Inc. (United States of America)

Tuesday | [Tu-3A] Transition Layer & Fluctuations 13:45-15:45 Location: Room A Session Chairs: Hiroshi Yano (University of Tsukuba), Gregor Pobegen (KAI GmbH) 13:45 [Tu-3A-01 (Invited)]

Interface State Density Distributions near The Conduction Band Edge Originating from The Conduction Band Fluctuation in SiO2/SiC Systems *Koji Ito1, Takuma Kobayashi1, Tsunenobu Kimoto1 1. Kyoto Univ. (Japan)

14:15 [Tu-3A-02]

Calculation of mobility and localized-state density at SiO2/SiC interface with random fluctuation *Hironori Yoshioka1 1. National Institute of Advanced Industrial Science and Technology (AIST) (Japan)

14:30 [Tu-3A-03] Depth-Resolved Analysis of the SiO2/4H-SiC Interface using Low-Energy Muons

*Judith Woerle1,2, Thomas Prokscha2, Ulrike Grossner1 1. ETH Zürich (Switzerland), 2. Paul Scherrer Institute (Switzerland)

14:45 [Tu-3A-04]

Evidence of a Transition Layer at the SiO2 / 4H-SiC MOS Interface from AC Conductance Data *James A Cooper1 1. Sonrisa Research, Inc. and Purdue University (United States of America)

15:00 [Tu-3A-05]

Influence of non-uniform interface defect distribution on channel mobility in SiC MOSFETs investigated by local deep level transient spectroscopy and device simulation *Kohei Yamasue1, Yuji Yamagishi1, Yasuo Cho1 1. Tohoku Univ. (Japan)

15:15 [Tu-3A-06]

Profiling with Depth Resolution of Sub-nm for SiO2/SiC Interface by Dual-beam TOF-SIMS Combined with Simulation *Junichiro Sameshima1, Aya Takenaka1, Yuichi Muraji1, Yoshihiko Nakata1, Masanobu Yoshikawa1, Katsumasa Suganuma2 1. Toray Research Center, Inc. (Japan), 2. Osaka Univ. (Japan)

15:30 [Tu-3A-07LN]

Different Behaviors of Interface Traps for p-type 4H-SiC MOS Capacitors with Wet and Nitrided Gate Oxides *Xufang Zhang1, Dai Okamoto1, Mitsuru Sometani2, Shinsuke Harada2, Noriyuki Iwamuro1, Hiroshi Yano1 1. Tsukuba Univ. (Japan), 2. AIST (Japan)

Page 9: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

Tuesday | [Tu-3B] Quantum Technology II 13:45-15:45 Location: Annex Hall 2 Session Chairs: Heiko Weber (FAU Erlangen-Nürnberg), Nguyen Son (Linköping University) 13:45 [Tu-3B-01 (Invited)]

Quantum technologies and defect states in SiC *Thomas (Tom) Reinecke1 1. US Naval Research Laboratory (United States of America)

14:15 [Tu-3B-02]

Control of the Photoluminescence of the Silicon Vacancy in 4H Silicon Carbide by Electric Fields Lena Bergmann1, *Maximilian Ruehl1, Heiko B. Weber1, Michael Krieger1 1. Friedrich-Alexander Univ. Erlangen-Nürnberg (Germany)

14:30 [Tu-3B-03]

Laser Writing of Scalable Single Colour Centres in Silicon Carbide *Yu-Chen Chen1, Patrick S. Salter2, Matthias Niethammer1, Matthias Widmann1, Florian Kaiser1, Roland Nagy1, Naoya Morioka1, Charles Babin1, Jürgen Erlekampf3, Patrick Berwian3, Martin J. Booth2, Jörg Wrachtrup1 1. Stuttgart Univ. (Germany), 2. Univ. of Oxford (UK), 3. Fraunhofer IISB (Germany)

14:45 [Tu-3B-04]

Optically detected magnetic resonance study of 3D arrayed silicon vacancies in SiC pn diodes *Yuichi Yamazaki1, Yoji Chiba1,2, Shin-ichiro Sato1, Takahiro Makino1, Naoto Yamada1, Takahiro Satoh1, Kazutoshi Kojima3, Yasuto Hijikata2, Hidekazu Tsuchida4, Norihiro Hoshino4, Takeshi Ohshima1 1. National Institutes for Quantum and Radiological Science and Technology (Japan), 2. Saitama Univ. (Japan), 3. National Institute of

Advanced Industrial Science and Technology (Japan), 4. Central Research Institute of Electric Power Industry (Japan) 15:00 [Tu-3B-05]

Coherent Electrical Readout of Spin-Active Defects in 4H-SiC for Quantum Sensors using Photo-Ionization at Ambient Conditions *Matthias Niethammer1, Matthias Widmann1, Torsten Rendler1, Naoya Morioka1, Yu Chen Chen1, Rainer Stöhr1, Jawad al Hassan2, Shinobu Onoda6, Takeshi Ohshima6, Sang-Yun Lee3, Amlan Mukherjee1, Junichi Isoya4, Nguyen Tien Son2, Jörg Wrachtrup1,5 1. 3rd Inst. of Physics and Center for Applied Quantum Tech., Univ. of Stuttgart (Germany), 2. Department of Physics, Chemistry and

Biology, Linköping Univ. (Sweden), 3. Center for Quantum Info., Korea Inst. of Sci. and Tech. Seoul (Korea), 4. Faculty of Pure and Applied

Sci., Univ. of Tsukuba (Japan), 5. Max Planck Inst. for Solid State Res., Stuttgart (Germany), 6. National Inst. for Quantum and

Radiological Sci. and Tech. (Japan)

15:15 [Tu-3B-06]

Spectrally Stable Defect Qubits with no Inversion Symmetry for Robust Spin-To-Photon Interface *Peter Udvarhelyi1,2, Roland Nagy3, Florian Kaiser3, Sang-Yun Lee4, Jörg Wrachtrup3, Adam Gali2,5 1. Lorand Eotvos Univ. (Hungary), 2. Wigner Res. Centre for Physics (Hungary), 3. Univ. of Stuttgart (Germany), 4. Korea Inst. of Sci.

and Tech. (Korea), 5. Budapest Univ. of Tech. and Economics (Hungary)

15:30 [Tu-3B-07]

Enhancement of ODMR Contrasts of Silicon Vacancy in SiC by Thermal Treatment *Yoji Chiba1,2, Yuichi Yamazaki2, Shin-ichiro Sato2, Takahiro Makino2, Naoto Yamada2, Takahiro Satoh2, Yasuto Hijikata1, Takeshi Ohshima2 1. Saitama Univ. (Japan), 2. QST (Japan)

Page 10: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

Wednesday | [We-1A] Interface Defect Structures 08:45-10:15 Location: Room A Session Chairs: Heiji Watanabe (Osaka University), Adam Gali (Hungarian Academy of Sciences) 08:45 [We-1A-01]

Systematic investigation of carbon-related defects in SiC (0001)/SiO2 systems: A hybrid density functional study *Takuma Kobayashi1, Yu-ichiro Matsushita1 1. Tokyo Inst. of Tech. (Japan)

09:00 [We-1A-02]

The PbC (carbon dangling bond) center at 4H-SiC(0001)/SiO2 interface: An EDMR study *Takahide Umeda1, Takuma Kobayashi2, Yu-ichro Matsushita2, Eito Higa1, Hiroshi Yano1, Mitsuru Sometani3, Shinsuke Harada3 1. Univ. of Tsukuba (Japan), 2. Tokyo Inst. of Tech. (Japan), 3. AIST (Japan)

09:15 [We-1A-03]

Effects of nitrogen on density of states at MOSFET interface *Mark Anders1, Patrick M Lenahan2, Arthur H Edwards3, Peter A Schultz4, Renee M Van Ginhoven3 1. National Institute of Standards and Technology (United States of America), 2. Pennsylvania State University (United States of America),

3. Air Force Research Laboratory (United States of America), 4. Sandia National Laboratories (United States of America) 09:30 [We-1A-04]

A Comparison of Nitric Oxide Annealing and Barium Passivation of the 4H-SiC/SiO2 Interface with Electrically Detected Magnetic Resonance *James P. Ashton1, Patrick M. Lenahan1, Daniel J. Lichtenwalner2, Mark A. Anders3, Aivars J. Lelis4 1. Penn State Univ. (United States of America), 2. Wolfspeed, a Cree Company (United States of America), 3. National Inst. of Standards

and Tech. (United States of America), 4. United States Army Res. Labs. (United States of America)

09:45 [We-1A-05]

Ab-initio study on surface structure of 4H-SiC(0-33-8) *Yu-ichiro Matsushita1, Tetsuo Hatakeyama2 1. Tokyo Inst. of Tech. (Japan), 2. Toyama Prefectural Univ. (Japan)

10:00 [We-1A-06]

Electrically-detected-magnetic-resonance study on interface defects at a-face and m-face 4H-SiC/SiO2 interfaces *Eito Higa1, Mitsuru Sometani2, Shinsuke Harada2, Hiroshi Yano1, Takahide Umeda1 1. Univ. of Tsukuba. (Japan), 2. National Inst. of Advanced Indus. Sci. and Tech. (Japan)

Wednesday | [We-1B] High Temperature and IC 08:45-10:15 Location: Annex Hall 2 Session Chairs: Tsuyoshi Funaki (Osaka University), Phil Mawby (University of Warwick) 08:45 [We-1B-01 (Invited)]

High Temperature Gate Driving Technology with Galvanic Isolation for Integrated SiC Power Modules *Zhong Chen1, A Mantooth1 1. Department of Electrical Engineering, University of Arkansas (United States of America)

09:15 [We-1B-02]

Impact of Channel Implantation on a 4H-SiC Operational Amplifier for High Temperature Applications *Matthaeus Albrecht1, David Pérez1, Roric C. Martens1, Anton J. Bauer2, Tobias Erlbacher2 1. Friedrich-Alexander-Univ. Erlangen-Nürnberg (Germany), 2. Fraunhofer IISB (Germany)

09:30 [We-1B-03]

Experimental Study on Mitigation of Lifetime-Limiting Dielectric Cracking in Extreme Temperature 4H-SiC JFET Integrated Circuits *David J Spry1, Philip G Neudeck1, Carl W Chang2 1. NASA (United States of America), 2. Vantage Partners LLC (United States of America)

09:45 [We-1B-04]

4H-SiC RESURF n-LDMOS transistor in high voltage integrated circuits *Julietta Weisse1, Christian Matthus2, Heinz Mitlehner2, Tobias Erlbacher2 1. Friedrich-Alexander-University Erlangen-Nürnberg (Germany), 2. Fraunhofer Institute of Integrated Systems and Device Technology (Germany)

Page 11: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

10:00 [We-1B-05LN] Silicon Carbide detectors for high energy ions and neutrons *Francesco La Via1, Salvatore Tudisco2, Clementina Agodi2, Francesco Cappuzzello2,5, Antonello Santangelo3, Massimo Zimbone1, Marco Mauceri4 1. CNR-IMM (Italy), 2. INFN-LNS (Italy), 3. STMicroelectronics (Italy), 4. LPE (Italy), 5. Physics Department, University of Catania (Italy)

Wednesday | [We-2A] Inversion Layer Transport 10:45-12:15 Location: Room A Session Chairs: Fabrizio Roccaforte (CNR-IMM), Koji Kita (The University of Tokyo) 10:45 [We-2A-01 (Invited)]

Improvement of channel characteristics of SiC MOSFETs by sulfur doping based on newly-developed carrier transport model *Munetaka Noguchi1, Toshiaki Iwamatsu1, Hiroyuki Amishiro1, Hiroshi Watanabe1, Koji Kita2, Naruhisa Miura1 1. Advanced Technology R &D Center, Mitsubishi Electric Corporation (Japan), 2. Department of Materials Engineering, The University of

Tokyo (Japan)

11:15 [We-2A-02]

The Effects of Coulomb Scattering Centers at SiO2/SiC interfaces on Electron Mobility in Inversion Layers *Tetsuo Hatakeyama1,2, Minoru Sometani2, Hirohisa Hirai2, Shinsuke Harada2 1. Toyama Pref. Univ. (Japan), 2. AIST (Japan)

11:30 [We-2A-03]

Monte Carlo Simulation of Hall Mobility in 4H-SiC MOS Inversion Layers *Hajime Tanaka1,2, Nobuya Mori1 1. Osaka Univ. (Japan), 2. Kyoto Univ. (Japan)

11:45 [We-2A-04]

Independent Elimination of Traps and Scattering Centers by NO Annealing in 4H-SiC (11-20) a-face MOS Characterized by Hall Effect Measurement *Hirohisa Hirai1, Tetsuo Hatakeyama1, Mitsuru Sometani1, Mitsuo Okamoto1, Shinsuke Harada1, Hajime Okumura1 1. AIST (Japan)

12:00 [We-2A-05]

Spatially Resolved Defect Mapping of the SiO2/4H-SiC Interface *Judith Woerle1,2, Brett Johnson3, Corrado Bongiorno4, Kohei Yamasue5, Gabriel Ferro6, Dipanwita Dutta2, Yasuo Cho5, Ulrike Grossner1, Massimo Camarda2,1 1. ETH Zürich (Switzerland), 2. Paul Scherrer Institute (Switzerland), 3. University of Melbourne (Australia), 4. IMM-CNR Catania (Italy),

5. Tohoku University (Japan), 6. Université de Lyon (France)

Wednesday | [We-2B] 4H-Homo-Epitaxy 10:45-12:15 Location: Annex Hall 2 Session Chairs: Al Burk (Wolfspeed, A CREE Company), Hidekazu Tsuchida (CRIEPI) 10:45 [We-2B-01 (Invited)]

Isotopically enriched 4H-28Si12C growth for high power high frequency and quantum applications *Jawad Ul Hassan1, Robin Karhu1, Björn Lundqvist1, Misagh Ghezellou1, Louise Lilja1, Jr-Tai Chen1,2, Sven G Andersson1, Björn Magnusson3, Olle Kordina1,2, Orjan Danielsson1, Ivan G Ivanov1, Einar O Sveinbjörnsson1,4, Nguyen T Son1, Peder Bergman1, Erik Janzén1 1. Linköping University (Sweden), 2. SweGaN AB (Sweden), 3. Norstel AB (Sweden), 4. University of Iceland (Iceland)

11:15 [We-2B-02]

Revisiting the site-competition doping of 4H-SiC: cases of N and Al *Gabriel Ferro1, Didier Chaussende2 1. Lab. LMI, Lyon Univ. (France), 2. Lab. SIMAP, Grenoble Univ. (France)

Page 12: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

11:30 [We-2B-03] High Reliable 4H-SiC Epitaxial Wafer with BPD Free Recombination-Enhanced Buffer Layer for High Current Applications *Hironori Itoh1, Taro Enokizono1, Takaya Miyase1, Tsutomu Hori1, Keiji Wada1, Hideyuki Doi1, Masaki Furumai1 1. Sumitomo Electric Industries, Ltd. (Japan)

11:45 [We-2B-04]

CVD parameters regulation for 4H-SiC trench fast-filling over 10 m/h *Shiyang Ji1, Ryoji Kosugi1, Kazutoshi Kojima1, Yoshiyuki Yonezawa1, Sadafumi Yoshida1, Hajime Okumura1 1. AIST (Japan)

12:00 [We-2B-05]

Low temperature homoepitaxy of 4H-SiC with extremely high levels of electrically active dopants on off- and on-axis substrates *Maksym Myronov1, Gerard Colston2, Stephan Wirths3 1. The University of Warwick (UK), 2. Advanced Epi Materials and Devices Ltd (UK), 3. ABB Switzerland Ltd (Switzerland)

Wednesday | [We-3A] Diodes and Processing 13:45-15:45 Location: Room A Session Chairs: Satoshi Tanimoto (NISSAN ARC), T. Paul Chow (Rensselaer Polytechnic Institute) 13:45 [We-3A-01]

Temperature Dependence of Impact Ionization Coefficients in 4H-SiC along <11- 20> Direction *Dionysios Stefanakis1, Xilun Chi1, Takuya Maeda1, Mitsuaki Kaneko1, Tsunenobu Kimoto1 1. Kyoto University (Japan)

14:00 [We-3A-02]

Forward Thermionic Field Emission Current and Barrier Height Lowering in Heavily-Doped 4H-SiC Schottky Barrier Diodes *Masahiro Hara1, Satoshi Asada1, Takuya Maeda1, Tsunenobu Kimoto1 1. Kyoto Univ. (Japan)

14:15 [We-3A-03]

Dynamic Switching of 3kV 4H-SiC Charge-Balanced Junction Barrier Schottky (JBS) Diodes *Xiang Zhou1, Collin W. Hitchcock1, Reza Ghandi2, Alex Bolotnikov2, T. Paul Chow1 1. Rensselaer Polytechnic Institute (RPI) (United States of America), 2. General Electric Global Research Center (United States of America)

14:30 [We-3A-04]

Photoluminescence analysis of a forward-biased SiC SWITCH-MOS under various stress conditions *Masakazu Baba1, Yusuke Kobayashi1, Manabu Takei2, Hiroshi Kimura2, Shinsuke Harada1 1. Advanced Indus. Sci. and Tech. (Japan), 2. Fuji Electric Corp. Ltd. (Japan)

14:45 [We-3A-05]

Vertical and Lateral 4H-SiC Charge Compensation Devices Fabricated by Energy Filter Ion Implantation Julietta Weisse1, *Constantin Csato2, Heinz Mitlehner3, Shavkat Akhmadaliev4, Florian Krippendorf2, Michael Rueb5,2, Tobias Erlbacher3,1 1. Department of Electron Devices, FAU Univ. Erlangen-Nürnberg, Erlangen, Germany (Germany), 2. mi2-factory GmbH Indus., Jena,

Germany (Germany), 3. Fraunhofer IISB Inst., Erlangen, Germany (Germany), 4. Helmholtz-Zentrum Dresden-Rossendorf Inst., Dresden,

Germany (Germany), 5. Ernst- Abbe-Hochschule Jena Univ., Jena, Germany (Germany)

15:00 [We-3A-06]

Effects of Hole Density and Current Density on Dislocation Glide Velocity in 4H-SiC *Yusuke Yamashiro1, Teruaki Kumazawa1, Mariko Hayashi1, Masakazu Baba1, Manabu Takei2, Shinsuke Harada1, Yoshiyuki Yonezawa1, Hajime Okumura1 1. AIST (Japan), 2. Fuji Electric Corp., Ltd. (Japan)

15:15 [We-3A-07 (Invited)]

Development of Corundum-Structured Gallium Oxide Power Devices *Takashi Shinohe1

1. FLOSFIA (Japan)

Page 13: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

Wednesday | [We-3B] Fundamental Physics and Measurement Techniques 13:45-15:45 Location: Annex Hall 2 Session Chairs: Isaho Kamata (CRIEPI), Peder Bergman (Linköping University) 13:45 [We-3B-01 (Invited)]

Fundamentals of solid state physics explored with silicon carbide/graphene: electron tunneling and ultrafast Schottky rectification *Heiko B. Weber1 1. Friedrich-Alexander-University Erlangen-Nürnberg (Germany)

14:15 [We-3B-02]

Influence of carbon-implantation on carrier density and carrier lifetimes using time, space, and spectral resolutions *Keiki Fukumoto1, Shin-ya Koshihara2, Munetaka Noguchi3, Hiroshi Watanabe3, Mutsuko Hatano2 1. High Energy Accelerator Research Organization (Japan), 2. Tokyo Inst. Tech. (Japan), 3. Mitsubishi Electric Corp. (Japan)

14:30 [We-3B-03]

Impacts of High-Temperature Annealing and Thermal Oxidation on Electrical Properties of High-Purity Semi-Insulating 4H-SiC Substrates Grown by HTCVD *Chansoon Koo1, Mitsuaki Kaneko1, Tsunenobu Kimoto1 1. Kyoto Univ. (Japan)

14:45 [We-3B-04]

An Isothermal Annealing Study of the EH1 and EH3 Levels in 4H-SiC *Giovanni Alfieri1, Andrei Mihaila1 1. ABB (Switzerland)

15:00 [We-3B-05]

Depth Profiles of Deep Levels Generated by ICP-RIE in 4H-SiC *Kazutaka Kanegae1, Takafumi Okuda1, Masahiro Horita1,2, Jun Suda1,2, Tsunenobu Kimoto1 1. Kyoto Univ. (Japan), 2. Nagoya Univ. (Japan)

15:15 [We-3B-06]

Lifetime limiting substrate originated deep level defects in 4H-SiC epilayers *Juergen Erlekampf1, Birgit Kallinger1, Mathias Rommel1, Patrick Berwian1, Jochen Friedrich1, Tobias Erlbacher1 1. Fraunhofer IISB, Schottkystr. 10, 91058 Erlangen (Germany)

15:30 [We-3B-07]

Photocurrent induced by Franz-Keldysh effect in 4H-SiC p-n junction diodes under high electric field along <11-20> direction *Takuya Maeda1, Xilun Chi1, Hajime Tanaka1, Masahiro Horita1,2, Jun Suda1,2, Tsunenobu Kimoto1 1. Kyoto University (Japan), 2. Nagoya University (Japan)

Page 14: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

Thursday | [Th-1A] Testing and Radiation 08:45-10:15 Location: Room A Session Chairs: Philip G. Neudeck (NASA Glenn Research Center), Takeshi Ohshima (QST) 08:45 [Th-1A-01 (Invited)]

Accelerated Testing of SiC Power Devices for Predicting Device Lifetime under High-Field Operating Conditions *Daniel J Lichtenwalner1, Shadi Sabri1, Edward Van Brunt1, Brett Hull1, Sei-Hyung Ryu1, Jae- Hyung Park1, Satyaki Ganguly1, Donald A. Gajewski1, Scott Allen1, John W. Palmour1 1. Wolfspeed, a Cree Company (United States of America)

09:15 [Th-1A-02]

Aging and failure mechanisms of SiC Power MOSFETs under repetitive short-circuit pulses of different duration *Alberto Castellazzi1, Alessandro Borghese3, Francois Boige2, Vanessa Chazal4 1. University of Nottingham (UK), 2. LAPLACE - University of Toulouse (France), 3. University of Naples "Federico II" (Italy), 4. THALES

(France) 09:30 [Th-1A-03]

Investigations on the Resistance Reduction Effect of Double-Trench SiC MOSFETs under Repetitive Avalanche Stress *Jiaxing Wei1, Siyang Liu1, Sheng Li1, Lizhi Tang1, Rongcheng Lou1, Hao Fu1, Hangbo Zhao1, Weifeng Sun1, Xiaobing Zhang2, Song Bai3 1. National ASIC System Eng. Res. Center, School of Electronics Sci. and Eng., Southeast Univ. (China), 2. School of Electronics Sci. and Eng.,

Southeast Univ. (China), 3. State Key Lab. of Wide-bandgap Semiconductor Power Electronic Devices, Nanjing Electronic Device Inst. (China)

09:45 [Th-1A-04]

New Insight into Single-Event Radiation Failure Mechanisms in Silicon Carbide Power Schottky Diodes and MOSFETs *Arthur Witulski1, Robert A. Johnson1, Scooter R. Ball1, Michael L. Alles1, Robert A. Reed1, Ronald D. Schrimpf1, John M. Hutson3, Arto Javanainen, Jean-Marie Lauenstein2 1. Vanderbilt Univ. (United States of America), 2. National Institute of Aeronautics and Aerospace (United States of America), 3. Lipscomb

Univ. (United States of America) 10:00 [Th-1A-05]

Comparative Numerical Analysis of the Robustness of Si and SiC PiN Diodes Against Cosmic Radiation-induced Failure *Yaren Huang1, Benedikt Lechner1, Gerhard Wachutka1 1. Technical Univ. of Munich (Germany)

Thursday | [Th-1B] Extended Defects II 08:45-10:15 Location: Annex Hall 2 Session Chairs: Michael Dudley (Stony Brook University), Nadeemullah A Mahadic (NRL) 08:45 [Th-1B-01 (Invited)]

Statistical analysis of killer and non-killer defects in SiC and impacts to device performance *Hrishikesh Das1, S Sunkari1, J Justice1, H Pham2, G Park2 1. ON Semiconductor, South Portland (United States of America), 2. ON Semiconductor, Bucheon (Korea)

09:15 [Th-1B-02]

From wafers to bits and back again: using deep learning to accelerate the development and characterization of SiC material *Robert T Leonard1, Matthew Conrad1, Edward Van Brunt1, Jeffrey Giles1, Alexander K Shveyd1, Elif Balkas1 1. Wolfspeed (United States of America)

09:30 [Th-1B-03]

Formation of double Shockley stacking faults in heavily nitrogen doped 4H-SiC crystal with reduction of residual stress around scratch damage *Naohiro Sugiyama1,2, Takeshi Mitani2, Isaho Kamata3, Tomohisa Kato2, Hidekazu Tsuchida3, Hajime Okumura2 1. DENSO Corp. (Japan), 2. Advanced Indus. Sci. and Tech. (Japan), 3. Central Res. Inst. of Electric Power Industry (Japan)

09:45 [Th-1B-04]

BPD-TED Conversion in the SiC substrate after High-Temperature Si-VE *Yusuke Sudoh1, Makoto Kitabatake1, Tadaaki Kaneko2 1. Toyo Tanso Corp. (Japan), 2. Kwansei Gakuin Univ. (Japan)

Page 15: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

10:00 [Th-1B-05] 2D extended defects interaction: the case of grain boundary and stacking faults in 3C-SiC *Massimo Zimbone1, Corrado Bongiorno1, Cristiano Calabretta2, Francesco La Via1 1. CNR-Inst. for Microelectronics and Microsystems (Italy), 2. MIFT, Univ. degli studi di Messina (Italy)

Thursday | [Th-2A] Device Processing 10:45-12:15 Location: Room A Session Chairs: Patrick Fiorenza (CNR-IMM), Takuji Hosoi (Osaka University) 10:45 [Th-2A-01 (Invited)]

Improved Al2O3 gate technology for high-power and high-frequency GaN transistors *Tamotsu Hashizume1,2 1. Research Center for Integrated Quantum Electronics, Hokkaido Univ. (Japan), 2. Institute of Materials and Systems for Sustainability

(IMaSS), Nagoya Univ. (Japan) 11:15 [Th-2A-02]

Current transport mechanisms in Au-free metallizations for CMOS compatible GaN HEMT technology *Fabrizio Roccaforte1, Monia Spera1,2,3, Salvatore Di Franco1, Corrado Bongiorno1, Raffaella Lo Nigro1, Patrick Fiorenza1, Filippo Giannazzo1, Giuseppe Greco1 1. CNR-IMM (Italy), 2. University of Catania (Italy), 3. University of Palermo (Italy)

11:30 [Th-2A-03]

The Waffle Substrate: A Novel Approach to Reducing Substrate Resistance in SiC Power Devices Noah Opondo1, *James A Cooper2, Hangjie Liao1, Weinong W. Chen1, Dallas T. Morrisette1 1. Purdue Univ. (United States of America), 2. Sonrisa Research, Inc., and Purdue Univ. (United States of America)

11:45 [Th-2A-04]

Breakdown Characteristics of Lateral PIN Diodes Fully Fabricated by Ion Implantation into HTCVD-Grown High-Purity Semi-Insulating SiC Substrate *Mitsuaki Kaneko1,2, Alexander Tsibizov2, Tsunenobu Kimoto1, Ulrike Grossner2 1. Kyoto Univ. (Japan), 2. ETH Zürich (Switzerland)

12:00 [Th-2A-05]

Investigating the Influence of Al Ion Implantation and Post-Implantation Annealing on the Near-Surface Area of 4H-SiC *Johanna Mueting1, Viktor Bobal2, Marc Georg Willinger1, Steffen Reidt3, Lasse Vines2, Ulrike Grossner1 1. ETH Zürich (Switzerland), 2. University of Oslo (Norway), 3. IBM Research (Switzerland)

Thursday | [Th-2B] Alternative Bulk Growth 10:45-12:15 Location: Annex Hall 2 Session Chairs: Won-Jae Lee (Dong-Eui University), Kazutoshi Kojima (AIST) 10:45 [Th-2B-01 (Invited)]

Fast 4H-SiC Bulk Growth by High-Temperature Gas Source Method *Yuichiro Tokuda1, N. Hoshino2, H. Kuno1, H. Uehigashi1, T. Okamoto1, T. Kanda1, N. Ohya1, I. Kamata2, H. Tsuchida2 1. DENSO CORPORATION (Japan), 2. Central Research Institute of Electric Power Industry (CRIEPI) (Japan)

11:15 [Th-2B-02]

Changes in dislocation densities in 4H-SiC bulk crystals obtained by gas source method at a high growth rate *Norihiro Hoshino1, Isaho Kamata1, Takahiro Kanda3, Yuichiro Tokuda3, Naohiro Sugiyama2,3, Hironari Kuno3, Hidekazu Tsuchida1 1. CRIEPI (Japan), 2. AIST (Japan), 3. DENSO CORP. (Japan)

11:30 [Th-2B-03]

Application of high-quality SiC solution growth to large size crystal *Can Zhu1, Tomoki Endo1, Takama Unno1, Haruhiko Koizumi1, Shunta Harada1, Miho Tagawa1, Toru Ujihara1,2 1. Nagoya Univ. (Japan), 2. National Inst. of Advanced Indus. Sci. and Tech. (Japan)

Page 16: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

11:45 [Th-2B-04] Study of the equilibrium structure of the interface between vicinal 4H-SiC {0001} faces and liquid Si Xinming Xing1, Takeshi Yoshikawa2, *Didier Chaussende1 1. Univ. Grenoble Alpes, CNRS, SIMAP (France), 2. The Univ. of Tokyo, Inst. of Industrial Sci. (Japan)

12:00 [Th-2B-05]

Threading Screw Dislocations Conversion and Suppression of Inclusions in 3-inch 4 off-axis C-face 4H-SiC Solution Growth with Pure Si *Takama Unno1, Can Zhu2, Shunta Harada1,2, Haruhiko Koizumi2,4, Miho Tagawa1,2, Toru Ujihara1,2,3 1. Department of Materials Process and Eng., Nagoya Univ. (Japan), 2. Center for Integrated Res. of Future Electronics (CIRFE), Inst. of

Materials and System for Sustainability (IMaSS), Nagoya Univ. (Japan), 3. GaN Advanced Device Open Innovation Lab. (GaN-OIL), National

Inst. of Advanced Indus. Sci. and Tech. (AIST) (Japan), 4. Department of Electrical and Electronic Eng., Mie Univ. (Japan)

Page 17: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

Friday | [Fr-1A] Oxide Stability and Reliability 08:45-10:00 Location: Room A Session Chairs: Sarit Dhar (Auburn University), James A Cooper (Sonrisa Research) 08:45 [Fr-1A-01 (Invited)]

Threshold voltage instabilities in 4H-SiC MOSFETs *Gregor Pobegen1, T Aichinger2, G Rescher2 1. KAI Kompetenzzentrum fur Automobil- und Industrieelektronik GmbH (Austria), 2. Infineon Technologies AG (Austria)

09:15 [Fr-1A-02]

Threshold Voltage Instability in p-channel 4H-SiC MOSFETs Investigated by Non-relaxation Method *Dai Okamoto1, Hiroki Nemoto1, Xufang Zhang1, Xingyan Zhou1, Mitsuru Sometani2, Mitsuo Okamoto2, Shinsuke Harada2, Tetsuo Hatakeyama2, Noriyuki Iwamuro1, Hiroshi Yano1 1. Univ. Tsukuba (Japan), 2. AIST (Japan)

09:30 [Fr-1A-03] Tunneling Effects in NH3 annealed 4H-SiC Trench MOSFETs

*Judith Berens1,2, Gregor Pobegen1, Tibor Grasser2 1. KAI GmbH (Austria), 2. Inst. for Microelectronics, TU Wien (Austria)

09:45 [Fr-1A-04]

Conduction mechanism of hole leakage current in 4H-SiC MOSFETs under high negative gate bias *Hiroki Nemoto1, Dai Okamoto1, Xufang Zhang1, Mitsuru Sometani2, Mitsuo Okamoto2, Tetsuo Hatakeyama2, Shinsuke Harada2, Noriyuki Iwamuro1, Hiroshi Yano1 1. Univ. of Tsukuba (Japan), 2. National Inst. of Advanced Indus. Sci. and Tech. (Japan)

Friday | [Fr-1B] Novel Materials and Concepts 08:45-10:00 Location: Annex Hall 2 Session Chairs: Jun Suda (Nagoya University), Shunta Harada (Nagoya University) 08:45 [Fr-1B-01 (Invited)]

Ga2O3 from materials to devices *Martin Albrecht1, R. Schewski1, C. Wouters1, A. Fielder1, K. Irmscher1, Z. Galazka1, S. Bin Anooz1, M. Baldini1, A. Popp1 1. Leibniz-Institut für Kristallzüchtung (Germany)

09:15 [Fr-1B-02]

Deep Level Study of Chlorine-based Dry Etched beta-Ga2O3 *Giovanni Alfieri1, Philippe Godignon2, Andrei Mihaila1, Lasse Vines3 1. ABB (Switzerland), 2. CNM (Spain), 3. Oslo Univ. (Norway)

09:30 [Fr-1B-03]

High Performance 3C-SiC Photocathode with Texture Structure Formed by Electrochemical Etching *Masashi Kato1, Tomohiro Ambe1 1. Nagoya Inst. of Tech. (Japan)

09:45 [Fr-1B-04LN]

Precise Placement of VSi in 4H-SiC Using Li+ Implantation for Quantum Technologies Shojan P. Pavunny1, *Rachael L Myers-Ward1, D. K. Gaskill1, Edward Bielejec2, Hunter Banks1, Andrew L. Yeats1, Matthew T. DeJarld3, Samuel Carter1 1. US Naval Research Laboratory (United States of America), 2. Sandia National Laboratories (United States of America), 3. Raytheon

(United States of America)

Page 18: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

Friday | [Fr-2A] Bipolar Power Transistors 10:30-12:00 Location: Room A Session Chairs: Noriyuki Iwamuro (University of Tsukuba), Sei-Hyung Ryu (Wolfspeed, A CREE Company) 10:30 [Fr-2A-01 (Invited)] Next-Generation High- to Ultra-High-Voltage SiC Power Devices

*Yoshiyuki Yonezawa1, K. Nakayama1, R. Kosugi1, S. Harada1, K. Kojima1, T. Kato1, H. Tsuchida2, T. Kimoto3, H. Okumura1 1. National Institute of Advanced Industrial Science and Technology (AIST) (Japan), 2. Central Research Institute of Electric Power

Industry (Japan), 3. Department of Electronic Science & Engineering, Kyoto University (Japan)

11:00 [Fr-2A-02]

Enhancement of Conductivity Modulation in SiC Bipolar Junction Transistors by Decreasing Base Spreading Resistance *Satoshi Asada1, Mitsuaki Kaneko1, Jun Suda1, Tsunenobu Kimoto1 1. Kyoto Univ. (Japan)

11:15 [Fr-2A-03]

A Comparison of Bipolar and Unipolar SiC Devices for Medium Voltage Switching Applications *Edward Robert Van Brunt1, Sei-Hyung Ryu1, Michael O'Loughlin1, Brandon Passmore1, Zach Cole1, Adam Barkley1, Shadi Sabri1, Daniel J. Lichtenwalner1, Brett Hull1, Kraig Olejniczak1, Scott Allen1, John Palmour1 1. Wolfspeed, A Cree Company (United States of America)

11:30 [Fr-2A-04]

Experimental demonstration of the SOA ruggedness in 13 kV SiC-IGBT *Kazuya Konishi1, Kenji Hamada1, Hiroaki Okabe1, Yusuke Miyata1, Hiroki Niwa1, Kohei Ebihara1, Kotaro Kawahara1, Naoyuki Kawabata1, Shingo Tomohisa1, Naruhisa Miura1 1. Mitsubishi Electric Corporation (Japan)

11:45 [Fr-2A-05]

20kV-class Ultra-High Voltage 4H-SiC n-IE-IGBTs *Akihiro Koyama1,2, Yuji Kiuchi1,3, Tomonori Mizushima1,4, Kensuke Takenaka1,4, Shinichiro Matsunaga1,4, Mitsuru Sometani1, Koji Nakayama1, Hitoshi Ishimori1, Atsuko Kimoto1, Manabu Takei1,4, Tomohisa Kato1, Yoshiyuki Yonezawa1, Hajime Okumura1 1. AIST (Japan), 2. Mitsubishi Electric Corp. (Japan), 3. New Japan Radio Co. Ltd. (Japan), 4. Fuji Electric Co. Ltd. (Japan)

Friday | [Fr-2B] Wafer Manufacturing 10:30-11:45 Location: Annex Hall 2 Session Chairs: Marcin Zielinski (NOVASiC), Hitoshi Habuka (Yokohama National University) 10:30 [Fr-2B-01 (Invited)]

Fabrication of damage-free atomically smooth SiC surface using pure water and Ni catalyst *Daisetsu Toh1, Ryousuke Ohnishi1, Pho Van Bui1, Satoshi Matsuyama1, Yasuhisa Sano1, Kazuto Yamauchi1 1. Osaka Univ. (Japan)

11:00 [Fr-2B-02]

An approach to predict 4H-SiC wafer bending after back side thinning by substrate resistivity analysis *Nicolo Piluso1 1. STMicroelectronics (Italy)

11:15 [Fr-2B-03]

Slurryless electrochemical mechanical polishing of 4H-SiC (0001) surfaces *Xu Yang1, Xiaozhe Yang1, Kentaro Kawai1, Kenta Arima1, Kazuya Yamamura1 1. Osaka University (Japan)

11:30 [Fr-2B-04]

Direct bonding of diamond substrate at low temperatures under atmospheric condition *Takashi Matsumae1, Yuichi Kurashima1, Hitoshi Umezawa1, Hideki Takagi1 1. Ntl. Inst. of Adv. Ind. Sci. and Tech. (Japan)

Page 19: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

Friday | [Fr-3A] Applications 13:00-14:15 Location: Room A Session Chairs: Alberto Castellazzi (Kyoto University of Advanced Science), Hiroyuki Matsushima (Hitachi Ltd.) 13:00 [Fr-3A-01 (Invited)]

4H-Silicon Carbide MOSFETs for very high end applications: requirements and technology solutions *Mario Giuseppe Saggio1, A. Guarnera1, A. Frazzetto1, A. Privitera1, S. Buonomo1, M. Pulivirenti1, M. Nania1, M. Macauda1, P. Fiorenza2, F. Roccaforte2 1. STMicroelectronics (Italy), 2. Consiglio Nazionale delle Ricerche - Istituto per la Microelettronica e Microsistemi (CNR-IMM) (Italy)

13:30 [Fr-3A-02]

High-Temperature Operating Characteristics of Inverter Using SBD-integrated MOSFET *Shinji Sato1, Fumiki Kato1, Hiroshi Hozoji1, Hiroshi Sato1, Hiroshi Yamaguchi1, Shinsuke Harada1 1. National Institute of Advanced Industrial Science and Technology (AIST) (Japan)

13:45 [Fr-3A-03]

A Gate Driver Maximizing the Switching Capability of SiC MOSFETs *Yusuke Nakakohara1, Yuta Okawauchi1, Ken Nakahara1 1. Rohm.Co.,Ltd. (Japan)

14:00 [Fr-3A-04]

A Three-level Active Gate Drive Circuit for Power MOSFETs Utilizing a Generic Gate Driver IC *Michihiro Shintani1, Kazuki Oishi2, Takashi Sato2 1. Nara Institute of Science and Technology (Japan), 2. Kyoto Univ. (Japan)

Friday | [Fr-3B] Device-Related Characterization 13:00-14:15 Location: Annex Hall 2 Session Chairs: Victor Veliadis (North Carolina State University), Ulrike Grossner (ETH Zürich) 13:00 [Fr-3B-01]

Ultrabright Single-Photon Emission from Color Centers in Silicon Carbide under Electrical Excitation *Dmitry Yu Fedyanin1, Igor A. Khramtsov1, Andrey A. Vyshnevyy1 1. Moscow Institute of Physics and Technology (Russia)

13:15 [Fr-3B-02]

Electrically Detected Electron Nuclear Double Resonance in 4H-SiC Bipolar Junction Transistors *Ryan J. Waskiewicz1, Brian R. Manning1, Duane J. McCrory1, Patrick M. Lenahan1 1. The Pennsylvania State Univ. (United States of America)

13:30 [Fr-3B-03]

Investigation of Bipolar Degradation of the Base-Collector-Diode of 1.2 kV BJTs under Different Current and Temperature Conditions *Sarah Rugen1, Nando Kaminski1, Siddarth Sundaresan2, Ranbir Singh2 1. Univ. of Bremen (Germany), 2. GeneSiC Semiconductor (United States of America)

13:45 [Fr-3B-04]

Nanoscale insights on the origin of the Power MOSFETs breakdown after extremely long high temperature reverse bias stress *Patrick Fiorenza1, Mario S. Alessandrino2, Beatrice Carbone2, Clarice Di Martino2, Alfio Russo2, Mario Saggio2, Carlo Venuto2, Edoardo Zanetti2, Corrado Bongiorno1, Filippo Giannazzo1, Fabrizio Roccaforte1 1. Consiglio Nazionale delle Ricerche - Istituto per la Microelettronica e Microsistemi, Catania (Italy), 2. STMicroelectronics, Catania (Italy)

14:00 [Fr-3B-05LN]

Microscopic FCA system for carrier lifetime measurement in SiC with high spatial resolution *Keisuke Nagaya1, Takashi Hirayama1, Takeshi Tawara2,3, Koichi Murata4, Hidekazu Tsuchida4, Akira Miyasaka5, Kazutoshi Kojima3, Tomohisa Kato3, Hajime Okumura3, Masashi Kato1 1. Nagoya Inst. (Japan), 2. Fuji Electric Co., Ltd. (Japan), 3. AIST (Japan), 4. CRIEPI (Japan), 5. Showa Denko (Japan)

Page 20: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

Friday | [PL-2] Plenary Session II 14:45-15:30 Location: Room A Session Chairs: Tsunenobu Kimoto (Kyoto University), Hisayoshi Itoh (QST) 14:45 [PL-2-01 (Plenary)]

Towards Making SiC ICs Durable and Accessible for Use in the Most Extreme Environments (Including Venus) *Philip G. Neudeck1, D Spry1 1. NASA Glenn Research Center (United States of America)

Friday | [CL] Closing 15:30-16:30 Location: Room A Session Chair: Noboru Ohtani (Kwansei Gakuin University) 15:30 [CL-01]

Summary from the Technical Programing Committee Hidekazu Tsuchida (CRIEPI), Technical Program Committee Chair

16:00 [CL-02]

Summary from the Executive Committee Yasuhisa Sano (Osaka Univ.), Executive Committee Vice-Chair

16:05 [CL-03]

Introduction of ECSCRM 2020 Daniel Alquier (Univ. of Tours)

16:12 [CL-04]

Introduction of ICSCRM 2021 Ulrike Grossner (ETH Zürich)

16:20 [CL-05]

Closing Address Tsunenobu Kimoto (Kyoto Univ.), Organizing Committee Chair

Page 21: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

Invited Poster Presentation Location: Annex Hall 1 [IP-01 (Invited)] SiC epitaxial reactor cleaning by ClF3 gas with the help of reaction heat Keisuke Kurashima1, Masaya Hayashi1, *Hitoshi Habuka1, Hideki Ito2, Shin-ichi Mitani2, Yoshinao Takahashi3 1. Yokohama National University (Japan), 2. NuFlare Technology, Inc (Japan), 3. KANTO DENKA KOGYO CO., LTD (Japan)

[IP-02 (Invited)] Silicon vacancy related defects in 4H SiC for quantum technology *András Csóré1, Nguyen Tien Son2, Ivan Gueorguiev Ivanov2, Adam Gali3 1. Budapest Univ. of Tech. and Economics (Hungary), 2. Linköping Univ. (Sweden), 3. Wigner Res. Centre for Physics, HAS (Hungary) [IP-03 (Invited)] Evaluation of the influence of Al+ implantation on the forward degradation of SiC bipolar devices *Teruaki Kumazawa1,2, Masakazu Baba2, Yusuke Yamashiro2, Mariko Hayashi2,3, Manabu Takei2,4, Kimimori Hamada1, Shinsuke Harada2, Yoshiyuki Yonezawa2, Hajime Okumura2 1. Toyota Motor Corp. (Japan), 2. National Institute of Advanced Industrial Sience and Technology (AIST) (Japan), 3. DENSO CORP. (Japan), 4. Fuji

Electric Co., Ltd. (Japan)

[IP-04 (Invited)] Electrical Parameters Degradations of p-GaN HEMTs under Repetitive UIS Stress *Sheng Li1, Siyang Liu1, Chi Zhang1, Jiaxing Wei1, Weifeng Sun1, Yiheng Li2, Zhichao Yang2 1. Southeast Univ. (China), 2. CorEnergy Semiconductor Corp. Ltd. (China)

Monday | [Mo-P] Poster Presentation 15:45-17:45 Location: Annex Hall 1 [Mo-P-01] Nitrogen doping behavior on the (000-1) facet of 4H-SiC crystals *Kaito Yokomoto1, Masahiro Yabu1, Noboru Ohtani1 1. Kwansei Gakuin University, School of Science and Technology (Japan) [Mo-P-02] Propagation behavior of threading screw dislocations in 4H-SiC crystals *Naoto Shinagawa1, Takuto Izawa1, Noboru Ohtani1 1. Kwansei Gakuin University, School of Science and Technology (Japan) [Mo-P-03] Observation of The Equilibrium Interface between Vicinal 4H-SiC (0001) Faces and Liquid Si *Xinming Xing1, Yuchuang Yao2, Takeshi Yoshikawa2, Didier Chaussende1 1. Univ. Grenoble Alpes, CNRS, SIMAP (France), 2. The University of Tokyo (Japan) [Mo-P-04] New Methodologies to Evaluate the Step-bunching of 4H-SiC in Different Alloy Solvents Yao Yuchuan1, *Sakiko Kawanishi2, Didier Chaussende3, Takumi Horiike1, Takeshi Yoshikawa1 1. The Univ. Tokyo (Japan), 2. Tohoku Univ. (Japan), 3. Univ. Grenoble Alpes (France) [Mo-P-05] Flow modification in top seeded solution growth of SiC single crystal to enhance the growth rate *Minh-Tan Ha1,2, Yun-Ji Shin1, Si-Young Bae1, Myung-Hyun Lee1, Cheol-Jin Kim2, Seong-Min Jeong1 1. KICET (Korea), 2. Gyeongsang National Univ. (Korea) [Mo-P-06] Achievement of low carrier concentration of high-uniformity SiC films grown by high speed wafer rotation vertical CVD tool *Yoshiaki Daigo1, Akio Ishiguro1, Shigeaki Ishii1, Takehiko Kobayashi1, Yoshikazu Moriyama1 1. NuFlare Technology, Inc., (Japan)

Page 22: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

[Mo-P-07] Origin of large bumps abnormally grown on 4H-SiC epitaxial film by adding HCl gas with high Cl/Si ratio in CVD process *Akio Ishiguro1, Yoshiaki Daigo1 1. NuFlare Technology, Inc., (Japan) [Mo-P-08] 150 mm 4H Silicon carbide wafer geometry optimization, defect reduction by thermal treatment and quality of epilayer *Ching-Shan Lin1, Manhsuan Lin1, Chuck Hsu1, Roman Drachev2, Bob Berliner2, Bala Bathey2, SRaghavan Parthasarathy2 1. GlobalWafers Co.,Ltd (Taiwan), 2. GT Advanced Technologies, (United States of America) [Mo-P-09] High etching rate photo-electrochemical CARE (catalyst referred etching) of SiC by a potential control of catalyst surface *Ryosuke Ohnishi1, Daisetsu Toh1, Hideka Kida1, Satoshi Matsuyama1, Yasuhisa Sano1, Kazuto Yamauchi1,2 1. Department of Precision Sci. and Tech., Graduate School of Eng., Osaka Univ. (Japan), 2. Res. Center of Ultra-Precision Sci. and Tech., Graduate

School of Eng., Osaka Univ. (Japan)

[Mo-P-10] Quantum Information Applications with Single Defect Centers in 4H-SiC *Naoya Morioka1, Roland Nagy1, Matthias Niethammer1, Izel Gediz1, Erik Hesselmeier1, Matthias Widmann1, Yu-Chen Chen1, Roman Kolesov1, Péter Udvarhelyi2, Cristian Bonato3, Jawad Ul Hassan4, Robin Karhu4, Ivan Gueorguiev Ivanov4, Nguyen Tien Son4, Jeronimo R. Maze5, Takeshi Ohshima6, Oney O. Soykal7, Adam Gali2, Sang-Yun Lee8, Florian Kaiser1, Jörg Wrachtrup1 1. Univ. of Stuttgart (Germany), 2. Hungarian Academy of Sci. (Hungary), 3. Heriot-Watt Univ. (UK), 4. Linköping Univ. (Sweden), 5. Pontifical

Catholic University of Chile (Chile), 6. National Inst. for Quantum and Radiological Sci. and Tech. (Japan), 7. Naval Res. Lab. (United States of America),

8. Korea Inst. of Sci. and Tech. (Korea) [Mo-P-11] The effects of -ray irradiation on optical properties of single photon sources in 4H-SiC MOSFET *Yuta Abe1,2, Takahide Umeda1, Mitsuo Okamoto3, Shinsuke Harada3, Yuichi Yamazaki2, Takeshi Ohshima1,2 1. Univ. of Tsukuba (Japan), 2. National Inst. for Quantum and Radiological Sci. and Tech. (Japan), 3. National Inst. of Advanced Indus. Sci. and Tech.

(Japan)

[Mo-P-12] An Ultra-Compact Silicon Carbide Solid State Quantum Center Magnetometer for Space *Hannes Kraus1, David J. Spry2, Philip G. Neudeck2, Yuichi Yamazaki3, Shin-Ichiro Sato3, Takeshi Ohshima3, Corey J. Cochrane1 1. NASA Jet Propulsion Lab. / California Inst. of Tech., Pasadena, CA (United States of America), 2. NASA Glenn Res. Center, Cleveland, OH (United

States of America), 3. National Inst. of Quantum and Radiological Sci. and Tech., Takasaki, Gunma (Japan)

[Mo-P-13] Near Infrared Photoluminescence in High-Purity Semi-Insulating 4H-SiC Irradiated with Energetic Charged Particles *Shinichiro Sato1, Takuma Narahara1,2, Shinobu Onoda1, Yuichi Yamazaki1, Yasuto Hijikata2, Brant C. Gibson3, Andrew D. Greentree3, Takeshi Ohshima1 1. QST (Japan), 2. Saitama Univ. (Japan), 3. RMIT Univ. (Australia) [Mo-P-14] Run-up Width for Photo-induced Expansion of Single Shockley Stacking Faults in 4H-SiC *Koji Maeda1, Koichi Murata1, Takeshi Tawara2,3, Isao Kamata1, Hidekazu Tsuchida1 1. Central Res. Inst. of Electric Power Industry (CRIEPI) (Japan), 2. National Inst. of Advanced Sci. and Tech. (AIST) (Japan), 3. Fuj Electric Co. Ltd.

(Japan) [Mo-P-15] More Insights in Silicon Carbide Material Quality with Advanced X-Ray Topography Imaging *Roland Weingaertner1, Birgit Kallinger1, Thomas Wicht1, Patrick Berwian1, Christian Kranert1, Christian Reimann1, Elke Meissner1, Jürgen Grablin2, Uwe Preckwinkel2, Jochen Friedrich1 1. Fraunhofer Institute for Integrated Systems and Device Technology IISB, Schottkystrabe 10, 91058 Erlangen (Germany), 2. Rigaku Europe SE,

Hugenottenallee 167, 63263 Neu- Isenburg (Germany)

Page 23: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

[Mo-P-16] Nondestructive Inspection of Defects in SiC substrates and Epitaxial Layer by Phase Contrast Microscope *Ryo Hattori1, Osamu Oku2, Kazutsugu Murakami1, Masaaki Kuzuhara3 1. Ceramic Forum Co.,Ltd (Japan), 2. Micro-world Servics (Japan), 3. Univ. of Fukui (Japan) [Mo-P-17] Automated defect recognition in 4H-SiC substrates, epiwafers, and partially processed wafers *Birgit Kallinger1, Katharina Robhirt-Lilla1, Patrick Berwian1, Steffen Oppel2, Simon Steurer2, Heino Möller2 1. Fraunhofer IISB (Germany), 2. INTEGO GmbH (Germany) [Mo-P-18] Crystal defects and contact angle in SiOx-SiC core-shell nanowires *Andrea Broggi1, Eli Ringdalen2, Merete Tangstad1 1. Norwegian Univ. of Science and Technology (Norway), 2. SINTEF Materials and Chemistry (Norway) [Mo-P-19] Improved high precision dopant / carrier concentration profiling with corona-charge non-contact C-V (CnCV) *Alexandre Savtchouk1, Marshall Wilson1, John D'Amico1, Carlos Almeida1, Jacek Lagowski1 1. Semilab SDI (United States of America) [Mo-P-20] Resistivity measurement of P+-implanted 4H-SiC samples prepared at different implantation and annealing temperatures using terahertz time-domain spectroscopic ellipsometry *Kotaro Ishiji1, Seiji Kawado1, Yasuharu Hirai1, Shinji Nagamachi2 1. Kyushu synchrotron light research center (Japan), 2. Nagamachi Sci. Lab. co. ltd. (Japan) [Mo-P-21] Quantitative Characterization of Surface Polarity Dependence of Wetting Properties of V-doped SiC using a Novel Image Analysis Technique *Jung Gon Kim1, Woo Sik Yoo1, Dae Sung Kim2, Won Jae Lee2 1. WaferMasters, Inc. (United States of America), 2. Dong-Eui Univ. (Korea) [Mo-P-22] Depth Analysis of Al-implanted 4H-SiC by Confocal Raman Microscopy *Ying Song1, Zong Wei Xu1, Tao Liu1, Mathias Rommel2, Hong Wang3, Feng Zhou Fang1 1. State Key Lab. of Precision Measuring Tech. &Instruments, Centre of Micro/Nano Manufacturing Tech. Tianjin Univ. (China), 2. Fraunhofer Inst.

for Integrated Systems and Device Tech. (Germany), 3. State Key Lab. of Separation Membranes and Membrane Processes, School of Materials Sci. and

Engineering, Tianjin Polytechnic Univ. (China)

[Mo-P-23] Interest of using a micro-meter spatial resolution to study SiC semi-conductor devices by Optical Induced Current (OBIC) Camille Sonneville1,2,3, *Dominique Planson1,2,3, Luong-Viet Phung1,2,3, Pascal Bevilacqua1,2,3, Besar Asllani1,2,3 1. Univ Lyon (France), 2. INSA Lyon (France), 3. Ampere Lab (France) [Mo-P-24] Electrically detected magnetic resonance study of intrinsic defects in SiC pn junction devices *Brett Cameron Johnson1, C TK Lew1, Ryan A Parker1, Daniel L Creedon1, Jeffrey C McCallum1 1. Univ. of Melbourne (Australia) [Mo-P-25] DFT investigations into the interactions of NH and NO in a-SiO2 *Manesh Vinay Mistry1, Jon Cottom1, Kamal Patel1, Alex Shluger1 1. UCL (UK) [Mo-P-26] The PbC (carbon dangling bond) center at 4H-SiC(0001)/SiO2 interface: A first- principles study *Takuma Kobayashi1, Takahide Umeda2, Yu-ichiro Matsushita1 1. Tokyo Inst. of Tech. (Japan), Univ. of Tsukuba (Japan) [Mo-P-27] Investigating Inversion Layer Mobility of Holes in 4H-SiC Nick Gilles Schneider1, *Johanna Mueting1, Roberta Nipoti2, Ute Drechsler3, Ulrike Grossner1 1. Advanced Power Semiconductor Laboratory, ETH Zürich Univ. (Switzerland), 2. IMM-CNR, unit of Bologna Res. (Italy), 3. IBM Zürich Res. (Switzerland)

Page 24: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

[Mo-P-28] Reliability Study of MOS Capacitors Fabricated on 3C-SiC/Si *Fan Li1, Song Qiu1, Mike Jennings2, Phil Mawby1 1. Univ. of Warwick (UK), 2. Swansea Univ. (UK) [Mo-P-29] Insight into Channel Conduction Mechanisms of 4H-SiC(0001) MOSFET based on Temperature-dependent Hall Effect Measurement Hironori Takeda1, Mitsuru Sometani2, Takuji Hosoi1, Takayoshi Shimura1, Hiroshi Yano3, *Heiji Watanabe1 1. Osaka Univ. (Japan), 2. AIST (Japan), 3. Univ. Tsukuba (Japan) [Mo-P-30] Effect of Al ion implantation and activation annealing on work function values of 4H-SiC Epitaxial Layers *Hong-Ki Kim1, Seongjun kim1, Min-Jae Kang1, Jonas Buettner2, Minwho Lim2, Tobias Erlbacher2 , Anton J. Bauer2, Sang-Mo Koo3, Nam-suk Lee1, Hoon-Kyu Shin1 1. POSTECH (Korea), 2. Fraunhofer IISB (Germany), 3. Kwangwoon Univ. (Korea) [Mo-P-31] 3×1018 - 1×1019 cm-3 Al+ Ion Implanted 4H-SiC: Annealing Time Effect Roberta Nipoti1, *Antonella Parisini2, Virginia Boldrini1, Salvatore Vantaggio2, Maria Concetta Canino1, Filippo Bonafe1, Giovanni Alfieri3 1. CNR-IMM of Bologna (Italy), 2. Parma Univ., DMPCS - CNISM (Italy), 3. ABB (Switzerland) [Mo-P-32] Determination of Compensation Ratios of Al-implanted 4H SiC by TCAD Modelling of TLM Measurements in Dependency of the Annealing and Measurement Temperature *Matthias Kocher1, Mathias Rommel1, Tobias Erlbacher1,2, Julietta Weisse2, Boteng T. Yao3, Zong Wei Xu3, Anton J. Bauer1 1. Fraunhofer Institute for Integrated Systems and Device Technology (Germany), 2. Friedrich-Alexander-Univ. Erlangen-Nürnberg (Germany), 3.

State Key Lab. of Precision Measuring Tech. &Instruments, Centre of Micro/Nano Manufac. Tech. (China)

[Mo-P-33] Suppression of Ion Channeling Effects in 4H-SiC Substrate by Tilt Angle Control of Ion Implantation *Tomonori Okada1, Jun Inoue1, Fumitaka Nishiyama1, Hiroshi Sezaki1,2, Shin-Ichiro Kuroki1 1. RNBS, Hiroshima Univ. (Japan), 2. Phenitec Semiconductor Co .Ltd. (Japan) [Mo-P-34] Intentional and unintentional channeling during implantation of p-dopants in 4H-SiC *Margareta K Linnarsson1, Anders Hallen1, Lasse Vines2 1. KTH Royal Institute of Technology (Sweden), 2. Univ. of Oslo (Norway) [Mo-P-35] Improvement of Channel Characteristics of 4H-SiC PMOSFET by Low Temperature Wet-POA with H2-annealing *Jun Koyanagi1, Koji Kita1 1. The Univ. of Tokyo (Japan) [Mo-P-36] Investigating POCl3 Annealing for SiC Power MOSFETs *Yanrui Ju1, Bouvet Bouvet2, Roger Stark1, Judith Wörle1, Ulrike Grossner1 1. ETH Zürich (Switzerland), 2. Ecole Polytechnique Federale de Lausanne (Switzerland) [Mo-P-37] Characterization of 4H-SiC MOS Capacitors with Different Metal Gates after 400C High-Temperature Aging Tests *Cuong Van Vuong1, Seiji Ishikawa2, Tomonori Maeda2, Hiroshi Sezaki2, Shin-Ichiro Kuroki1 1. Hiroshima University (Japan), 2. Phenitec Semiconductor Corp (Japan) [Mo-P-38] Dynamic Measurement Method to Extract High Voltage and High Current I-V Characteristics of SiC MOSFET with Reduced Self Heating *Yohei Nakamura1, Tatsuya Yanagi1, Hiroyuki Sakairi1, Naotaka Kuroda1, Ken Nakahara1 1. ROHM Co., Ltd. (Japan)

Page 25: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

[Mo-P-39] Increased Short-Circuit Withstand Time and Reduced DIBL by Constant-Gate-Charge Scaling in SiC Power MOSFETs *James A Cooper1, Dallas T. Morisette2, Madankumar Sampath2 1. Sonrisa Research, Inc. and Purdue Univ. (United States of America), 2. Purdue Univ. (United States of America) [Mo-P-40] The IMOSFET: A Deeply-Scaled, Fully-Self-Aligned Trench MOSFET Madankumar Sampath3, *James A Cooper1, Arash Salemi2, Dallas T. Morisette3 1. Sonrisa Research, Inc. and Purdue Univ. (United States of America), 2. Ohio State Univ. (United States of America), 3. Purdue Univ. (United States

of America) [Mo-P-41] 1.2 kV, 10 A, 4H-SiC Bi-directional Field Effect Transistor (BiDFET) with Low On-State Voltage Drop *Ajit Kanale1, Tzu-Hsuan Cheng1, Kijeong Han1, B. Jayant Baliga1, Subhashish Bhattacharya1, Douglas Hopkins1 1. North Carolina State Univ. (United States of America) [Mo-P-42] Highly Efficient Switching Operation of 1.2 kV-class SiC SWITCH-MOS *Masakazu Okada1, Teruaki Kumazawa2, Yusuke Kobayashi1, Masakazu Baba1, Shinsuke Harada1 1. National Institute of Advanced Industrial Science and Technology (Japan), 2. Toyota Motor Corp. (Japan) [Mo-P-43] Investigation on the Effect of Total Loss Reduction of HV Power Module by using SiC-MOSFET Embedding SBD *Takaaki Tominaga1, Shiro Hino1, Yohei Mitsui1, Junichi Nakashima1, Koutarou Kawahara1, Shingo Tomohisa1, Naruhisa Miura1 1. Advanced Technology R&D Center, Mitsubishi Electric Corp. (Japan) [Mo-P-44] On the Collector and Buffer Design of Ultrahigh Voltage n-type SiC IGBTs *Thanh-Toan Pham1,2, Andrei Mihaila1, Marco Bellini1, Enea Bianda1, Giovanni Alfieri1, Vinoth Sundaramoorthy1, Lars Knoll1, Renato Minamisawa2 1. ABB Switzerland Ltd, Corporate Research Centre (Switzerland), 2. University of Applied Sciences Northwestern (FHNW) (Switzerland)

[Mo-P-45] Static characteristics of 5 kV SiC BJTs and Darlington’s *Besar Asllani1, Dominique Planson2, Hervé Morel2, Thomas Lagier1 1. SuperGrid Institute (France), 2. Laboratoire Ampere (France) [Mo-P-46] Diamond Schottky diodes improvement using edge termination structure *Khaled Driche1,3, Aurélien Maréchal2, Hitoshi Umezawa1,4, Nicolas Rouger5, Etienne Gheeraert1,3 1. Univ. Grenoble Alpes, CNRS, Grenoble INP, Inst. NEEL (France), 2. Univ. Grenoble Alpes, CNRS, Grenoble INP, G2ELab (France), 3. Univ. of Tsukuba

(Japan), 4. AIST (Japan), 5. Univ. de Toulouse, CNRS, LAPLACE (France) [Mo-P-47] Stress Test of Cascode Switch Using SiC Static Induction Transistor Koji Yano1, *Takashi Matsumoto1, Yasunori Tanaka2 1. Univ. of Yamanashi (Japan), 2. National Inst. of Advanced Indus. Sci. and Tech. (Japan) [Mo-P-48] High-Temperature Reliability Analysis of 1200V/100A 4H-SiC Junction Barrier Schottky Diodes *Yidan Tang1,2, Xinyu Liu1, Yun Bai1, Chengzhan Li3, Chengyue Yang1 1. Microelectronics of Chinese Academy of Sciences Inst (China), 2. Chinese Academy of Sciences Univ (China), 3. Zhuzhou CRRC Times Electric Co.,

Ltd (China) [Mo-P-49] Impact of proton irradiation on power 4H-SiC MOSFETs *Aleksandr A Lebedev1, Vitalii Kozlovski2, Leonid Fursin3, Anatoly M Strel’chuk1, Pavel A Ivanov1, Mikhail E Levinshtein1, Aleksandr V A. Zubov4 1. Ioffe Institute (Russia), 2. St. Petersburg State Polytechnic University (Russia), 3. United Silicon Carbide, Inc. (United States of America), 4.

National Research University of Information Technologies (Russia)

Page 26: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

[Mo-P-50] Effect of 5MeV Proton Irradiation on 1200V 4H-SiC VDMOSFETs ON-State Characteristics *Dongxun Li1, Xiaoyan Tang1, Yuming Zhang1, Yanjing He1, Qingwen Song1 1. School of Microelectronics, Xidian Univ. (China) [Mo-P-51] The Study of Compariative Characterization between SiC MOSFET and Si-IGBT for Power Module and Three Phase SPWM Inverter *Heng Lee1, Chun-Kai Liu1, Tao-Chih Chang1 1. Electronics and Optoelectronics System Research Laboratories, Industrial Technology Research Institute (Taiwan) [Mo-P-52] Impacts of the device structures on propagation delays in 4H-SiC CMOS ring oscillators *Mitsuo Okamoto1, Shinsuke Harada1, Hajime Okumura1 1. National Inst. of Advanced Indus. Sci. and Tech. (Japan) [Mo-P-53] High Temperature Measurement Techniques with Ni/SiC Schottky Diodes, Based on Forward Voltage Difference *Gheorghe Brezeanu1, Gheorghe Pristavu1, Florin Draghici1, Marian Badila1, Razvan Pascu2, Ion Rusu1, Oana Tutunaru2 1. Univ. Politehnica of Bucharest (Romania), 2. National Inst. for Research and Development in Microtechnologies (Romania)

[Mo-P-54LN] The control of conduction type in high quality bulk solution growth of SiC *Can Zhu1, Shunta Harada1, Miho Tagawa1, Toru Ujihara1,2 1. Nagoya Univ. (Japan), 2. National Inst. of Advanced Indus. Sci. and Tech. (Japan) [Mo-P-55LN] Study on the Influence of Si implantation on the Surface of SiC DIMOS *Jheng-Yi Jiang1, Jia-Qing Hung1, Pin-Wei Huang1, Tian-Li Wu2, Chih-Fang Huang1 1. National Tsing Hua University (Taiwan), 2. National Chiao Tung University (Taiwan)

Page 27: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

Tuesday | [Tu-P] Poster Presentation 16:15-18:15 Location: Annex Hall 1 [Tu-P-01] 3C-SiC Bulk Growth: Effect of Growth Rate and Doping on Defects and Stress *Francesco La Via1, Marco Mauceri2, Cristiano Calabretta1, Massimo Zimbone1, Ruggero Anzalone3 1. CNR-IMM (Italy), 2. LPE (Italy), 3. STMicroelectronics (Italy) [Tu-P-02] Effect of Material Loss through the Porous Crucible on SiC Bulk Crystal Growth *Mark Ramm1, M. Arzig2, M. Bogdanov1, A. Denisov3, A. Kulik1, B. Mamin4, V. Neverov4, R. Sidorov4, D. Skvortsov4, B. Spill3, J. Steiner2, P. Wellmann2 1. STR Group, Inc. (Russia), 2. Univ. Erlangen-Nürnberg (Germany), 3. PVA Crystal Growing Systems GmbH (Germany), 4. National Research Ogarev

Mordovia State Univ. (Russia) [Tu-P-03] Process design of aluminum nitride bulk crystal growth on sapphire seed via physical vapor transport method *Seong-Min Jeong1, Yong-Jin Kwon1, Si-Young Bae1, Jae-Hwan Pee2, Younghee Kim1, Won-Jae Lee3, Soonil Lee4 1. Inst. of Ceramic Eng. and Tech. (Korea), 2. Inst. of Ceramic Eng. and Tech. Icheon branch (Korea), 3. Department of Advanced Materials Engineering,

Dong-Eui Univ. (Korea), 4. School of Materials Science and Engineering, Changwon National Univ. (Korea)

[Tu-P-04] Improvement of Growth Interface Stability for 4-inch Silicon Carbide Crystal Growth Using TSSG *Botao Liu1, Xia Tang1, Bing Gao1 1. Wuhan Univ. (China) [Tu-P-05] Dissolution of carbon into Si-Cr solvent in solution growth of SiC by TSSG method *Toshinori Taishi1, Koangyong Hyun2, Masaru Takahashi1, Naomichi Tsuchimoto1, Koki Suzuki1 1. Shinshu Univ. (Japan), 2. Mokpo National Maritime Univ. (Korea) [Tu-P-06] Corona Assisted Tuning of Gallium Oxide Growth on 3C-SiC(111)/Si(111) Pseudosubstrates Johannes Reiprich1, Nishchay A Isaac1, Marcus Hopffeld1, *Joerg Pezoldt1, Heiko O. Jacobs1 1. TU Ilmenau (Germany) [Tu-P-07] Formation of an AlN intermediate layer on off-axis Si(110) substrate and a SiC seed layer thereon for SiC heteroepitaxy on Si Yuki Nara1, Hiroki Kasai1, *Hideki Nakazawa1 1. Hirosaki Univ. (Japan) [Tu-P-08] Cause of etch pits during the high speed plasma etching of silicon carbide and an approach to reduce their size *Yuma Nakanishi1, Risa Mukai1, Satoshi Matsuyama1, Kazuto Yamauchi1,2, Yasuhisa Sano1 1. Department of Precision Sci. and Tech., Graduate School of Eng., Osaka Univ. (Japan), 2. Res. Center of Ultra-Precision Sci. and Tech., Graduate

School of Eng., Osaka Univ. (Japan) [Tu-P-09] A study of sublimation-controlled confinement etching of SiC as a CMP-free damage-less wafer manufacturing process *Tadaaki Kaneko1, Masatake Nagaya2, Kazufumi Aoki1, Daichi Doujima1 1. Kwansei Gakuin Univ. (Japan), 2. DENSO CORPORAION (Japan) [Tu-P-10] Etching rate profile of C-face 4H-SiC wafer depending on total gas flow rate of chlorine trifluoride and nitrogen Kenta Irikura1, Ryohei Kawasaki1, *Hitoshi Habuka1, Yoshinao Takahashi2, Tomohisa Kato3 1. Yokohama National University (Japan), 2. KANTO DENKA KOGYO CO., LTD. (Japan), 3. AIST (Japan)

Page 28: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

[Tu-P-11] Electrical Charge State Manipulation of Single Silicon Vacancies in a Silicon Carbide Quantum Optoelectronic Device *Matthias Widmann1, Matthias Niethammer1, Dmitry Yu. Fedyanin2, Igor A. Khramtsov2, Torsten Rendler1, Ian D. Booker3, Jawad Ul Hassan3, Naoya Morioka1, Roland Nagy1, Yu-Chen Chen1, Ivan G. Ivanov3, Nguyen Tien Son3, Takeshi Ohshima4, Michel Bockstedte5,6, Adam Gali7, Cristian Bonato8, Sang-Yun Lee9, Jörg Wrachtrup1 1. 3rd Inst. of Physics, Univ. of Stuttgart (Germany), 2. Lab. of Nanooptics and Plasmonics, Moscow Inst. of Physics and Tech. (Russia), 3. Dep. of

Physics, Chemistry and Biology, Linköping Univ. (Sweden), 4. National Inst. for Quantum and Radiological Sci. and Tech. (Japan), 5. Dep. Chemistry

and Physics of Materials, Univ. of Salzburg (Austria), 6. Solid State Theory, Univ. of Erlangen-Nürnberg (Germany), 7. Hungarian Academy of Sci.,

Wigner Research Centre for Physics (Hungary), 8. Inst. of Photonics and Quantum Sci., SUPA, Heriot-Watt Univ. (UK), 9. Center for Quantum

Information, Korea Inst. of Sci. and Tech. (Korea)

[Tu-P-12] Effects of Nitrogen Impurity Concentration on Nitrogen-Vacancy Center Formation in 4H-SiC *Takuma Narahara1, Shinichiro Sato2, Kazutoshi Kojima3, Yuichi Yamazaki2, Yasuto Hijikata1, Takeshi Ohshima2 1. Saitama Univ. (Japan), 2. National Insts. for Quantum and Radiological Sci. and Tech. (Japan), 3. National Inst. of Advanced Indus. Sci. and Tech.

(Japan)

[Tu-P-13] Erbium and defect luminescence in SiC nano-pillars *Brett Cameron Johnson1, Ryan A Parker1, Nikolai Dontschuk1, Athavan Nadarajah1, Jeffrey C McCallum1 1. Univ. of Melbourne (Australia) [Tu-P-14] Photoluminescence analysis of individual partial dislocations in 4H-SiC epilayers *Johji Nishio1, Aoi Okada1, Chiharu Ota1, Mitsuhiro Kushibe1 1. Toshiba Corp. (Japan) [Tu-P-15] Influence of basal-plane dislocation depth and core-structure on stacking fault expansion in forward-current degradation of 4H-SiC p-i-n diodes *Shohei Hayashi1,2, Tamotsu Yamashita1,3, Junji Senzaki1, Tomohisa Kato1, Yoshiyuki Yonezawa1, Kazutoshi Kojima1, Hajime Okumura1 1. AIST (Japan), 2. Toray Research Center, Inc. (Japan), Showa Denko K.K. (Japan) [Tu-P-16] TEM Studies on The Microstructure of m-face Grown 4H-SiC by Solution Growth *Junro Takahashi1, Kotaro Kawaguchi2, Kazuhiko Kusunoki2, Tomoyuki Ueyama1, Kazuhito Kamei1 1. NIPPON STEEL TECHNOLOGY Co., Ltd. (Japan), 2. Tohoku Univ. Graduate School of Environmental Studies (Japan) [Tu-P-17] Investigation of dislocations inducing leakage currents on SiC junction barrier Schottky diode by two-photon-excited band-edge photoluminescence *Yosuke Nakanishi1, Tomoaki Noguchi1, Takuyo Nakamura1, Masaaki Ikegami1, Kazuo Kobayashi1, Kazuya Konishi1, Kohei Ebihara1 1. Mitsubishi Electric Corp. (Japan) [Tu-P-18] Crystalline quality evaluation of SiC p/n column layers formed by trench-filling-epitaxial growth *Kohei Adachi1,2, Ryoji Kosugi1, Shiyang Ji1, Yasuyuki Kawada1,3, Hiroyuki Fujisawa1,3, Shingo Tomohisa2, Naruhisa Miura2, Yoshiyuki Yonezawa1, Hajime Okumura1 1. National Institute of Advanced Industrial Science and Technology (Japan), 2. Mitsubishi Electric Corp. (Japan), 3. Fuji Electric Co., Ltd. (Japan) [Tu-P-19] Impact of threading dislocations detected by KOH etching on 4H-SiC 650 V MOSFET device failure after reliability test *Andrea Severino1, Ruggero Anzalone1, Nicolo' Piluso1, Cirino Rapisarda1, Alfio Russo1, Beatrice Carbone1, Santi Alessandrino1, Salvo Coffa1 1. STMicroelectronics (Italy)

Page 29: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

[Tu-P-20] Structural Characterization of a Ga2O3 Epitaxial Layer Grown on a Sapphire Substrate using Cross-sectional and Plan-view TEM/STEM analysis *Ai Hashimoto1, Hideki Sako1, Junichiro Sameshima1, Yuji Otsuka1, Masayuki Nakamura2, Takayuki Kobayashi2, Shinichi Motoyama2 1. Toray Research Center, Inc. (Japan), 2. Samco Inc. (Japan) [Tu-P-21] Damage characterization of GaN substrate with hot implant process *Junko Maekawa1, Hitoshi Kawanowa1, Masahiko Aoki1, Katsumi Takahiro2, Toshiyuki Isshiki2 1. Ion Tech. Center Co.,Ltd (Japan), 2. Kyoto Inst. of Tech. (Japan) [Tu-P-22] Dislocation vector analysis method of deep dislocation having c-axis segment in diamond *Shinichi Shikata1, Naoya Akashi1 1. Kwansei Gakuin Univ. (Japan) [Tu-P-23] AFM observation of echi-pit shapes on -Ga2O3 (001) surface formed by molten alkali etching *Kenichi Ogawa1, Naoya Ogawa1, Ryo Kosaka1, Toshiyuki Isshiki1, Toru Aiso2, Masato Iyoki2, Yongzhao Yao3, Yukari Ishikawa3 1. Kyoto Institute of Technology (Japan), 2. Hitachi High-Technologies Corp. (Japan), 3. Japan Fine Ceramics Center (Japan)

[Tu-P-24] Monitoring on Creation and Annihilation of Interface Trap Levels with NO Oxidation, Re-Oxidation and N2 Annealing with Conductance Measurements *Xiang Zhou1, Collin W. Hitchcock1, Rajendra P Dahal1, Gyanesh Pandey1, Jacob Kupernik1, Ishwara B. Bhat1, T. Paul Chow1 1. Rensselaer Polytechnic Institute (RPI) (United States of America) [Tu-P-25] Gate Capacitance and Conductance-Voltage Characteristics of Vertical 4H-SiC MOSFETs *Xiang Zhou1, Collin W. Hitchcock1, Poon-man Tang1, Ishwara Bhat1, T. Paul Chow1 1. Rensselaer Polytechnic Institute (RPI) (United States of America) [Tu-P-26] Ultrafast pulsed I-V and charge pumping interface characterization of low-voltage n-channel SiC MOSFETs *Mattias Ekstrom1, B. Gunnar Malm1, Carl-Mikael Zetterling1 1. KTH Royal Institute of Technology (Sweden) [Tu-P-27] Study on Leakage Current Conduction Mechanism at high temperature in Al2O3/SiO2/n-type 4H-SiC MOS Capacitors *Atsushi Tamura1, Masahiro Masunaga2, Shintaroh Sato2, Koji Kita1 1. The Univ. of Tokyo (Japan), 2. Hitachi, Ltd. R&D Group (Japan) [Tu-P-28] Identifications of major and minor interface defects at C-face 4H-SiC/SiO2 interfaces with wet oxidation *Takahide Umeda1, Yohei Kagoyama1, Kazureru Tomita1, Yuta Abe1, Mitsuru Sometani2, Mitsuo Okamoto2, Tetsuo Hatakeyama2, Shinsuke Harada2 1. Univ. of Tsukuba (Japan), 2. AIST (Japan) [Tu-P-29] Phosphorous Ion Implantation for 4H-SiC DMOSFETs Source/Drain *Roberta Nipoti1, Antonella Parsini2, Virginia Boldrini1, Salvatore Vantaggio2, Marco Gorni2, Maria Concetta Canino1, Michele Bellettato1, Massimo Camarda3, Judith Woerle3, Ulrike Grossner4 1. CNR-IMM unit of Bologna (Italy), 2. Univ. of Parma, DMPCS - CNISM (Italy), 3. PSI, Villigen (Switzerland), 4. ETH Zürich, APS (Switzerland) [Tu-P-30] Investigation on Electrical Characteristics of 4H-SiC Schottky-Barrier-Diodes after Silicon-Cap-Annealing *Daichi Todo1, Hiroaki Hanafusa1, Seiichiro Higashi1 1. Hiroshima Univ. (Japan)

Page 30: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

[Tu-P-31] Ohmic contact mechanism of Ni contact to C-faced 4H-n-SiC substrate *Seongjun Kim1, Minwho Lim2, Hong-Ki Kim1, Min-Jae Kang1, Hahye Choi1, Nam-Suk Lee1, Tobias Erlbacher2, Anton Bauer2, Hoon-Kyu Shin1 1. Pohang Univ. of Sci. and Tech. (Korea), 2. Fraunhofer Inst. for Integrated Systems and Device Tech. (IISB) (Germany)

[Tu-P-32] Low-resistance ohmic contact formation by laser annealing of N-implanted 4H-SiC *Carsten Hellinger1, Oleg Rusch1, Mathias Rommel1, Anton J. Bauer1, Tobias Erlbacher1 1. Fraunhofer Institute for Integrated Systems and Device Technology (IISB) (Germany) [Tu-P-33] The Ohmic Contact Characteristics of 4H-SiC Power Devices by Plused Laser Annealing and Rapid Thermal Annealing Ziwei Zhou1, Jianyong Hao1, Jun Sun1, *Zhenzhong Zhang1, Weiwei He1, Zedong Zheng2 1. Shenzhen BASiC Semiconductor Ltd. (China), 2. Department of Electrical Engineering, Tsinghua University (China) [Tu-P-34] Al2O3/4H-SiC MOS interface characterization with different surface treatments Isanka Udayani Jayawardhena1, Ayayi Claude Ahyi1, Tamara Isaacs-smith1, Rahul Ramamurthy2, Koushik Ramadoss2, Chunkun Jiao2, Dallas Morisette2, Ryan Thorpe3, Leonard C. Feldman3, *Sarit Dhar1 1. Auburn Univ. (United States of America), 2. Purdue Univ. (United States of America), 3. Rutgers Univ. (United States of America) [Tu-P-35] Pre-deposition interfacial oxidation and post-deposition interface nitridation of LPCVD TEOS used as gate dielectric on 4H-SiC *Minwho Lim1, Tomasz Sledziewski1, Mathias Rommel1, Tobias Erlbacher1, Hongki Kim2, Seongjun Kim2, Hoon-Kyu Shin2, Anton Bauer1 1. Fraunhofer Inst. for Integrated Systems and Device Technology IISB (Germany), 2. Pohang Uni. of Science and Technology POSTECH (Korea) [Tu-P-36] Development of High-Quality Gate Oxides on 4H-SiC Using Atomic Layer Deposition *Benjamin Renz1, Oliver James Vavasour1, Peter Michael Gammon1, Fan Li1, Guy William Clarke Baker1, Philip Mawby1, Vishal Ajit Shah1 1. Univ. of Warwick (UK) [Tu-P-37] Design and optimisation of Schottky contact integration in a 4H-SiC trench MOSFET *Tianxiang Dai1, Benjamin Renz1, Luyang Zhang1, Guy Baker1, Vishal Shah1, Philip Mawby1, Peter Gammon1 1. University of Warwick (UK) [Tu-P-38] Commercialization of Highly Rugged 4H-SiC 3300 V Schottky Diodes and Power MOSFETs *Amaury Gendron-Hansen1, Changsoo Hong1, Dumitru Sdrulla1, Bruce Odekirk1, Avinash Kashyap1 1. Microchip Tech. Inc. (United States of America) [Tu-P-39] A Study on Transient Thermal Characterization of SWITCH-MOS *Shuhei Fukunaga1, Tsuyoshi Funaki1, Shinsuke Harada2, Yusuke Kobayashi2 1. Osaka Univ. (Japan), 2. National Inst. of Advanced Indus. Sci. and Tech. (Japan) [Tu-P-40] Design and fabrication of a 3.3kV/50A 4H-SiC MOSFET for Industrial and Traction Applications *Ximing Chen1 1. Zhuzhou CRRC Times Electric Co.,Ltd. (China) [Tu-P-41] On-resistance Improvement of SiC MOSFETs by Buried Ion Implantation in JFET Regions *Li Zheng1, Qian Wang1, Xinhong Cheng1,2, Shaoyu Liu1, Dawei Xu1, Lingyan Shen1, Tomasz Sledziewski3, Tobias Erlbacher3, Yuehui Yu1,2 1. Shanghai Inst. of Microsystem and Info. Tech., Chinese Academy of Sciences (China), 2. Center of Materials Sci. and Optoelectronics Engineering,

Univ. of Chinese Academy of Sciences (China), 3. Fraunhofer Inst. for Integrated Systems and Device Technology (Germany)

Page 31: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

[Tu-P-42] Wide-Range Prediction of Ultra-High Voltage SiC IGBT Static Performance using Calibrated TCAD Model *Daniel Johannesson1,2, Keijo Jacobs1, Staffan Norrga1, Muhammad Nawaz2, Hans-Peter Nee1,2 1. KTH, Royal Inst. of Tech. (Sweden), 2. ABB Corporate Research (Sweden) [Tu-P-43] Analyzing 4H-SiC JBS diodes by TCAD process simulation using accurate implantation conditions *Jonas Buettner1, Susanne Beuer1, Silke Petersen1, Tobias Erlbacher1, Anton Bauer1 1. Fraunhofer Institute for Integrated Systems and Device Technology IISB (Germany) [Tu-P-44] Temperature Dependence of the Bipolar Activation and the Reverse Leakage Currents of 6.5 kV 4H-SiC JBS-Diodes *Benedikt Lechner1, Yaren Huang1, Gerhard Wachutka1 1. Technical University of Munich (Germany) [Tu-P-45] Evaluation of SiC-MOSFET by Repetitive UIS Tests for Solid State Circuit Breaker *Mitsuhiko Sagara1, Keiji Wada1, Shin-ichi Nishizawa2 1. Tokyo Metropolitan Univ. (Japan), 2. Kyushu Univ. (Japan) [Tu-P-46] Effect of Proton and Electron Irradiation on Current-Voltage Characteristics of Rectifying Diodes Based on 4H-SiC Structures with Schottky Barrier Anatoly Markovich Strel'chuk1, Vitali Vasil'evich Kozlovski2, *Alexandr Alexandrovich Lebedev1 1. Ioffe Inst. (Russia), 2. Peter the Great St. Petersburg Polytechnic Univ. (Russia) [Tu-P-47] Post-Irradiation Failure of SiC VD-MOSFETs Exposed to Heavy Ions *Corinna Martinella1,3, Thomas Ziemann2, Yacine Kadi1, Rubén Garcia Alia1, Ulrike Grossner2, Arto Javanainen3,4 1. CERN - Radiation to Electronics (R2E) Project (Switzerland), 2. Advanced Power Semiconductor Lab. (APS), ETHZ, Zürich (Switzerland), 3. Dep. of

Physics, Jyväskylä University (Finland), 4. Dep. of Electrical Engineering and Computer Science, Vanderbilt University (United States of America) [Tu-P-48] Design and Evaluation of an Ultra-Low Inductance SiC Power Module Based on Multiple Phase-Legs and Thin Structure Junji Ke1, Si Huang2, Zhibin Zhao1, Xiang Cui1, Simon S Ang2, *Zhong Chen2 1. North China Electric Power Univ. (China), 2. Univ. of Arkansas (United States of America) [Tu-P-49] Evaluation of Surge Reduction Performance of a SiC Avalanche Diode with Mesa Structure in a Switching Power Supply *Kunio Koseki1, Masayuki Yamamoto1,2, Yasunori Tanaka1 1. National Institute of Advanced Industrial Science and Technology (AIST) (Japan), 2. University of Yamanashi (Japan) [Tu-P-50] Experimental Study on Short-Channel Effects in Side-Gate SiC JFETs *Masashi Nakajima1, Qimin Jin1, Mistuaki Kaneko1, Tsunenobu Kimoto1 1. Kyoto Univ. (Japan) [Tu-P-51LN] GaN epitaxial growth on 4 degree off-axis Si- and C-face 4H-SiC without buffer layer by tri-halide vapor phase epitaxy *Kazukuni Hara1,2, Junji Ohara1, Daisuke Uematsu1, Shoichi Onda2 1. DENSO Corp. (Japan), 2. Nagoya Univ. (Japan) [Tu-P-52LN] Optically Active Defects at the SiC/SiO2 Interface *Brett Cameron Johnson1, J. Woerle2,3, D. Haasmann4, C. Lew1, R. A. Parker1, H. Knowles5, B. Pingault5, M. Atature5, A. Gali6,7, S. Dimitrijev4, M. Camarda2,3, J. C. McCallum1 1. Univ. of Melbourne (Australia), 2. Paul Scherrer Inst. (Switzerland), 3. ETH Zürich (Switzerland), 4. Griffith Univ. (Australia), 5. Univ. of Cambridge

(UK), 6. Hungarian Academy of Sciences (Hungary), 7. Budapest Univ. of Technology and Economics (Hungary)

Page 32: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

[Tu-P-53LN] Effect of sacrificial oxidation process after H2 anneal on electrical properties of 4H-SiC trench MOSFETs *Jeong Hyun Moon1 1. Korea Electrotechnology Research Institute (Korea) [Tu-P-54LN] Investigation into the Body Diode Degradation of 6.5 kV SiC MOSFETs *Enea Bianda1, Andrei Mihaila1, Gianpaolo Romano1, Lars Knoll1, Stephan Wirths1, Daniele Torresin1 1. ABB (Switzerland) [Tu-P-55LN] Failure Analysis of the SiC Clamp Diodes by 10kA/cm2 Avalanche Current *Masayuki Yamamoto1,2, Kunio Koseki1, Koji Nakayama1, Yasunori Tanaka1 1. AIST (Japan), 2. Univ. of Yamanashi (Japan)

Page 33: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

Wednesday | [We-P] Poster Presentation 16:15-18:15 Location: Annex Hall 1 [We-P-01] Crystal separation method of 6-inch 4H-SiC crystal using the enhanced adhesive contraction *Jonghwi Park1, Byung-Kyu Jang1, Jung-Woo Choi1, Eunsu Yang1, Jung-Gyu Kim1, Sang-Ki Ko1, Myung-Ok Kyun1, Kap-Ryeol Ku1, Dae-Sung Kim2, Won Jae Lee2 1. SKC (Korea), 2. Dongeui Univ. (Korea) [We-P-02] The choice of seeding shaft for top-seeded solution growth of 4H-SiC *Dae-Sung Kim1,2, Yun-Ji Shin1, June-Hyuk Kang1,2, Seong-Min Jeong1, Jin-Yong Park2, Jeong-Hui Kim2, Mi-Seon Park2, Yeon-Suk Jang2, Won Jae Lee2 1. KICET (Korea), 2. Dongeui Univ. (Korea) [We-P-03] Selection of an optimal seed holder for growing high quality SiC crystals via top seeded solution growth method *Yun Ji Shin1, Dae-Sung Kim1,2, Tae-Yong Park1,3, Si-Young Bae1, Myung-Hyun Lee1, Seong-Min Jeong1 1. KICET (Korea), 2. Dong-Eui Univ. (Korea), 3. Pukyong National Univ. (Korea) [We-P-04] Hot-zone design of induction heating furnace for crystal growth with top seeded solution growth of SiC considering emissivity of graphite *Tae-Yong Park1,2, Yun-Ji Shin1, Minh-Tan Ha1,3, Si-Young Bae1, Myung-Hyun Lee1, Young-Soo Lim2, Seong-Min Jeong1 1. KICET (Korea), 2. Pukyong National Univ. (Korea), 3. Gyeongsang National Univ. (Korea) [We-P-05] Mono- versus poly-crystalline SiC for nuclear applications *Gabriel Ferro1, Xian Huang2, Taguhi Yeghoyan1, Stéphane Gavarini2, Véronique Soulière1, Nathalie Millard-Pinard2 1. Lyon Univ., Lab. LMI (France), 2. Lyon Univ., Lab IPNL (France) [We-P-06] Chemical behaviour of byproduct layer in exhaust tube formed by silicon carbide epitaxial growth in a system using chlorides Ichiro Mizushima1, *Hitoshi Habuka2 1. NuFlare Technology, Inc. (Japan), 2. Yokohama National University (Japan) [We-P-07] Non-plasma dry etcher design for 200 mm-diameter silicon carbide wafer Ryohei Kawasaki1, Kenta Irikura1, *Hitoshi Habuka1, Yoshinao Takahashi2, Tomohisa Kato3 1. Yokohama National University (Japan), 2. KANTO DENKA KOGYO CO., LTD (Japan), 3. AIST (Japan) [We-P-08] Cost-efficient High-throughput Polishing of Silicon Carbide Seed Crystals Artem Titov1, *Alicia Walters1, Tsutomu Shindo2, Hirokazu Sasai2 1. Engis Corp. (United States of America), 2. Engis Japan Corp. (Japan) [We-P-09] Reducing On-Resistance for SiC Diodes by Thin Wafer and Laser Anneal Technology *Oleg Rusch1, Carsten Hellinger1, Jonathan Moult2, Yunji Corcoran2, Tobias Erlbacher1,3 1. Fraunhofer Institute for Integrated Systems and Device Technology IISB (Germany), 2. SMC Diode Solutions, Sangdest Microelectronics (China), 3.

Chair of Electron Devices, Friedrich-Alexander-Universität Erlangen-Nürnberg (Germany) [We-P-10] Progress in bulk 4H SiC crystal growth for 150 mm wafer production *Ian Manning1, Y. Matsuda2, Gilyong Chung1, Edward K. Sanchez1 1. DuPont Electronics and Imaging, Compound Semiconductor Solutions (United States of America), 2. DuPont Electronics and Imaging, Chestnut Run

Plaza (United States of America) [We-P-11] Influence of Shallow Pits and Device Design of 4H-SiC VDMOS Transistors on In-line Defect Analysis by using PL Scanning *Matthias Kocher1, Holger Schlichting1, Birgit Kallinger1, Mathias Rommel1, Anton J. Bauer1, Tobias Erlbacher1,2 1. Fraunhofer Institute for Integrated Systems and Device Technology (Germany), 2. Friedrich-Alexander-Univ. Erlangen-Nürnberg (Germany)

Page 34: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

[We-P-12] Review and detail classification of stacking faults in 4H-SiC epitaxial layer by mirror projection electron microscopy *Kentaro Ohira1, Toshiyuki Isshiki2, Hideki Sako2,3, Masaki Hasegawa1, Kenji Kobayashi1, Katsunori Onuki1 1. Hitachi High-Technologies Corp. (Japan), 2. Kyoto Institute of Technology (Japan), 3. Toray Research Center Inc. (Japan) [We-P-13] Dislocations analysis on implanted 4H-SiC epi-layer by KOH molten etching *Ruggero Anzalone1, Andrea Severino1, Nicolo Piluso1, Salvo Coffa1 1. STmicroelectronics (Italy) [We-P-14] 4H-SiC Epi-ready Substrate Qualification by Using Mirror Electron Microscope Inspection System *Masaki Hasegawa1, Kentaro Ohira1, Noriyuki Kaneoka1, Tomohiko Ogata1, Katsunori Onuki1, Kenji Kobayashi1, Tsutomu Osanai2, Keiko Masumoto2, Junji Senzaki2 1. Hitachi High-Technologies Corp. (Japan), 2. National Inst. of Advanced Industrial Science and Technology (Japan)

[We-P-15] Annealing behavior of double Shockley stacking faults in heavily nitrogen-doped 4H-SiC crystals *Kana Shimada1, Kanta Asada1, Noboru Ohtani1 1. Kwansei Gakuin University, School of Science and Technology (Japan) [We-P-16] Excitation power dependence of the photoluminescence emission in 6H silicon carbide *Abebe Tilahun Tarekegne1, Haiyan Ou1 1. Technical University of Denmark (Denmark) [We-P-17] Optical characterization of fluorescent SiC with high boron and nitrogen concentrations *Daiki Tanaka1, Weifang Lu1, Satoshi Kamiyama1, Tetsuya Takeuchi1, Motoaki Iwaya1, Isamu Akasaki1,2 1. Meijo Univ. (Japan), 2. Akasaki Research Center. (Japan) [We-P-18] SiC natural and artificial A3B5 superlattices for the implementation of the Bloch oscillation process: a comparative analysis *Vladimir Ilich Sankin1, Alexey Gennadievich Petrov1, Pavel Petrovich Shkrebiy1, Olga Petrovna Kazarova1, Alexander Alexandrovich Lebedev1 1. Ioffe Institute (Russia) [We-P-19] Anomalous Temperature Dependence of Hall Coefficient of Heavily Al-doped 4H-SiC Epilayers in Band Conduction Region *Hideharu Matsuura1, Rinya Nishihata1, Akinobu Takeshita1, Kohei Ogawa1, Tatsuya Imamura1, Kota Takano1, Kazuya Okuda1, Atsuki Hidaka1, Shiyang Ji2, Kazuma Eto2, Kazutoshi Kojima2, Tomohisa Kato2, Sadafumi Yoshida2, Hajime Okumura2 1. Osaka Electro-Communication Univ. (Japan), 2. National Inst. of Advanced Indus. Sci. and Tech. (Japan) [We-P-20] Anomalous Conduction Mechanism between Band and Nearest-Neighbor-Hopping Conduction in Heavily Al-doped p-type 4H-SiC *Atsuki Hidaka1, Akinobu Takeshita1, Tatsuya Imamura1, Kota Takano1, Kazuya Okuda1, Hideharu Matsuura1, Shiyang Ji2, Kazuma Eto2, Takeshi Mitani2, Kazutoshi Kojima2, Tomohisa Kato2, Sadafumi Yoshida2, Hajime Okumura2 1. Osaka Electro-Communication Univ. (Japan), 2. National Institute of Advanced Industrial Sci. and Tech. (Japan) [We-P-21] Doping dependence of optical constants for n-type (N) 4H-SiC substrates Saba Khadivianazar1,2, Mathias Rommel1, *Roland Weingaertner1, Birgit Kallinger1, Maria Kolesnik-Gray2, Vojislav Krstic2 1. Fraunhofer Institute for Integrated Systems and Device Technology IISB (Germany), 2. Department of Physics, Staudtstr. 7, Bau A3, 91058 Erlangen (Germany) [We-P-22] Effect of Oxygen Annealing on the Characteristics of isotype n-Ga2O3/4H-SiC heterojunction diodes *Youngjae Lee1, Sang-mo Koo1, Wooyoung Son1, Wook Bang2, Junghyen Moon2 1. Kwangwoon Univ. (Korea), 2. Korea Electrotechnology Research Inst. (Korea)

Page 35: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

[We-P-23] Fabrication and Properties of AlN/4H-SiC Schottky Barrier Diodes *Seong-Ji Min1, Dong-Hyeon Kim1, Hong-Ki Kim2, Seongjun Kim2, Nam-Suk Lee2, Hoon-Kyu Shin2, Sang-mo Koo1 1. Kwangwoon Univ. (Korea), 2. National Institute for Nanomaterials Technology, Pohang University of Science and Technology (POSTECH) (Korea) [We-P-24] Nano- and Micro-scale Simulations of Ge/3C-SiC and Ge/4H-SiC NN-heterojunction Diodes *Muhammad Haroon Rashid1, Ants Koel1, Toomas Rang1 1. Tallinn University of Technology (Estonia) [We-P-25] Graphene quality assessment using an entropy approach of SEM images Bernd Haehnlein1, Sergey P. Lebedev2, Ilya A. Eliseyev2, Valery Yu. Davydov2, Alexander A. Lebedev2, *Joerg Pezoldt1 1. TU Ilmenau (Germany), 2. Ioffe Physical Technical Institute (Russia) [We-P-26] Manganese Doping for Highly-Resistive SiC: a Deep Level Study *Giovanni Alfieri1, Stephan Wirths1, Dan Mihai Buca2, Enea Bianda1 1. ABB (Switzerland), 2. Julich Res. (Germany) [We-P-27] Characterization of Surface Potential of Oxidized Silicon Carbide by a Laser Terahertz Emission Microscope *Tatsuhiko Nishimura1, Hidetoshi Nakanishi1, Iwao Kawayama2, Masayoshi Tonouchi2, Takuji Hosoi3, Takayoshi Shimura3, Heiji Watanabe3 1. SCREEN Holdings Co., Ltd. (Japan), 2. Inst. of Laser Eng., Osaka Univ. (Japan), 3. Graduate School of Eng., Osaka Univ. (Japan) [We-P-28] TDDB lifetime enhancement of SiC-MOSFETs under gate-switching operation *Eiichi Murakami1, Tatsuya Takeshita1, Kazuhiro Oda1 1. Kyushu Sangyo Univ. (Japan) [We-P-29] A Comparison of Active Near-Interface Traps in Nitrided and As-Grown Gate Oxides by the Direct Measurement Technique *Peyush Pande1, Sima Dimitrijev1, Daniel Haasmann1, Hamid Amini Moghadam1, Philip Tanner1, Jisheng Han1 1. Queensland Micro- and Nanotechnology Center, Griffith University (Australia) [We-P-30] Analysis of three-level charge pumping characteristics of 4H-SiC MOSFETs considering near-interface traps *Yuta Matsuya1, Xufang Zhang1, Dai Okamoto1, Noriyuki Iwamuro1, Hiroshi Yano1 1. Univ. of Tsukuba (Japan) [We-P-31] Modeling of Threshold Voltage Hysteresis in SiC Mosfet Device *Salvatore Cascino1, Mario Saggio1, Alfio Guarnera1 1. STMicroelectronics (Italy) [We-P-32] Laser Annealing of P and Al implanted 4H-SiC epitaxial layers *Cristiano Calabretta1,2, Massimo Zimbone1, Simona Boninelli1, Marta Agati1, Alessandro Pecora1, Andrea Castiello1, Guglielmo Fortunato1, Lucia Calcagno3, Lorenzo Torrisi2, Francesco La Via1 1. CNR-IMM (Italy), 2. Messina Univ. (Italy), 3. Catania Univ. (Italy) [We-P-33] Room Temperature Processing of Low Resistance Contacts to p-type 4H-SiC using Laser Doping Kento Okamoto1, *Akihiro Ikeda2, Toshifumi Kikuchi1, Hiroshi Ikenoue1, Tanemasa Asano1 1. Kyushu Univ. (Japan), 2. Sojo Univ. (Japan) [We-P-34] Development of SiC etching by chlorine monofluoride gas *Yoshinao Takahashi1,2, Korehito Kato2, Hitoshi Habuka1 1. Yokohama National Univ. (Japan), 2. KANTO DENKA KOGYO CO., LTD. (Japan)

Page 36: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

[We-P-35] Deposition of Gate Oxide for SiC Trench MOSFET with Thick SiO2 in the Trench Bottom *Takayuki Kobayashi1, Masayuki Nakamura1, Masahiro Furuta1, Yutaka Kusuda1, Shin-ichi Motoyama1 1. Samco Inc. (Japan) [We-P-36] Compatibility of POCl3 gate process with the fabrication of vertical 4H-SiC MOSFETs *Tomokatsu Watanabe1, Munetaka Noguchi1, Shingo Tomohisa1, Naruhisa Miura1 1. Mitsubishi Electric Corporation (Japan) [We-P-37] Near interface oxide traps investigations using dual sweep C-V characteristics for grown polyoxides on SiC *Razvan Pascu1, Cosmin Romanitan1, Mihaela Kusko1, Gheorghe Pristavu2, Florin Draghici2, Gheorghe Brezeanu2 1. National Institute for Research and Development in Microtechnologies - IMT Bucharest (Romania), 2. University “POLITEHNICA” Bucharest (Romania) [We-P-38] Influence of Aluminum Compensation Effects in 4H-SiC on the Performance of VDMOS Transistors *Holger Schlichting1, Matthias Kocher1, Julietta Weisse2, Tobias Erlbacher1,2, Anton J. Bauer1 1. Fraunhofer Inst. IISB (Germany), 2. Friedrich-Alexander Univ. (Germany) [We-P-39] 1200V Bidirectional SiC MOSFETs Implemented with Two Unidirectional MOSFETs Back-to-Back in a Common Drain Configuration *Collin W. Hitchcock1, T. Paul Chow1 1. Rensselaer Polytechnic Institute (RPI) (United States of America) [We-P-40] Improved SPICE SiC MOSFET Model to Avoid Convergence Errors Haavard Lefdal Hove1, Ole Christian Spro1, Giuseppe Guidi2, *Dimosthenis Peftitsis1 1. Norwegian Univ. of Sci. and Tech. (Norway), 2. SINTEF Energy Res. (Norway) [We-P-41] SiC MOSFET with short channel defined by one shallow source-JFET implantation: a simulation study *Tomasz Sledziewski1, Tobias Erlbacher1 1. Fraunhofer IISB (Germany) [We-P-42] Experimental Analysis of 600V 4H-SiC Vertical and Lateral MOSFETs Fabricated on the same 6-inch Substrate using a Single Process *Nick Yun1, Justin Lynch1, Woongje Sung1 1. State University of New York Polytechnic Inst. Colleges of Nanoscale Science and Engineering (United States of America) [We-P-43] Improvement in transient performance of >10kV SiC IGBT with an optimized retrograde p-well *Amit K Tiwari1, Marina Antoniou2, Samuel Perkins3, Neophytos Lophitis3, Tatjana Trajkovic1, Florin Udrea1 1. University of Cambridge (UK), 2. University of Warwick (UK), 3. Coventry University (UK) [We-P-44] Enhancement of ESD Protection using Wide-trench Structure in 650 V 4H-SiC Junction Barrier Schottky Diode *Sinsu Kyoung1, Eun-ha Kim1, Joon-hyeok Jeon1,2, Tae Jin Nam1, Young Sung Hong1, Tai Young Kang1 1. Powercubesemi, Inc. (Korea), 2. Hanyang Univ. (Korea) [We-P-45] Analysis of barrier inhomogenities of p-type Al/4H-SiC Schottky barrier diodes *Mehadi Hasan Ziko1, Ants Koel1, Jana Toompuu1, Toomas Rang1 1. Tallinn University of Technology (Estonia) [We-P-46] High Volume Manufacturing and Qualification of 6.5 kV, 30 A SiC Power MOSFETs on 150 mm Wafers *Shadi Sabri1, Edward Van Brunt1, Adam Barkley1, Brett Hull1, Dave Grider1, Satyaki Ganguly1, Don Gajewski1, Scott Allen1, John Palmour1 1. Wolfspeed, A Cree Company (United States of America)

Page 37: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

[We-P-47] 1200 V and 4000 V SiC DMOSFETs *Siddarth Sundaresan1, Jaehoon Park1, Vamsi Mulpuri1, Ranbir Singh1 1. GeneSiC Semiconductor (United States of America) [We-P-48] Effect irradiation with 15 MeV protons on properties of 4H-SiC UV detectors *Aleksandr A Lebedev1, Eugenia V Kalinina1, Vitalii V. Kozlovski2, Vladimir V. Zabrodski1, Andrey, V. A. Nikolaev1, Maxim Z. Shvarts1, Svetlana A. Levina1 1. Ioffe Institute (Russia), 2. Peter the Great St. Petersburg Polytechnic University (Russia) [We-P-49] Study on the Influence of Gamma-ray Irradiation on Commercial SiC DMOSFETs *Jheng-Yi Jiang1, Hua-Yu Shih1, Chen-Xuan Tu1, Ya-Xun Lin1, Der-Sheng Chao1, Chih-Fang Huang1 1. National Tsing Hua University (Taiwan) [We-P-50] High-Performance SIP Half-Bridge IPM Based on 35mOhm/1200V SiC Stack-Cascode *Peter Alexandrov1, Anup Bhalla1, Xueqing Li1, Jens Eltze2 1. United Silicon Carbide, Inc. (United States of America), 2. APEX Microtechnology (United States of America) [We-P-51] Inrush Current Effects on SiC-MOSFETs for LLC Converter *Yoshimasa Takaku1, Hikaru Tanaka1, Yosei Takada1, Shuhei Nakata1 1. Kanazawa Institute of Technology (Japan) [We-P-52LN] Investigation of carbon inclusions in SiC crystals grown by PVT method *Xuejian Xie1, Jinying Yu1, Xianglong Yang1, Xiufang Chen1, Xiangang Xu1, Xiaobo Hu1, Xintong Liu1, Duo Liu1 1. Shandong Univ. (China) [We-P-53LN] Mechanism of improvement in channel mobility of SiC-MOSFET by wet post-oxidation annealing studied using ion beam analysis techniques *Kaoru Nakajima1, Takuya Matsumoto1, Kenji Kimura1, Mizuki Nishida2, Koji Kita2 1. Kyoto Univ. (Japan), 2. The Univ. of Tokyo (Japan) [We-P-54LN] Mechanisms of Heavy Ion Induced Single Event Burnout in 4H-SiC Power MOSFETs *Joseph McPherson1, Collin Hitchcock1, Tat-Sing Paul Chow1, Wei Ji1 1. Rensselaer Polytechnic Inst. (United States of America)

[We-P-55LN] High Temperature Reliability of 4H-SiC Devices and Single Stage 4H-SiC MOSFET Amplifier at 400C *Cuong Van Vuong1, Seiji Ishikawa2, Tomonori Maeda2, Hiroshi Sezaki2, Kousuke Muraoka1, Tetsuya Meguro1, Shin-Ichiro Kuroki1 1. Hiroshima University (Japan), 2. Phenitec Semiconductor Co, Ltd (Japan)

Page 38: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

Thursday | [Th-P] Poster Presentation 13:45-15:45 Location: Annex Hall 1 [Th-P-01] Modified hot-zone design of growth cell for reducing the warpage of 6''-SiC wafer *Byung-Kyu Jang1, Jonghwi Park1, Jung-Woo Choi1, Eunsu Yang1, Jung-Gyu Kim1, Sang-Ki Ko1, Myung-Ok Kyun1, Kap-Ryeol Ku1, Yeon-Suk Jang2, Won Jae Lee1,2 1. SKC (Korea), 2. Dongeui Univ. (Korea) [Th-P-02] Effect of Al Addition on Surface Morphology in Solution Growth of SiC Using Cr Solvent without Molten Si *Koki Suzuki1, Toshinori Taishi1 1. Shinshu Univ. (Japan) [Th-P-03] SiC crystal growth from CVD-SiC polycrystal as the source via physical vapor transport method *Yong-Jin Kwon1, Younghee Kim1, Gyu-Hyun Kim2, Jae-Heum Lee2, Seong-Min Jeong1 1. KICET (Korea), 2. Gtech Co. Ltd (Korea) [Th-P-04] Investigation on the treading dislocations formed by lattice misfits during initial stage of sublimation growth of 4H-SiC *Tai Hee Eun1, Im-Gyu Yeo1, Jang-Yul Kim1, Seung-Seok Lee1, Han-Suk Seo1, Myong-Chuel Chun1 1. RIST (Research Institute of Industrial Science and Technology) (Korea) [Th-P-05] Industrialization of 150mm N-type and semi-insulating SiC wafers *Chunjun Liu1, Tonghua Peng1, Bo Wang1, Ning Zhao1, Yu Guo1, Yanfang Lou1 1. Beijing Tankeblue semiconductor Co. Ltd. (China) [Th-P-06] Improvement of repeatability on N-type 4H-SiC epitaxial growth by high speed wafer rotation vertical CVD tool *Yoshiaki Daigo1, Akio Ishiguro1, Shigeaki Ishii1, Takehiko Kobayashi1, Yoshikazu Moriyama1 1. NuFlare Technology, Inc., (Japan) [Th-P-07] Resistive heater element made of highly durable TaC-coated graphite for high-temperature and highly corrosive processes: Application to MOCVD GaN epitaxial growth *Daisuke Nakamura1, Tetsuo Narita1, Taishi Kimura1 1. Toyota central R&D labs., Inc. (Japan) [Th-P-08] A New Permanganate-Free Slurry for GaN-SiC CMP Applications *Treliant Fang1, Ping-Chung Chen2, Ming-Hsun Lee2 1. Flux Research Institute, LLC (United States of America), 2. Scientech Corp., Ltd. (Taiwan) [Th-P-09] Evolution and Future Direction of SiC Substrate Processing *Rob Rhoades1, Sarah Okada1 1. Revasum (United States of America) [Th-P-10] Research on the Key Problems of Crystal Growth and Shaping Processing technology in the Industrialization of SiC Substrate Materials *Hui Chen1, Yong Liang Zhang1, Hai Kuan Kong1, Le Xing Wang1, Kai Nan Xiong1, Wei Huang1, Shi Yi Zhuo1, Er Wei Shi1 1. Shanghai Inst. of Ceramics, Chinese Academy of Sci. (China) [Th-P-11] Characterization of Prismatic Stacking Faults of Carrot Defects in 4H-SiC Epi Wafer *Hideki Sako1,3, Kenji Kobayashi2, Kentaro Ohira3, Toshiyuki Isshiki3 1. Toray Research Center, Inc. (Japan), 2. Hitachi High-Technologies Corp. (Japan), 3. Kyoto Institute of Technology (Japan)

Page 39: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

[Th-P-12] Defect Characterization in 100 mm commercial 4H-SiC Substrate and Homoepitaxial Wafer *Long Yang1,2, Lixia Zhao1,2, Huiwang Wu1,2, Yafei Liu3, Balaji Raghothamachar3, Michael Dudley3 1. Hebei Key Lab. of New Semiconductor Materials (China), 2. Hebei Poshing Electronics Tech. Corp. Ltd. (China), 3. Stony Brook Univ. (United States of America) [Th-P-13] Formation of etching pits on SiC Substrate using a microwave plasma *Yan Peng1, Xiang Long Yang1, Jin Ying Yu1, Peng Duan1, Xi Wei Wang1, Xian Gang Xu1, Xiao Bo Hu1 1. Shandong Univ. (China) [Th-P-14] Verification of Forward Degradation in PiN Diode Using Free-Standing 4H-SiC Epitaxial Layers *Yusuke Miyata1, Hiroaki Okabe1, Tomoaki Furusho1, Kazuya Konishi1, Kenji Hamada1, Hiroshi Watanabe1, Shingo Tomohisa1, Naruhisa Miura1 1. Mitsubishi Electric Corp. (Japan) [Th-P-15] Evaluation of suppressing forward voltage degradation by using a low BPD density substrate or an epitaxial wafer with an HNDE *Yoshitaka Nishihara1, Koji Kamei1, Kenji Momose1, Hiroshi Osawa1 1. SHOWA DENKO K.K. (Japan) [Th-P-16] Defect Detection in SiC Device Processes using a Mirror Electron Microscope Inspection System *Keiko Masumoto1, Junji Senzaki1, Masaki Hasegawa2, Kentaro Ohira2, Kazutoshi Kojima1, Kenji Kobayashi2, Hajime Okumura1 1. National Inst. of Advanced Indus. Sci. and Tech. (Japan), 2. Hitachi High-Technologies Corp. (Japan) [Th-P-17] Evaluations of p-Type 4H-SiC Piezoresistance Coefficients in (0001) Plane Using Numerical Simulation *Takaya Sugiura1, Naoki Takahashi1, Nobuhiko Nakano1 1. Keio Univ. (Japan) [Th-P-18] Thermovoltaic Effect in SmS/SiC Heterostructure *Vladimir Kaminskii1, Andrey Olegovich Lebedev1,2, Sergey Mikhailovich Solov'ev1, Natalya Viktorovna Sharenkova1 1. Ioffe Inst. (Russia), 2. St.-Petersburg Electrotechnical Univ. (Russia) [Th-P-19] Comparative results of low-temperature annealing of lightly doped n-layers of silicon carbide irradiated by protons and electrons Vitali V. Kozlovski1, Oleg Korolkov2, Alexander A. Lebedev3, Jana Toompuu2, *Natalja Sleptsuk2 1. Peter the Great St. Petersburg Polytechnic University (Russia), 2. Tallinn University of Technology (Estonia), 3. Ioffe Institute (Russia) [Th-P-20] Investigation of the influence of structural defects on the PL spectra in n-3C-SiC *Aleksandr A Lebedev1, Lev V Shakhov1, Natalia V Seredova1, Sergey P Lebedev1, Irina P Nikitina1 1. Ioffe Institute (Russia) [Th-P-21] Wurtzite SiC Formation in Plastic Deformed 3C and 6H *Joerg Pezoldt1, Andrei A. Kalnin2 1. TU Ilmenau (Germany), 2. Saint Petersburg State Electrotechnical University (Russia) [Th-P-22] Current-Mode Deep Level Spectroscopy of Vanadium-doped HPSI 4H-SiC *Giovanni Alfieri1, Lukas Kranz1, Andrei Mihaila1 1. ABB (Switzerland) [Th-P-23] Gate Leakage Current of SiO2/4H-SiC Metal-Oxide-Semiconductor Using Poole-Frenkel Emission Hojun Lee1, Taeeun Kim1, Jeong Hyun Moon2, Ogyun Seok2, Wook Bahng2, *Min-Woo Ha1 1. Myongji Univ. (Korea), 2. Korea Electrotech. Res. Institute (Korea)

Page 40: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

[Th-P-24] Evaluation of Interface Traps Type, Energy Level and Density by C-V Measurements for Calibrated SiC MOSFET TCAD Simulations Ilaria Matacena1, *Luca Maresca1, Michele Riccio1, Andrea Irace1, Giovanni Breglio1, Santolo Daliento1 1. University of Naples "Federico II" (Italy) [Th-P-25] Photo-assisted Corona-charge Characterization of Wide Bandgap Interfaces with Deep Traps Invisible in Standard C-V *Alexandre Savtchouk1, Marshall Wilson1, John D'Amico1, Carlos Almeida1, Andrew Hoff2, Jacek Lagowski1 1. Semilab SDI (United States of America), 2. Electrical Engineering Department, University of South Florida (United States of America) [Th-P-26] Near zero field spin dependent charge pumping: effects of nitrogen in 4H-SiC MOSFETs *Mark Anders1, Patrick M Lenahan2, Jason T Ryan1 1. National Institute of Standards and Technology (United States of America), 2. Pennsylvania State University (United States of America) [Th-P-27] Formation and crystallinity evaluation of periodic nanostructures on SiC induced by ultra-short laser pulse *Reina Miyagawa1, Shusuke Yoshikawa1, Hwan Hong Lim2, Takunori Taira2,3, Osamu Eryu1 1. Nagoya Inst. of Tech. (Japan), 2. Inst. of Mol. Sci. (Japan), 3. RIKEN SPring-8 (Japan) [Th-P-28] Improvement of surface morphology and state density of 4H-SiC using reduced-graphene oxide (r-GO) as a capping layer *Seongjun Kim1, Minwho Lim2, Hong-Ki Kim1, Min-Je Sung1, Min-Jae Kang1, Hahye Choi1, Nam-Suk Lee1, Tobias Erlbacher2, Anton Bauer2, Hoon-Kyu Shin1 1. Pohang Univ. of Sci. and Tech. (Korea), 2. Fraunhofer Inst. for Integrated Systems and Device Tech. (IISB) (Germany) [Th-P-29] A novel process to realize 4H-SiC nanowire arrays Maximilien Cottat1, Antonios Stavrinidis2, Cecile Gourgon1, Camille Petit-Etienne1, Maria Androulidaki2, Edwige Bano3, George Konstantinidis2, Jumana Boussey1, *Konstantinos Zekentes2,3 1. Laboratoire des Technologies de la Microélectronique, UGA-CNRS-CEA (France), 2. MRG-IESL/ FORTH (Greece), 3. Grenoble-INP, IMEP-LAHC (France) [Th-P-30] Pixel Array Integration with SOI-Si photodiode and 4H-SiC MOSFETs for Radiation-Hardened image sensors *Tatsuya Meguro1, Fumiaki Hasebe1, Akinori Takeyama2, Takeshi Ohshima2, Yasunori Tanaka3, Shin-Ichiro Kuroki1 1. Hiroshima Univ. (Japan), 2. National Institutes for Quantum and Radiological Science and Technology (QST) (Japan), 3. National Institute of

Advanced Industrial Science and Technology (AIST) (Japan) [Th-P-31] Effect of phosphorus doped poly annealing on threshold voltage stability and thermal oxide reliability in 4H-SiC MOSFET *Kwangwon Lee1, Youngho Seo1, Taeseop Lee1, Kyeongseok Park1, Martin Domeij2, Fredrik Allerstam2, Thomas Neyer3 1. ON semiconductor (Korea), 2. ON semiconductor (Sweden), 3. ON semiconductor (Germany) [Th-P-32] Rapid Growth of SiO2 on SiC with Atomically Flat Interface and Low Dit using High Pressure Microwave Oxygen Plasma *Shengkai Wang1,2, Jilong Hao1,2, Nannan You1,2, Yun Bai1,2, Xinyu Liu1,2 1. Inst. of Microelectronics of Chinese Academy of Sciences (China), 2. Univ. of Chinese Academy of Sciences (China) [Th-P-33] Interface Engineering of SiC MOS Devices by High-temperature CO2 Treatment *Takuji Hosoi1, Momoe Ohsako1, Takayoshi Shimura1, Heiji Watanabe1 1. Osaka University (Japan) [Th-P-34] Advanced TCAD Design Techniques for the Performance Improvement of SiC MOSFETs *Marco Bellini1, Lars Knoll1 1. ABB Switzerland Ltd, Corporate Research Center (Switzerland) [Th-P-35] 1.2 kV SiC trench MOSFETs with double p-base junctions *Ogyun Seok1, In Ho Kang1, Hyoung Woo Kim1, Jeong Hyun Moon1, Moonkyong Na1, Sangcheol Kim1, Nam Kyun Kim1, Young Jo Kim1, Hyun Jin Jung1, Wook Bahng1 1. Korea Electrotechnology Research Institute (Korea)

Page 41: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

[Th-P-36] Analysis of the static characteristics of 4H-SiC Trench MOSFET with novel trench bottom structure *Hyoung Woo Kim1, Ogyun Seok1, Jeong Hyun Moon1, Wook Bahng1 1. Korea Electrotechnology Research Institute (Korea) [Th-P-37] Avalanche Ruggedness Assessment of 1.2kV 45m Asymmetric Trench SiC MOSFETs *Xiao Chuan Deng1, Hao Zhu1, Xuan Li1, Xiao Jie Xu1, Kun Zhou2, Zhi Qiang Li2, Bo Song3, You Run Zhang1, Bo Zhang1 1. University of Electronic Science and Technology of China (China), 2. China Academy of Engineering Physics (China), 3. Nanjing Electronic Device Institute (China) [Th-P-38] Comparison of Electric Field Profile and Breakdown Voltage of 1.2 kV 4H-SiC Superjunction DMOSFETs and Conventional DMOSFETs *Chen-Dong Tzou1, Jhen-Min Hong1, Yun-Kai Lai1, Kung-Yen Lee1, Chih-Fang Huang2 1. National Taiwan Univ. (Taiwan), 2. National Tsing Hua Univ. (Taiwan) [Th-P-39] Investigating the Limit of On-Resistance and Breakdown Voltage of Silicon Carbide Power Transistors *Johanna Mueting1, Ulrike Grossner1 1. ETH Zürich (Switzerland) [Th-P-40] Physical Simulations and Measurements of 10 kV 4H-SiC PiN Diodes *K. Elgammal1, Kai Tian2, Lasse Vines3, Marianne E. Bathen3, Wlodek Kaplan5, Adolf Schoner5, Robin Karhu4, Jawad Ul-Hassan4, Jinghua Xia6, Anders Hallen1 1. Royal Institute of Technology, KTH (Sweden), 2. Xi'an Jiatong Univ. (China), 3. Univ. of Oslo (Norway), 4. Linköping Univ. (Sweden), 5. Ascatron

AB (Sweden), 6. GEIRI Co Ltd. (China) [Th-P-41] Short Circuit Ruggedness of 600 V SiC Trench JFETs *Vinoth Kumar Sundaramoorthy1, Lukas Kranz1, Stephan Wirths1, Marco Bellini1, Gianpaolo Romano1, Enea Bianda1, Lars Knoll1, Andrei Mihaila1 1. ABB Switzerland Ltd. (Switzerland) [Th-P-42] Effects of Pulsed and DC Body Diode Current Stress on the Stability of 1200-V SiC MOSFET I-V Characteristics *Ronald Green1, Aivars J Lelis1, Franklin L Nouketcha1,2 1. U.S. Army Research Laboratory (United States of America), 2. University of Maryland (United States of America) [Th-P-43] Radiation Hardness of 4H-SiC JFETs in MGy Dose Ranges *Akinori Takeyama1, Keigo Shimizu2, Takahiro Makino1, Yuichi Yamazaki1, Shin-ichiro Kuroki3, Yasunori Tanaka2, Takeshi Ohshima1 1. QST (Japan), 2. AIST (Japan), 3. Hiroshima Univ. (Japan) [Th-P-44] 4H-SiC Pixel Device with UV Photodiode and MOSFETs for Radiation-Hardened UV Image Sensors *Kenta Nishigaito1, Tatsuya Meguro1, Akinori Takeyama2, Takeshi Ohshima2, Yasunori Tanaka3, Shin-ichiro Kuroki1 1. Research Institute for Nanodevice and Bio Systems, Hiroshima Univ. (Japan), 2. National Institutes for Quantum and Radiological Science and

Technology (Japan), 3. National Institute of Advanced Industrial Science and Technology (Japan) [Th-P-45] Systematic analysis and optimization of Silicon Carbide ultra-thin (< 2m) x-ray sensors for synchrotrons beam position monitors applications *Maria Carulla1, Selam Nida2, Alexander Tsibizov2, Thomas Ziemann2, Mario Birri1, Beat Meyer1, Daniel Grolimund1, Claude Pradervand1, Oliver Bunk1, Ulrike Grossner2, Massimo Camarda1 1. Paul Scherrer Inst. (Switzerland), 2. ETH Zürich, Advance Power Semiconductor Lab. (Switzerland) [Th-P-46] Development of a Pulsed Power Supply Utilizing 13 kV Class SiC-MOSFETs *Katsuya Okamura1, Fujio Naito1,2, Ken Takayama1, Hidenori Kitai3, Hisato Michikoshi3, Kunihiro Sakamoto3, Akira Tokuchi4, Tatsuya Kaito5, Daiki Kumamoto6 1. KEK (Japan), 2. J-PARC (Japan), 3. AIST (Japan), 4. Pulsed Power Japan Lab. (Japan), 5. Chiba Inst. of Tech. (Japan), 6. Nagaoka Univ. of Tech.

(Japan)

Page 42: International Conference on Silicon Carbide and …...14:30 [Mo-2B-02] 3C-SiC growth on ISP substrates: effects of substrate geometry on void formation and growth rate *M. Zimbone1,

[Th-P-47] Extreme Environment Integrated Circuits Based on Enhancement Mode SiC JFETs *Peter Alexandrov1, Matt O'Grady1 1. United Silicon Carbide, Inc. (United States of America) [Th-P-48] High-Performance Temperature Sensors Based on Dual 4H-SiC JBS Devices Ngoc Thi Nguyen1, Seong Ji Min1, *Sang Mo Koo1 1. Kwangwoon University (Korea) [Th-P-49LN] Development of 150-mm 4H-SiC Substrates using a High-temperature Chemical Vapor Deposition Method *Takeshi Okamoto1, Takahiro Kanda1, Yuichiro Tokuda1, Nobuyuki Ohya1, Kiyoshi Betsuyaku2, Norihiro Hoshino2, Isaho Kamata2, Hidekazu Tsuchida2 1. DENSO CORP. (Japan), 2. CRIEPI (Japan) [Th-P-50LN] Design, Synthesis and Characterization of Ultralightweight Three Dimensional SiC/SiC Composites *Sakineh Chabi1, Yanqiu Zhu2 1. The University of New Mexico (United States of America), 2. University of Exeter (UK) [Th-P-51LN] Nondestructive depth distribution measurements of carrier lifetime in 4H-SiC thick epitaxial layers with high spatial resolution *Takashi Hirayama1, Keisuke Nagaya1, Akira Miyasaka2, Kazutoshi Kojima3, Tomohisa Kato3, Hajime Okumura3, Masashi Kato1 1. Nagoya Inst. (Japan), 2. Showa Denko (Japan), 3. AIST (Japan) [Th-P-52LN] Accurate Channel Mobility Extraction and Scattering Mechanisms in 4H-SiC p-Channel MOSFETs *Xingyan Zhou1, Dai Okamoto1, Xufang Zhang1, Mitsuru Sometani2, Mitsuo Okamoto2, Shinsuke Harada2, Noriyuki Iwamuro1, Hirosi Yano1 1. Tsukuba Univ. (Japan), 2. National Inst. of Advanced Indus. Sci. and Tech. (Japan) [Th-P-53LN] Surface treatment of 4H-SiC MOSFETs prior to Al2O3 deposition *Muhammad Idzdihar Idris1, A. B. Horsfall2 1. Univ. Teknikal Malaysia Melaka (Malaysia), 2. Newcastle Univ. (UK) [Th-P-54LN] A Subcircuit SPICE Model for SiC Charge-Balanced Schottky Diodes *Collin W. Hitchcock1, Xiang Zhou1, Gyanesh Pandey1, Reza Ghandi2, Alexander Bolotnikov2, T. Paul Chow1 1. Rensselaer Polytechnic Institute (United States of America), 2. GE Global Research (United States of America) [Th-P-55LN] Parameter Spread and its Effect on Lifetime in Discrete SiC MOSFETs *Thomas Ziemann1, Joni Jormanainen2, Elena Mengotti3, Ulrike Grossner1 1. Advanced Power Semiconductor Laboratory, ETH Zürich (Switzerland), 2. ABB Oy (Finland), 3. ABB Schweiz AG (Switzerland)