Top Banner

of 13

Informe Programas Con With Select

Mar 09, 2016

Download

Documents

Informe Programas Con With Select
Welcome message from author
This document is posted to help you gain knowledge. Please leave a comment to let me know what you think about it! Share it to your friends and learn new things together.
Transcript

UNIVERSIDAD TCNICA DE AMBATOF . I . S . E . IFACULTAD DE INGENIERIA EN SISTEMAS, ELECTRONICA E INDUSTRIAL

FACULTAD DE INGENIERA EN SISTEMAS, ELECTRNICA E INDUSTRIAL PERODO ACADMICO: OCTUBRE/2015 FEBRERO/2016

TEMA I: PROGRAMACION DE MUX 4 a 1 EN VHDL UTILIZANDO LA SENTENCIA WHEN SELECT.

1. OBJETIVOS:

Objetivo General: Conocer la sentencia de asignacin WHEN SELECT en VHDL

Objetivos Especficos: Investigar la sintaxis de las instrucciones en VHDL de Xilinx Implementar un Mux de 4 a 1 utilizando la sentencia de asignacin WHEN SELECT en VHDL. 1. MARCO TERICO:

VHDLVHDL es un lenguaje concurrente, como consecuencia no se seguir el orden en que estn escritas las instrucciones a la hora de ejecutar el cdigo. De hecho, si hay dos instrucciones, no tiene por qu ejecutarse una antes que otra, pueden ejecutarse a la vez.Sentencias ConcurrentesLa instruccin bsica de la ejecucin concurrente es la asignacin entre seales a travs del smbolo