Top Banner
IEEE MTT-S International Microwave Symposium 17–22 JUNE 2012 MONTRÉAL, QUÉBEC, CANADA 2012 http://ims2012.mtt.org
133

IMS2012 Program

Mar 23, 2016

Download

Documents

Paul Khanna

Program Schedule for IMS2012 17-22 June 2012 - Montreal, Canada
Welcome message from author
This document is posted to help you gain knowledge. Please leave a comment to let me know what you think about it! Share it to your friends and learn new things together.
Transcript
Page 1: IMS2012 Program

IEEE MTT-S

InternationalMicrowave Symposium17–22 JUNE 2012 • MONTRÉAL, QUÉBEC, CANADA

2012

http://ims2012.mtt.org

Page 2: IMS2012 Program

Montréal Awaits

Credit : © Tourisme Montréal, Stéphan Poulin

Credit: © Tourisme Montréal, Mario Melillo Credit: © Tourisme Montréal, Mario Melillo

Page 3: IMS2012 Program

0800 - 1200 AM Workshops & Short Courses 1200-1320 Panel Session 1300 -1700 PM Workshops & Short Courses Social Events

SUND

AY

WSA: Unconventional Power Amplifier Architecture with High Efficiency (Cont. in PM) WSA: Unconventional Power Amplifier Architecture with High Efficiency (cont. from AM)

RFIC Reception (Palais des Congrès, Level 7- Room 710a): 1900-2100

17 Ju

ne 20

12

WSB: Modern Techniques for Tunable and Reconfigurable RF/Microwave Filter Development (Cont. in PM) WSB: Modern Techniques for Tunable and Reconfigurable RF/Microwave Filter Development (cont. from AM)WSC: 3D Integrated Circuits (Cont. in PM) WSC: 3D Integrated Circuits (cont. from AM)WSD: RF & mmW PAs: Linearization and Power Challenges (Cont. in PM) WSD: RF & mmW PAs: Linearization and Power Challenges (cont. from AM)WSE: Towards Watt-Level mm-Wave Efficient Silicon Power Amplifiers (Cont. in PM) WSE: Towards Watt-Level mm-Wave Efficient Silicon Power Amplifiers (cont. from AM)

WSF: Wide-Band (Multi-Octave), Fast-Settling, RF Frequency Synthesis (Cont. in PM) WSF: Wide-Band (Multi-Octave), Fast-Settling, RF Frequency Synthesis (cont. from AM)

WSG: RF and Modem Techniques for Multi-standard Radios Coexistence (Cont. in PM) WSG: RF and Modem Techniques for Multi-standard Radios Coexistence (cont. from AM)WSH: RF and Analog ICs for Biomedical ApplicationsWSI: RF at the NanoscaleWSJ: RF Spectrum Sensing and Signal Feature Detection CircuitsWSK: Recent Development in CMOS Mixer Design and Application (Cont. in PM) WSK: Recent Development in CMOS Mixer Design and Application (cont. from AM)WSL: Recent Developments of High-Speed Wireline Transceivers

WSM: Advances in Noise Analysis for RF CircuitsWSN: Short-Range Near-Field Communications (NFC)WSO: Advancements in Front End Modules for Mobile and Wireless ApplicationsWSP: Digital transmitters and PAs for wireless applications.

SC-1: Graphene and RF Applications (Cont. in PM) SC-1: Graphene and RF Applications (Cont. from AM)

Registration: 0700-1800 • RFIC Plenary: 1740-1900

MON

DAY

0800 - 1200 AM Workshops & Short Courses 1200-1320 Panel Session 1300 -1700 PM Workshops & Short Courses

18 Ju

ne 20

12

WMA: Introduction to Advanced Dielectric Measurement Techniques (Cont. in PM)

THz Integrated Circuits: Do future markets support highly integrated silicon-based IC development?

WMA: Introduction to Advanced Dielectric Measurement Techniques (Cont. from AM)

IMS2012 Welcome Reception: (Palais des Congrès, Viger Lobby): 1900-2030

WMB: Device Model Extraction based on Vectorial Large-Signal Measurements

WMC: Advanced Techniques for Electromagnetic-Based Model Generation (Cont. in PM) WMC: Advanced Techniques for Electromagnetic-Based Model Generation (Cont. from AM)

WMD: Wireless Positioning and Tracking in Indoor and Urban Environments (Cont. in PM) WMD: Wireless Positioning and Tracking in Indoor and Urban Environments (Cont. from AM)

WME: THz devices and systems based on nanotechnology (Cont. in PM) WME: THz devices and systems based on nanotechnology (Cont. from AM)

WMF: Wireless energy transfer and scavenging techniques (Cont. in PM) WMF: Wireless energy transfer and scavenging techniques (Cont. from AM)

WMG: Broadband PAs for Wireless Communications (Cont. in PM) WMG: Broadband PAs for Wireless Communications (Cont. from AM)WMH: GaN's Destiny: Reliable CW Operation at Power Densities Approaching 40 W/mm - Can it Be Fulfilled (and When)? (Cont. in PM) WMH: GaN’s Destiny: Reliable CW Operation at Power Densities Approaching 40 W/mm - Can it Be Fulfilled (and When)? (Cont. from AM)WMI: Towards Development of Smarter Substrate Integrated Waveguide Components and Advanced Fabrication Methodologies (Cont. in PM) WMI: Towards Development of Smarter Substrate Integrated Waveguide Components and Advanced Fabrication Methodologies (Cont. from AM)

Chapter Chair’s Meeting and Reception(Hyatt, Grand Salon): 2000-2200

WMJ: Emerging Technology and Technological Challenges in Low Phase Noise Oscillator Circuit Designs

WMK: Analytic Concepts and Design Techniques for Low-Noise and Low-Distortion Mixers

WML: Measurement, Design, and Linearisation Techniques for High-Efficiency Amplifiers SC-3: Theory and Design of Frequency Synthesizers (Cont. in PM) SC-3: Theory and Design of Frequency Synthesizers (Cont. from AM)SC-4: Nonlinear Microwave Circuits — Their Dynamics, Bifurcation, and Practical Stability Analysis/Design (Cont. in PM) SC-4: Nonlinear Microwave Circuits — Their Dynamics, Bifurcation, and Practical Stability Analysis/Design (Cont. from AM)SC-5: Dielectric Resonator Antennas, Theory, Design and Applications with Recent Advancement (Cont. in PM) SC-5: Dielectric Resonator Antennas, Theory, Design and Applications with Recent Advancement (Cont. from AM)

Registration: 0700-1800 • RFIC Symposium: 0800-1720 • IMS Plenary: 1730-1900

TUES

DAY

0800 - 0940 Early AM Technical Sessions 1010 - 1150 Late AM Technical Sessions 1200-1320 Panel Session 1350 - 1530 Early PM Technical Sessions 1600 - 1720 Late PM Technical Sessions

19 Ju

ne 20

12

TU1A: Novel Devices, Waveguiding Structures and Analysis Methods TU2A: Time-Domain Modeling: Advances and Applications

RF scaling: Can it keep up with digital CMOS? Should it?

TU3A: Optimization of Microwave Circuits Through Nonlinear Analysis TU4A: New Modeling and Simulation Techniques for Periodic Structures Women in Microwaves Reception (Pointe A Callière Museum): 1800-1930TU1B: Ferroelectric, Ferrite and Acoustic-Based Resonators and Filters TU2B: New Implementations of Couplers and Hybrids TU3B: Metamaterial Transmission-line Structures TU4B: Coupled Multi-Physics Modeling of High-Power and High-Frequency Electronic Devices

TU1C: Advances in RF MEMS Ruggedness and Reliability TU2C: Nonlinear Device Modeling TU3C: Space-Mapping-Based Modeling and Design TU4C: Advances in Broadband Communication SystemsHam Radio (Hyatt Hotel, Soprano Room): 1830-2130

TU1D: Millimeter-Wave Power Amplifiers TU2D: Applications of Carbon-Based RF Nanotechnology TU3D: Novel Packaging Technology and Techniques TU4D: Terahertz ImagingTU3E: Globalization of Engineering Education and Research: Opportunities and Challenges TU4E: Advances in PLL and Oscillator Technology

RF MEMS for Defense and AerospaceTU3F: Frequency Conversion and Control Circuits TU4F: Power Harvesting Related to Communication Systems Student and GOLD Receptions (Pub St-Paul):

1930-2130TU3G: SiGe/CMOS for Phased Array Applications: A World Perspective TU4G: Active Arrays and Power CombinersTU3H: Novel Planar Filter Structures TU4H: GaN Power Amplifiers Exploiting Harmonic Enhancement Rump Session: Human Aspects of Communication

and Persuasion: First Impressions and Subtext (Westin, St. Antoine AB): 1700-1900

RFIC Interactive Forum: 1330-1550Registration: 0700-1800 • RFIC Symposium: 0800-1140 • Exhibition: 0900-1700 • MicroApps: 0905 to 1750 • IMS Student Paper Competition and IMS Student Design Competition: 1330-1550

WED

NESD

AY

0800 - 0940 Early AM Technical Sessions 1010 - 1150 Late AM Technical Sessions 1200-1320 Panel Session 1350 - 1530 Early PM Technical Sessions 1600 - 1720 Late PM Technical Sessions

20 Ju

ne 20

12

WE1A: Semiconductor-Based Integrated Passives WE2A: Circuits for Systems at W-band and Beyond

How will LDMOS and III-V device technologies play in cellular infrastructure future markets?

WE3A: Unprecedented Microwave Devices Based on Nano-materials WE4A: Terahertz Communication Technology

Industry Hosted Cocktail Reception (Palais des Congrès, Level 2- Exhibition Hall): 1700-1800

WE1B: Silicon and Indium Phosphide MMICs Achieve New Performance Milestones for Radiometers in Earth Remote Sensing WE2B: Silicon RF and Microwave Circuits WE3B: High Power Wideband Technologies WE4B: Advancements in Passive TechnologiesWE1C: New Developments in Multi-Band Planar Filters WE2C: Tunable Filters I: Tunable Filters with Narrow Bandwidths WE3C: Advances in CAD Algorithms WE4C: Tunable Filters II: Filters with Broad Tuning BandwidthWE1D: Packaging for Microwave and Millimeter-Wave and Radiating Integrated Systems WE2D: Retrospective and Outlook of Microwave CAD WE3D: Unconventional Measurement Techniques WE4D: RFID Technologies and ApplicationsWE1E: Industrial Microwave Power Applications: Systems and Process Development WE2E: Digital Pre-Distortion and Behavioural Modeling of High-Power Amplifiers WE3E: Millimeter-Wave CMOS Signal Sources WE4E: High Power GaN AmplifiersWE1F: Novel Mixed Mode Circuits for High-Speed Communication up to 100 Gbps WE2F: RF Devices for Wireless Health Care Applications and Biosensing WE3F: Advances in Silicon-based Millimeter-wave and Terahertz Integrated Circuits and Systems WE4F: Advances in Ohmic Switches

MTT-S Awards Banquet (Palais des Congrès, Level 7- Room 701): 1800-2200

WE1G: Passive Feeds and Arrays WE2G: State-of-the-Art of CMOS Low-Noise Technologies WE3G: Short-Range Radar and Positioning Systems WE4G: Biomedical SensorsWE1H: A Retrospective of Field Theory in Microwave Engineering WE2H: Microwave Components for Space: Trends and Developments WE3H: A Tribute to Rüdiger Vahldieck WE4H: Tunable Systems: Enabling Future Handset Technologies

WE2J: Emerging Systems and Applications WE3J: Multi-Mode Resonator and Wideband Planar Filters WE4J: Novel Periodic Structures and MetamaterialsIMS Interactive Forum: 1330-1550

Registration: 0700-1800 • Exhibition: 0900-1800 • MicroApps: 0905 to 1900

THUR

SDAY

0800 - 0940 Early AM Technical Sessions 1010 - 1150 Late AM Technical Sessions 1200-1320 Panel Session 1350 - 1530 Early PM Technical Sessions

21 Ju

ne 20

12

TH1A: Rectifiers for Microwave Wireless Power Transmission TH2A: Nonlinear Measurement Techniques

The Mathematics and the Physics of MIMO (Multi-input-multi-output) Systems

TH3A: Linearizability of GaN from Device, Circuit to System Levels MTT-S Student Awards Luncheon (Le Westin Ho-tel, Level 9- Fortifications Ballroom): 1200-1400TH1B: HF, VHF and UHF Power Amplifiers and Applications TH2B: Novel Transmission-Line and Guided-Wave Structures TH3B: Novel III-V MMIC Techniques

TH1C: High Performance non-Planar Filters Technologies 1 TH2C: Novel Technologies and Components TH3C: High Performance non-Planar Filters Technologies 2TH1D: Advanced Transceiver Architectures for Wireless Communication Systems TH2D: GaN-Based Power Amplifiers TH3D: Efficiency Enhancing Techniques for Linear Power Amplifiers

NVNA Users’ Forum to Thursday Night (Fortifica-tions Ballroom, Westin): 1600-1730TH1E: Unconventional RFIDs TH2E: 60 GHz CMOS TH3E: Microwave Photonic Systems and Techniques

TH1F: Biomedical Imaging TH2F: RF-MEMS Capacitive Switches and Circuits TH3F: Frequency-Domain Electromagnetic AnalysisTH1G: Advanced Low Noise Circuits TH2G: Advances in Sensors and Sensor Systems TH3G: Multi-port Technology for Radio and Radar Applications

IMS2012 Closing Reception (Palais des Congrès, Viger Lobby): 1730-1830

TH1H: Tunable FBARs TH2H: The Evolution of Some Key Active and Passive Microwave Components TH3H: Remembering Roger PollardIMS Interactive Forum: 1330-1550

Registration: 0700-1600 • Exhibition: 0900-1500 • MicroApps: 0905 to 1435 • Closing Ceremony: 1600-1730

FRID

AY

0800 - 1200 AM Workshops & Short Courses 1300 -1700 PM Workshops & Short Courses

22 Ju

ne 20

12

WFA: Integration and Technologies for mm-wave Sub-systems (Cont. in PM) WFA: Integration and Technologies for mm-wave Sub-systems (Cont. from AM)WFB: White Space Technologies Future Emerging Technology Needs (Cont. in PM) WFB: White Space Technologies Future Emerging Technology Needs (Cont. from AM)WFC: Emerging Technology of Terahertz Imaging Systems, Devices, and Algorithms (Cont. in PM) WFC: Emerging Technology of Terahertz Imaging Systems, Devices, and Algorithms (Cont. from AM)WFD: High-Efficiency Transmitters with Dynamic Supplies (Cont. in PM) WFD: High-Efficiency Transmitters with Dynamic Supplies (Cont. from AM)WFE: Gallium Nitride for Low Noise Amplifier ApplicationsWFF: Advances in Reconfigurable RF Systems and MaterialsWFG: RF Coils and Components for MRI Receiving ApplicationsWFH: RFID-Based Low-Cost Smart Sensor Networks for Challenging Environments

WFI: The Development of Precision GPS Solutions in 4G WFJ: A World Survey of the State-of-the-Art in RF MEMSWFK: Advanced RF, Microwave and MMW Technology for Nuclear, Chemical and Biological Detection Systems

WFL: System, MMIC and Package Design for a Low-Cost, Surface- Mountable Millimeter-Wave Radar Sensor SC-6: Microwave Filters and Multiplexing Networks for Communication Systems (Cont. in PM) SC-6: Microwave Filters and Multiplexing Networks for Communication Systems (Cont. from AM)SC-7: A Look at Some of the Principles of Wireless Communications from a Maxwellin ViewpointSC-2: EMI/EMC Fundamentals for RF & Microwave Engineers

ARFTG Interactive Forum: 1000-1050 and 1520-1600 Registration: 0700-0900 • ARFTG Conference: 0800-1700

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Page 4: IMS2012 Program

MICROWAVE WEEKInvitation from the General Chair ...................................................... 2What’s New in Microwave Week? ..................................................... 3Celebrating 60 years ...................................................................... 4-5Accommodations ............................................................................... 6Advance Registration .................................................................... 8-9On-Site Registration ........................................................................ 12IMS2012 Badge Cash and Print on Demand Stations ...................... 13Visa Information ............................................................................. 14IEEE MTT-S Administrative Committee ............................................15Future IEEE MTT-S International Microwave Symposia Sites...........15Technical Coordinating Committees:...............................................15IEEE Fellows .....................................................................................16IEEE MTT-S Awards.......................................................................... 17À la Montréal ...................................................................................18Transportation .................................................................................20IEEE and MTT-S Memberships ...................................................20-21

IMSWelcome from Technical Program Committee Chair .......................22IMS Steering Committee ..................................................................23IMS2012 Technical Paper Review Committee (TPRC)......................24Plenary Session Talk ........................................................................25Flowers Greet Microwaves ..............................................................26Microwaves without Borders ...........................................................27Tuesday ......................................................................................27-43

» Focus, Panel, Rump, and Special Sessions ........................27-28 » Technical Sessions ............................................................ 29-40 » IMS2012 Student Design Competitions ................................... 41 » Graduate Student Challenge ...................................................42 » Student Paper Competition ...............................................42-43

Wednesday ................................................................................44-70 » Focus, Panel, and Special Sessions .................................. 44-45 » Technical Sessions ............................................... 46-54, 61-70 » Interactive Forum ............................................................. 55-60

Thursday ....................................................................................71-85 » Thursday Focus, Panel, and Special Sessions ..........................71 » Technical Sessions ............................................... 72-79, 86-89 » Interactive Forum ............................................................. 80-85

Closing Ceremony Talk ....................................................................90

RFICChair’s Message ..............................................................................91RFIC Plenary Session .......................................................................93Steering Committee .........................................................................94Advisory Board ................................................................................94Executive Committee .......................................................................94RFIC 2012 Technical Program Committee .......................................94RFIC 2012 Panel Sessions ................................................................95Monday Technical Program .......................................................96-97Tuesday Technical Program ...................................................... 98-99

ARFTG TECHNICAL PROGRAMChair’s Message ............................................................................100ARFTG Steering Committee ...........................................................100ARFTG Technical Program Committee...........................................100Technical Sessions .........................................................................101Interactive Forum ..........................................................................101

WORKSHOPS AND SHORT COURSES Sunday ...................................................................................102-112Monday .................................................................................113-118Friday .....................................................................................118-119

EXHIBITIONCommercial Exhibit ................................................................122-123Microapps ..............................................................................124-125Historical Exhibit............................................................................125

SOCIAL EVENTS Social Events, Guest Lounge, and Special Tours ....................127-129Convention Center Maps ................................................Back Cover

TABLE OF CONTENTS

› 1

IMS W

EDN

ESDA

Y SESSIO

NS

IMS TH

UR

SDA

Y SESSIO

NS

RFIC

TUESD

AY

SESSION

SR

FIC M

ON

DA

Y SESSIO

NS

IMS TU

ESDA

Y SESSIO

NS

AR

FTG SESSIO

NS

Page 5: IMS2012 Program

› 2

MICROWAVE WEEK

INVITATION FROM THE GENERAL CHAIR

Bienvenue à Montréal!Yes indeed, we are all very much excited about IMS2012, which is finally coming to Montréal. It is only the second time since its inception that this Symposium has been held outside the United States. This Symposium is poised to be a truly international event with the historic 60th anniversary celebration of MTT-S, and of course, unforgettable personal memories. Microwave Week, with IMS as its centre piece together with the RFIC and ARFTG conferences as well as the largest commercial exhibition of its kind, will add a special flavour to Montréal’s beautiful summer decorated by its well-known festivities. This year, you can see a number of fine-tuned programs and innovations, which are summarized in the section “What’s New”. You will find a wealth of useful and important information in this Program Book, which facilitates your Microwave Week attendance and your Montréal stay.

Founded in 1642, Montréal has been recognized as one of the most romantic, welcoming, artistic, innovative, and culturally diverse cities in the world. The city offers everything to everyone with easy access to everywhere.

Our motto “MICROWAVES WITHOUT BORDERS” or “MICRO-ONDES SANS FRONTIÈRES” not only reflects our unique international destination, French heritage and culture in North America but also the international cooperation and spirit of our community. The IMS2012 Steering Committee and our colleagues of IEEE Meeting & Conference Management and MP Associates have been working selflessly for you and for our IMS events around the clock to make sure this year’s Microwave Week will be a unique success. In spite of the worldwide economic turmoil, but encouraged by early indications, we are expecting to experience one of the most attended Microwave Weeks in history. We have already broken historic IMS records including an all-time record number of technical paper submissions, an all-time record number of received MicroApps presentation proposals, and there have never been before so many commercial exhibit booth reservations at such an early date.

The opening ceremony highlights the presentation of our plenary speaker, Mr. Steve Mollenkopf, President and Chief Operating Officer of Qualcomm. He provides a keynote address on “3G/4G Chipsets and the Mobile Data Explosion.” This year’s closing session features a presentation of our keynote speaker, Professor Thomas H. Lee of Stanford University. He talks about “The Fourth Age of Wireless and the Internet of Everything.”

On behalf of the IMS2012 Steering Committee and the City of Montréal, I feel privileged and honoured to invite you, your family and friends to take part in this unparalleled event and explore the friendly atmosphere of Montréal. To plan your trip, visit and stay, you can find useful information on the city of Montréal at http://www.tourism-Montréal.org/ about our famous international festivals and happenings like the world famous Formula 1 racing, the International Fireworks competition at the amusement park La Ronde (of the Six Flags family), and the international Jazz Festival, all for your enjoyment right before and after Microwave Week.

Please prepare your attendance to ensure a memorable time and experience that you cannot afford to miss. You should go to http://ims2012.mtt.org/ or download our mobile apps for information and the latest news on IMS2012 and Microwave Week. I promise that you will discover a world of colourful ethnicity, rich culture, multiple languages, new ideas, international cuisines, and of course, the warm camaraderie and sheer joie de vivre to be found all united in one place, our unique Montréal. A bientôt! See you soon!

Ke Wu

Page 6: IMS2012 Program

› 3

MICROWAVE WEEK

WHAT’S NEW IN MICROWAVE WEEK?As always in previous years, the IMS Steering Committee is striving to build a better IMS, not just a larger IMS. Therefore, a series of in-novations and fine-tuned features are implemented and envisaged for Symposium programs and activities. They are designed to facilitate your attendance and enrich your experiences during the Microwave Week. Some of those changes that you will see at this year’s IMS are:

Technical Program:• Comprehensive outreach activities to attract and encourage new

authors (emerging leaders in microwave industry and academia, from all parts of the world) to contribute to the technical, work-shop and short course program

• Clarification of the policy for publishing material from IMS papers in the MTT Transactions (special and regular issues), in collaboration with the Editor of the Transactions

• Reduction of the paper length to three pages to encourage the timely reporting of the latest industrial research and develop-ment results. In addition, university students and researchers have better opportunities to expand their papers for possible journal publications. The maximum allowable file size has been extended to 2 Mb to allow the publication of good quality figures

• Significant expansion of the Technical Program Review Com-mittee (TPRC), to handle a record number of submissions to the technical program, including the addition of two new sub-com-mittees to review papers in the following two emerging areas:

» Wireless power transmission » RF devices for wireless health applications and biosensing

Operations and Features:• Experiments and experiences for holding IMS outside the US for

attracting more international and first-time attendees

• Blended 60+ experienced and fresh members on the Steering Com-mittee from Canada and US in the regions close to Montréal

• IMS2012 will present the 60th anniversary (1952-2012) for our MTT society in addition to our regular Microwave Week with special feature sessions in the Symposium Program. Special celebration will be organized for everyone and in particular for MTT-S members

• Re-arranged committee meetings for a more flexible schedule and a better conclusion of Microwave Week

• The Guest Lounge (previously called Hospitality Suite) will be open to the registered guests of the IMS attendees for a nominal fee

• Local city and governmental support for IMS2012 and Microwave Week through grants and sponsorships

Publication and Publicity:• A mobile web version for iPhone, iPad, Blackberry and Android

devices to enable convenient access to the entire website of the symposium

• A more complete searchable program book and personalized schedule on the website including features such as: » A searchable data base, allowing attendees to search papers

by author, title, session, location, etc. » A personalized scheduler of symposium activities that enables

attendees to coordinate among different paper presentations and other events while checking for schedule conflicts

» Available on desktop and mobile devices (iPhone, iPad, Blackberry, Android devices)

• New online discussion forum for IMS2012 participants to continue technical dialogue with authors and other attendees beyond the time-slots of the technical sessions, providing extra opportunity for technical exchange among IMS attendees

• Early access of advance program information including symposium information, and preliminary session information (Program Book at Glance) on the website, available in February 2012

• Specially designed advertisements and presentation materials for IMS2012 promotions in various venues and journals/magazines in addition to the conventional Call for Papers

• Unique features in the Program Book making the book more reader friendly and the trip to IMS2012 more memorable. Large session-grid and new tabs; a postcard and a more attrac-tive design of local tourism information; presence of flowers extending Montreal’s welcome to IMS; interesting facts on microwave without borders and MTT stimulating attendee’s passion with the microwave community, and more.

Local Arrangements:• All attendees reserving their hotel room through the IMS web-

site will receive a complimentary public transport weekly pass, valid for Montréal city circulations within the Microwave Week thanks to the sponsorship of Tourisme Montréal

• All social activities will be held on Tuesday, all in close proximity. Since this will be the first time for many attendees to visit Mon-tréal, we hope that you will spend time visiting our beautiful city with our specially tailored guest tour programs

• Our welcome reception on Monday evening is expected to be more dynamic for social networking and meeting with MTT-S AdCom members and VIPs

• A specially-organized reception will be offered to all attendees after the Closing Session on Thursday afternoon

Page 7: IMS2012 Program

Celebrating 60 yearsFirst Symposium

Although the term “symposium” was not introduced until 1958, the very first “Microwave Meeting” took place in New York City on 7 November 1952. This Meeting was sponsored by the Professional Group on Microwave Theory and Techniques (PGMTT), which was formed earlier in March of 1952, with the approval of the Institute of Radio Engineers (IRE), a predecessor to the IEEE. The PGMTT was a professional group with a focus on those fields that “encompass microwave theory, microwave circuitry and techniques, microwave measurements and microwave tubes.” The scope of this group would also include “scientific, technical, industrial, or other areas that contribute to the field of interest, or to utilize techniques or products of the field where necessary to advance the art and science in the field, subject, as the art develops, to additions, subtractions, or other modifications directed or approved by the Institute Committee on Professional Groups”. This Meeting was attended by 210 participants, and

the 12 papers presented there became, in March 1953, the first volume of the Transactions of the IRE Professional Group on Microwave Theory and Techniques, which is the very first title used for IEEE Transactions on Microwave Theory and Techniques.

IMS Outside the USOnly once before has the IMS ventured outside US borders. This happened in June of 1978 when the IMS was held in the Château Laurier hotel in the city of Ottawa, Canada. The Symposium extended over three days, preceded by two workshops on Monday and followed by two workshops on Friday. This Symposium received 230 paper submissions, of which 160 were published in the symposium digest. There were 269 attendees and among them there were 12 students. The registration fees were $50 for IEEE members and $65 for non-IEEE members. Although the records do not explicitly state that there was a main theme for that year’s symposium, one can sense its general mood from the titles of the panel sessions: There were two parallel sessions, one on “High Speed Logic for Digital Microwave Systems” and one on “Millimeter-Wave and Optical/IR Technologies”.

The Banquet speaker that year was the late Dr. George Sinclair who was one of a group of Canadian pioneers that included Ed Jordan, Allan Love, and James Wait, who were at the forefront of the technological wave that grew out of World War II. Dr. Sinclair was a professor at the University of Toronto. He was also the founder of Sinclair Radio Laboratories Ltd. in 1951 which maintains, to this day a strong international presence.

IRE at Lüchow’s in New York City in 1915.

George Sinclair 1912-1993 (A’37-SM’46-F’54-LF’78)

MICROWAVE WEEK

› 4

Page 8: IMS2012 Program

IMS Viewed from the Number of PapersThe number of papers presented at IMS over the past six decades reveals a story of continuous growth. This year’s IMS marks the first time that the number of accepted papers (in the oral sessions and interactive forums) has broken the 600-paper barrier. Also the IMS Digest publications illustrate the development of publishing technology. The first “Digest” appeared in 1961 and was an 8 1/2 x 11-inch, 64-page volume, which included 20 papers. The first time

the Digest has been published in hardcover was for the 1983 Symposium and it contained 161 papers in a single volume. The first CD of the Proceedings was presented with the hardcopy Digest in 1996, the Digest purchase was made optional in 2003 and no hardcopy Digest was published since 2006.

The First Microwave PrizeThe first Microwave Prize was presented during the 1956 “Symposium on Microwave Properties and Applications of Ferrites” , which was one of the several titles of the “IMS” used prior to 1966. At that time, the Microwave Prize was also called the “Annual Prize” and was presented to “...an outstanding contribution to the art published in the Transaction of the IRE Professional Group on Microwave Theory and Techniques.” The first recipients were Nicholas Sakiotis and Herman Chait from the Naval Research Laboratory in Washington D.C. , for their paper entitled “Properties of Ferrites in Waveguides”.

The History in the Title

First Interactive ForumThe idea of having an interactive forum, also known as the “poster session”, was first adopted by the IMS during the 1983 symposium in Boston. This idea was well received and became a regular component in subsequent symposia.

The First “International” IMSThe first time that the Symposium title included the word “international” was in 1964, which was held, interestingly enough, at the International Hotel at the JFK International Airport on Long Island. However, only 24 of the 657 attendees were from outside the US. Needless to say that “International” has now become an indelible characteristic of the IMS, with more than two thirds of the contributions coming from 49 countries throughout all parts of the globe.

MICROWAVE WEEK

› 5

Page 9: IMS2012 Program

› 6

MICROWAVE WEEK

The IMS2012 has secured special rates for Attendees at the official IMS2012 hotels in Montréal. The map below shows the location and rates of these hotels. For advanced hotel reservations visit ims2012.mtt.org for online reservations, or submit the Attendee Housing Form by fax or postal mail before 14 May 2012.

ACCOMMODATIONS:

Number on Map

Hotel Name Rate

1Le Westin Montréal (Headquarter)

$219 single/double $269 deluxe corner room $169 gov't rate

2 Hyatt Regency Montréal (Co-Headquarter)

$245 single/double$175 gov’t rate

3 Fairmont Queen Elizabeth $219 single/double $114.50 gov't rate

4 Le Centre Sheraton Montréal $241 single/double $291 club level

5Holiday Inn Select $160 single/double

$200 executive level$151 gov’t rate

6 Embassy Suites Montréal $221 single/double $199 gov't rate

7 Hilton Montréal Bonaven-ture

$220 single/double $195 gov’t rate

Number on Map

Hotel Name Rate

8Marriott Chateau Champlain $240 single/double

9 Holiday Inn Midtown $154 single/double $145 government rate

10Intercontinental $229 single/double

$264 triple$299 quad

11Delta Centre-Ville $195 single/double

12Hotel Gouverneur $139 single/double

13 Hotel Dauphin $154 single/double

Page 10: IMS2012 Program

› 7

MICROWAVE WEEK

All reservation requests must be received by 14 May 2012. Changes to existing reservations may be made through the housing bureau until 8 June 2012. Listed convention rates are available until 14 May 2012 based on availability.

Instructions and Housing Bureau Policies: 1. Acknowledgements will be sent after each reservation booking, modification and/or cancellation If you do not receive a confirmation

via e-mail within 24 hours after any transaction, contact the Housing Bureau by phone or e-mail. You will not receive a confirmation from the hotel.

2. All rates are per room, per night and are subject to 3.5% lodging tax plus a 5% Goods and Services Tax (GST) and 9.5% Provinicial Sales Tax (PST) (subject to change).

3. Request room and bedding and please indicate special requests in the section provided on the form. Specific room types will be assigned at check-in. Please be advised that requests are not guaranteed.

4. A credit card is needed to guarantee a room reservation. Credit cards must be valid through June 2012 to be used for deposits.

5. Changes, modifications and cancellations prior to 14 May 2012 must be made in writing through the Housing Bureau. Reservations guaranteed by a credit card may be cancelled without penalty until 14 May 2012.

6. Any hotel reservation changes or cancellations after 8 June 2012 must be made with the hotel directly.

7. You will receive your hotel confirmation number two weeks before the start of the 2012 IMS Conference.

Housing Reservation Information: Full Name: _____________________________________________________________________________________________________________

E-mail Address: ________________________________________________________________________________________________________

Company: _____________________________________________________________________________________________________________

Address: ______________________________________________________________________________________________________________

City: _________________________________________________________State: ____________________________ Zip: ____________________

Country: Daytime Phone: Fax: Frequent Hotel Stay Number: _____________________________________________________________________________________________

Credit Card Type (Circle): MasterCard Visa Amex Discover

Cardholder Name (As it appears on card) ___________________________________________________________________________________

Cardholder Signature (REQUIRED) _________________________________________________________________________________________

Card Number: _______________________________________________________Exp. Date _________________________________________

Hotel names, locations and rates are on the facing page. Please list a minimum of three choices.

First Choice: ________________________________ Second Choice: _______________________________ Third Choice: _________________

First Choice Rate: ____________________________ Second Choice Rate: __________________________ Third Choice Rate: _____________

Arrival Date __________________________________________________________Departure Date ____________________________________

If hotel choice is not available, which is most important: Rate: _______ or Location ___________ (Please select one)

Special Requests

Government Rate King Bed Two Beds Wheelchair Accessible

Other Requests_________________________________________________________________________________________________________

If more than one room is required, attach a list of occupants names and the above information for each additional room.

Phone: 514-844-0848 Toll free North America: 1-888-722-2220 Fax: 514-844-6771 Email: reservation@tourisme-Montréal.org Online: ims2012.mtt.org

Mail: IMS2012 Housing Bureau Tourisme Montréal 1555 rue Peel, bureau 600 Montréal, Quebéc, Canada H3A 3L8

Page 11: IMS2012 Program

› 8

MICROWAVE WEEK

ADVANCE REGISTRATION

Registration CategoriesThe Registration process is split into three tiers in order to better serve membership needs. The 1st tier is the Early Bird Registration period. It begins Tuesday, 1 February and will last through Monday, 21 May. This period provides an opportunity to register for the Symposium at the lowest possible cost. Immediately following the Early Bird period is the 2nd tier or Advance Registration period. It extends from Tuesday, 22 May through Friday, 15 June, just prior to the start of Microwave Week. The 3rd and final tier is the On-Site Registration period that will remain the same as in past Symposia, starting on Saturday, 16 June, the first day of Microwave Week, and ending on Friday, 22 June.

Early Bird Period 1 February 21 May (thru midnight EST) Advance Period 22 May 15 June (thru midnight EST)

On-Site Period 16 June 22 June (throughout Microwave Week)

Register online: http://reg.mpassociates.com/reglive/PromoCode.aspx?confid=138

Symposium SUPERPASSFor one low price, registrants can attend as many technical sessions from any of the three contributing organizations, IMS, RFIC, and ARFTG, as well as attend one full-day workshop (or two half-day workshops, if desired). SUPERPASS registration includes the electronic proceedings for IMS, RFIC, ARFTG, and the All Workshop electronic proceedings. Also included is admission to the exhibits. In addition, the SUPERPASS will allow you to attend the RFIC Reception on Sunday, the IMS Welcome Reception on Monday, the Awards Banquet on Wednesday and the Thursday closing ceremonies, as well as, the ARFTG luncheon on Friday.

Early Bird RegistrationPlease follow these instructions for completing the Early Bird Registration Form on the following page. Early Bird Registration rates provide significant savings from the on-site fees and are available through midnight (EST) 21 May. Registration is required for all attendees including SESSION CHAIRS and PRESENTERS. Only paid attendees will be admitted to the workshops, technical sessions, and exhibit hall. This form is not used for guest tour registration, which is described elsewhere in this program book. Each registrant must submit a separate form with payment.

1) Methods of RegistrationIndividuals can register online, by fax or by mail. All registrations must be accompanied with a payment; we accept Visa, MasterCard, American Express, and checks drawn from a U.S. bank. Registration forms received without a form of payment will be discarded. We do NOT accept phone registrations.

2) Personal InformationIf you would like to receive information by email from the IEEE, MTT-S, or microwave companies, simply select the appropriate boxes.

3) MembershipCheck boxes of all organizations of which you are a member. To receive IEEE member rates, enter your member number and present your IEEE card upon check in at the conference. Registrants who do not have a current IEEE membership card at check in will be charged non-member rates. If you are not a member and would like to learn about the advantages of being a member and receiving the conference member rate, please visit www.ieeee.org/services/join or call 1-800-678-IEEE. Please note that you must be a member at the time of registration to receive the member rate.

Students, Retirees, and IEEE Life Members receive a discount on some registration fees. To qualify as a student, a registrant must be either an IEEE Student Member or a full time student carrying a course load of at least nine credit hours.

4) Symposia Microwave Week includes the IMS technical program, and exhibit, as well as the RFIC Symposium (www.rfic2012.org), and ARFTG Conference (www.arftg.org).

Select the conference(s) you wish to attend.

IMS Technical Sessions are held on Tuesday, Wednesday, and Thursday. Registration includes admission to the exhibition and the electronic proceedings.

RFIC Technical Sessions are held on Monday and Tuesday. Registration includes admission to the RFIC Reception, the exhibition, and the electronic proceedings.

ARFTG Technical Sessions are held on Friday. Registration includes breakfast, lunch, electronic proceedings, and admission to the ARFTG Exhibition. ARFTG Conference member rates are available to both ARFTG and IEEE Members.

Microwave Week hosts the largest exhibition of its kind with over 500 companies. Exhibit only registration is available.

Page 12: IMS2012 Program

› 9

MICROWAVE WEEK

5) Awards BanquetThe MTT Awards Banquet will be held on Wednesday, 20 June from 1800 to 2000 at the Palais des Congrès, Level 7- Room 701. The evening will include fine dining, an awards presentation, and excellent entertainment. Major Society Awards will be presented.

6) Boxed LunchesOptional boxed lunches are available for purchase by all attendees but are especially convenient for those attending the panel sessions or exhibit hall during lunchtime. It is encouraged to purchase boxed lunches before Microwave Week, as orders will not be available on-site. Refunds for lunches will not be available since these are ordered in advance.

7) WorkshopsThe workshop fee includes electronic proceedings for all the workshops being presented on that particular day. For Early bird registration ONLY, the workshop’s printed notes are also included for the workshop you are registered for with the workshop’s fee. For Advance and On-site registration, the workshop’s printed notes are NOT included in the workshop’s fee and must be purchased separately.

Full-day workshops include a continental breakfast, a morning refreshment break, a lunch, and an afternoon refreshment break. Morning workshops include a continental breakfast, and a morning refreshment break. Afternoon workshops include a lunch and an afternoon refreshment break.

8) All-Workshop USBs Purchase two full-day workshops and receive the USBs for all three days of workshops (Sunday, Monday, and Friday). The All-Workshop USBs are not available for individual sale.

9) Guest Registration Attendees registered for the technical portion of the conference may add a Guest to their registration for an additional fee. Guest Registration includes access to the guest lounge, plenary session, and exhibit hall, but does not allow access to technical sessions and workshops.

10) PaymentIndividual payment must accompany the registration form and is payable in U.S. dollars only, using a personal check drawn on US bank or credit card (VISA, MasterCard, or American Express) or bank wire transfer. Personal checks must be encoded at the bottom with the bank account number and check number. Bank drafts, cash, international money order and purchase orders are UNACCEPTABLE and will be returned. Please make checks payable to “2012 IEEE IMS”. Written requests for refunds will be honored if received by 21 May 2012. Refer to the Refund Policy for complete details.

11) Refund PolicyWritten requests received by 21 May 2012 will be honored. Refund requests postmarked after this date and on-site refunds will be generated only if an event is cancelled. This policy applies to the registration for the symposium sessions, workshops, digests, extra electronic proceedings, awards banquet and boxed lunches. Please state the pre-registrants name and provide an email address for the refund check. If registration was paid for by credit card, the refund will be made through an account credit. An account number must be provided if the initial registration was completed on-line. Address your requests to:

MTT-S Registration Nannette Jordan MP Associates 1721Boxelder St., Ste. 107 Louisville, CO 80027 [email protected]

ADVANCE REGISTRATION (CONT.)

Microwaves without Borders:The USA is the largest contributor to the IMS this year, with over 329 initial paper submissions. In fact, the paper submissions from USA has increased by about 20% compared to previous year.

Page 13: IMS2012 Program

1 All Early Bird and Advance registration must be received by 21 May and 15 June, respectively, for appropriate costs to apply.online: http://ims2012.org/files/IMS2012_reg_form.pdf by fax: +1 (303) 530-4334

by mail: IMS2012, MP Associates, Inc. Attn: Registration Desk 1721 Boxelder St., Ste. 107 Louisville, CO 80027 USA

2

Last Name

Company

First Name

Address:Mail Stop

Street City

State Postal Code Country

IMS - RFIC - ARFTG Registration FormMontréal Canada, Palais des Congrès - 17-22 June 2012

Email

Telephone IEEE Membership #mMTT-S m ARFTGMember of:

Last NameFirst Name

Guest Information:

Email I would like to receive emails from: q IEEE and MTT-S q Industry

1) What is your principal job function?q101 Executive/Senior Managementq102 Engineering Managementq103 Design Engineeringq104 Engineering Servicesq105 Manufacturing/Production Engineeringq106 Application Engineerq107 Procurement/Purchasingq108 Professor/Research - Academicq109 Research & Development - Governmentq110 Research & Development - Industryq111 Studentq112 Financial or Industry Analystq113 Editor/Publisherq114 Marketing/Salesq115 Consultantq116 Retiree2) What primary end product or service do you work on?q201 Communication systems, equipmentq202 Wireless (WiFi, WiMAX, UWB)q203 Optoelectronics and Photonicsq 204 Government - Militaryq205 Government - Otherq206 Defense Electronicsq207 Medical Electronicsq208 Navigation/telemetry/GPS systemsq209 Industrial automation/control systemsq210 Transportation (Automotive/Aviation)

q211 Consumer Electronicsq212 Computers or peripheralsq213 Test & Measurementq214 Components/Hardwareq215 Data Transmissionq216 Semiconductors & Icsq217 Materialsq218 Servicesq219 Softwareq220 Other____________________3) Which products and/or services in the following areas do you recommend, purchase or influence the purchase of? (Answer all that apply)q301 Active Componentsq302 Antennasq303 Control Componentsq304 Materialsq305 Manufacturing Equipmentq306 Optoelectronics & Fiber-Opticsq307 Passive Componentsq308 Semiconductors/Integrated Circuitsq309 Servicesq310 Signal Processing Componentsq311 Software & CADq312 Subsystems & Systemsq313 Test Equipment and Instrumentsq314 Transmission-Line Componentsq 315 Consultant Services

4) At what frequency is your primary work?q401 RFq402 Microwaveq 403 Millimeter-waveq404 Terahertz q405 Other_______________________5) Number of engineers in your organizationq501 10 or fewerq502 11 to 40q503 41 to 100q 504 More than 1006) Is this the first time you have attended International Microwave Week?q601 Yesq602 No7) Are you an MTT member?q701 Yesq702 No

IMS provides an email list of attendees to exhibitors. If you do NOT want to receive this correspondence, check here: q

3 Attendee Survey

Attendee Information

Page 14: IMS2012 Program

x $165 x $125 x $245 x $180 x $135 x $265x $85 x $65 x $125 x $95 x $70 x $140x $285 x $200 x $425 x $335 x $235 x $500x $200 x $140 x $300 x $235 x $165 x $350

included included included x $20 x $20 x $30 $435 x $325 x $645 x $475 x $355 x $700

x $55 x $55 x $65 x $65x $30 x $50 x $40 x $60

x $50 x $75 x $60 x $90x $50 x $75 x $60 x $90x $50 x $75 x $60 x $90x $50 x $75 x $60 x $90

x $25 x $25 x $25 x $25

Superpass4

All IMS, RFIC, & ARFTG Sessions, Awards Banquet, & All Workshop USB (RFIC/IMS) plus Full Day (or 2 Half Day) Workshop Attendance

Registration Pricing

m$995 m$595 m$1,495 m$1,155 m$695 m$1,730

m$425 m$75 m$635 m$495 m$85 m$740m$215 m$320 m$250 m$370

Early Bird (1 Feb - 21 May) Advance (22 May - 15 June)

IMSAll IMS SessionsSingle Day Registration Select day: m Tuesday mWednesday mThursday

IEEE or ARFTG Membership Non-MemberMember Student, Retiree,

Life Member

IEEE or ARFTG Membership Non-MemberMember Student, Retiree,

Life Member

RFIC SymposiumAll RFIC Sessions

ARFTG ConferenceAll ARFTG Sessions

ExhibitionExhibition Only Pass

$

$$

$

$

$

Cost

####

# # # # # #

5 Extra Proceedings & DigestsIMS Electronic Proceedings (EP) USBRFIC DigestRFIC EP USBARFTG EP USB

###

###

###

###

$$$$

7 LunchBoxed Lunches

Mon Tues Wed Thursmmmm ### $#

Make checks payable to: IMS201210 Submit via Fax or Mail to:

IMS2012, MP Associates, Inc.Attn: Registration Desk1721 Boxelder St., Ste. 107Louisville, CO 80027 USA

Fax registrations accepted with credit card payment only!Phone Number: (303) 530-4562Fax Number: (303) 530-4334

Refund Policy: Written requests for cancellations received on or before 21 May 2012 will be honored. Cancellations received after 21 May 2012 will NOT be honored and all registration fees will be forfeited. After 8 June 2012, faxed registrations will not be accepted in office - You MUST register on-site.TELEPHONE REGISTRATIONS WILL NOT BE ACCEPTED! ANY REGISTRATION WITOUT PAYMENT WILL BE DISCARDED! If payment is received from a non-US bank, attendees will be charged a collection fee of $45.00.

9

mMasterCard mVisa mAmerican Express

Total Remittance: $

Security CodeCard Number

Signature:______________________________

Expiration Date

x $25 x $25 x $25 x $25 x $25 x $25Wednesday Exhibition Only Pass

Guest Badge

$

$

6 EventsAwards Banquet (Wednesday Night)RFIC Reception Only

##

##

##

##

$$

m$40 m$40 m$40 m$40 m$40 m$40

mFREE mFREE mFREE mFREE mFREE mFREE

m$220 m$150 m$330 m$255 m$175 m$385

m$230 m$160 m$330 m$260 m$180 m$380

8 Workshops and Short Courses Please select from the following:Full Day Workshops:

Half Day Workshops:

mWSA mWSB mWSC mWSD mWSE mWSF mWSG mWSK mWMA mWMC mWMD mWME mWMF mWMG mWMH mWMI mWFA mWFB mWFC mWFD

mWSH mWSI mWSJ mWSL mWSMmWSNmWSOmWSP mWMB mWMJ mWMK mWML mWFE mWFF mWFG mWFH mWFI mWFJ mWFK mWFLmSC1 mSC3 mSC4 mSC5 mSC6mSC2 mSC7

####

##

####

##

####

##

####

##

####

##

####

##

$$$$

$$

Full Day WorkshopsHalf Day WorkshopsFull Day Short CourseHalf Day Short CoursePrinted Notes2 Full day workshops or equivalent - (includes All 3 Days of Workshop USBs)

Full Day Short Course:Half Day Short Course:

Page 15: IMS2012 Program

› 12

MICROWAVE WEEK

ON-SITE REGISTRATIONOn-Site RegistrationOn-Site registration for all Microwave Week events will be available in Palais des Congrès, Place Riopelle Lobby. Registration hours are:

Day TimeSaturday, 16 June 1400 – 1800

Sunday, 17 June 0700 – 1800

Monday, 18 June 0700 – 1800

Tuesday, 19 June 0700 – 1800

Wednesday, 20 June 0700 – 1800

Thursday, 21 June 0700 – 1600

Friday, 22 June 0700 – 0900

Exhibit Only RegistrationExhibit only registration is available.

Guest Tour RegistrationRegistration for guest tours will be available Palais des Congrès, Place Riopelle Lobby. Please refer to the Guest Tour Program section of this program book for further details and tour descriptions.

Press RegistrationCredentialed press representatives are welcome to register without cost, receiving access to IMS technical sessions and exhibits. Digests are not included. The Press Room (523A) will be available from Tuesday thru Thursday of Microwave Week.

ARFTG RegistrationLate on-site registration will be available at the Palais des Congrès, Place Riopelle Lobby on Friday from 0700 to 0900. If at all possible, please pre-register earlier in the week to reduce the on-site workload.

Registration FeesOn-site registration fees are as follows:

Member Non-Member SuperPass

IMS, RFIC, and ARFTG Sessions and Electronic Proceedings, Awards Banquet, Thursday Evening Event, Full Day (or 2 Half Day) Workshop Attendance and All Workshop USBs

$1345 $2000

Student, Retiree, Life Member SuperPass $840 N/A

IMS

All IMS Sessions $575 $850

IMS Single Day Registration $290 $435

IMS Sessions - Student, Retiree, Life Member

$100 N/A

RFIC Symposium

All RFIC Sessions $280 $415

RFIC Sessions - Student, Retiree, Life Member

$200 N/A

ARFTG Conference

All ARFTG Sessions $290 $435

ARFTG Sessions - Student, Retiree, Life Member

$195 N/A

Exhibition Only

Exhibition Only Pass $30 $30

Guest Registration

Guest Badge (requires technical conference registration)

$40 $40

Extra Proceedings and Digests

IMS Electronic Proceedings $70 $105

RFIC Digest $70 $105

RFIC Electronic Proceedings $70 $105

ARFTG Electronic Proceedings $70 $105

Evening Events

RFIC Reception Only (Sun. Night) $50 $70

Awards Banquet (Wed. Night) $75 $75

Workshops

Full Day $225 $335

Full Day Student/Retiree $155 N/A

Half Day $115 $170

Half Day Student/Retiree $80 N/A

Full Day Short Courses $390 $585

Full Day Short Courses Student/Retiree $270 N/A

Half Day Short Course $270 $405

Half Day Short Course Student/Retiree $190 N/A

Two Full Day Workshops includes All-Workshop USBs

$595 $880

Two Full Day Workshops includes All Workshop USBs Student/Retiree

$405 N/A

Printed Workshop Notes $30 $45

Printed Workshop Notes Student/Retiree $30 N/A

Page 16: IMS2012 Program

› 13

MICROWAVE WEEK

IMS2012 BADGE CASH AND PRINT ON DEMAND STATIONS

Badge Cash:IMS2012 is continuing the Badge Cash and Print on Demand programs this year as part of a continued effort to improve your experience as an attendee of the symposium.

Attendees registered for All IMS Sessions will have a value of $45.00 credit put onto their badge that can be used at selected locations in the Palais des Congrès for breakfast each morning (approximately $15.00/day). This replaces the continental breakfasts that the conference has provided to the IMS attendees in previous years.

Attendees registered for the Superpass will have a value of $60.00 on their badge and this includes both IMS and RFIC.

Attendees registered for IMS Single Day will have a value of $15.00 on their badge.

Attendees registered for RFIC Sessions will have a value of $30.00 on their badge.

How does Badge Cash work?Select your items at a participating station and hand the cashier your badge. The cashier will scan your badge and the amount purchased will be deducted from your badge. If there is not enough cash value left on your badge you will be responsible for paying the difference.

If I lose my badge, will I receive a new one with the cash value on it? No, if you lose your badge you will only receive a new badge that WILL NOT have Badge Cash on it.

If I don’t use all the money, do I receive the remaining amount in cash? No, Badge Cash is NOT redeemable for cash, it is only good for food products sold at specific vendors within the Palais des congrès.

IMS2012 Badge Cash locations at the Palais des Congrès:1. Lower level, under the escalator - Place Riopelle Lobby - for breakfast ONLY2. West Lobby – breakfast and lunch3. Viger Hall – breakfast and lunch NOTE: this area will have outdoor seating weather permitting4. Exhibit Hall- as space is available

Print on Demand Stations:There are three Print on Demand Stations located throughout the Palais des Congrès.

1. Fifth level in the corridor outside of rooms 511/5122. Viger Lobby3. West Lobby by the Cyber Café

NOTE: ONLY IMS2012 Technical Papers can be browsed and printed at these terminals. Please use the Cyber Café for internet related activities.

Microwaves without Borders:China was the third largest contributor in IMS this year after the United States and Canada with a total of 125 paper submissions. China is a very important member of region 10 of the IEEE and is contributing 10 active chapters for the MTT Society.

Page 17: IMS2012 Program

› 14

MICROWAVE WEEK

VISA INFORMATION For General information on visiting Canada please refer to the following url http://www.cic.gc.ca/english/visit/index.asp

IMS2012 attendees who require an invitation letterIMS attendees who need an invitation letter for a visitor visa should complete the application found on the IMS2012 Conference Webpage and send it via e-mail to: [email protected]

US citizens traveling to Canada: Passport is Needed

A visa is not needed for US citizens traveling to Canada. Please note, however, that a valid passport is required (a driver’s license is no longer sufficient for travel to Canada).

Exhibitors: IEEE along with MP Associates has obtained special privileges from the Canada Border Services Agency in order to facilitate the entry of goods into Canada for exhibition. Mendelssohn Event Logistics has been appointed as the official customs broker, and will look forward to working with you. Our exhibition management team is working with the in-house customs broker/freight forwarder to coordinate the shipping of exhibition material through customs directly to the convention center, eliminating duties on any materials sent to the show. Please feel free to contact our exhibitions management at [email protected] or check the exhibition pages in our website for contact information of Mendelssohn Event Logistics for more information on crossing the Canada/US border.

International Students Studying in US: For foreign students who are currently studying in the US, traveling to Montréal is straightforward. Detailed information on entry to Canada and reentry to the US can be found in http://www.canadavisa.com/us-international-student-travel.html. Most students do not require a new US visa to return to the US from Canada if the stay in Canada is less than 30 days. Note that a visa to enter Canada may still be required depending on your country of origin.

RecommendationsTo avoid frustration and disappointment, please note the following:

• Advance planning by travelers is essential. Review your visa status and find out if you need a visa or a visa renewal to enter Canada.

• Plan to submit your visa application well in advance of your intended departure date. Contact your nearest Canadian embassy or consulate for a current time estimate and recommendations.

• Visit the embassy or consular section where you plan to apply for your visa. Visit their website to find important information on how to schedule an interview appointment and pay fees.

• An interview is required as a standard part of processing for most visa applicants.

Disclaimer: Please note that this information is provided in good faith, but regulations may change and the only authoritative sources of information are government websites and consular services.

Microwaves without Borders:Canada was the second largest contributor to IMS this year after the United States with 137 paper submissions.Canada has 9 active chapters in the MTT-S.

Page 18: IMS2012 Program

› 15

MICROWAVE WEEK

IEEE MTT-S ADMINISTRATIVE COMMITTEE

OfficersPresident President Elect Treasurer Secretary

Nicholas Kolias Madhu Gupta William Chappell Gregory Lyons

Elected AdCom MembersTerm Expires in 2012:

Luciano Boglione William Chappell Mark Gouker Nicholas Kolias

Shiban Koul George Ponchak Robert Weigel

Term Expires in 2013:

Roberto Sorrentino Tim Lee Amir Mortazawi Vijay Nair

Tom Brazil Quan Xue Mohammad Madihian

Term Expires in 2014:

Alaa Abunjaileh Silvio Barbin Kenji Itoh Daniel Pasquet

Dominique Schreurs Bela Szendreyi Ke Wu

Immediate Past Presidents2011 2010 2009

Richard Snyder Samir El-Ghazaly Barry Perlman

Honorary Life Members Tatsuo Itoh Arthur Oliner Peter Staecker Kiyo Tomiyasu

FUTURE IEEE MTT-S INTERNATIONAL MICROWAVE SYMPOSIA SITES2013: Seattle, WA2-7 JuneTom Raschko, ChairSea-Port Technical [email protected]

2014: Tampa, FL1-6 JuneLarry Dunleavy, ChairUniv. of South [email protected]

2015: Phoenix, AZ15-22 MayVijay Nair, ChairIntel [email protected]

2016: San Francisco, CA22-27 MayAmarpal Khanna, ChairPhase Matrix, [email protected]

2017: Honolulu, HI4-9 June Kevin Miyashiro, [email protected]

2018: Philadelphia, PA11-15 JuneMohammad Madihian, ChairInstitute of [email protected]

2019: Boston, MA3-7 JuneMark Gouker and Larry Kushner, Co-ChairsMIT Lincoln Lab. and BAE [email protected], [email protected]

TECHNICAL COORDINATING COMMITTEES:MTT-1 Computer-Aided Design MTT-10 Biological Effects & Medical Applications MTT-19 Microwave Technology Business Issues

MTT-2 Microwave Acoustics MTT-11 Microwave Measurements MTT-20 Wireless Communications

MTT-3 Microwave Photonics MTT-12 Microwave & mm-Wave Packaging & Manufacturing MTT-21 RF MEMS

MTT-4 Terahertz Technology and Applications MTT-13 Microwave Ferrites and Ferroelectrics MTT-22 Signal Generation & Frequency Conversion

MTT-5 Microwave High-Power Techniques MTT-14 Microwave Low-Noise Techniques MTT-23 RFIC

MTT-6 Microwave & mm-Wave ICs MTT-15 Microwave Field Theory MTT-24 RFID Technologies

MTT-7 Microwave & mm-Wave Solid State Devices MTT-16 Microwave Systems MTT-25 RF Nanotechnology

MTT-8 Filters and Passive Components MTT-17 HF/VHF/UHF Technology MTT-26 Wireless Energy Transfer and Conversion

MTT-9 Digital Signal Processing MTT-18 Microwave Superconductivity

Page 19: IMS2012 Program

› 16

MICROWAVE WEEK

IEEE FELLOWSThe member grade of fellow is conferred in recognition of unusual and outstanding professional distinction. It is awarded at the initiative of the IEEE Board of Directors following a rigorous nomination and evaluation process. Individuals receiving this distinction have demonstrated extraordinary contributions to one or more fields of electrical engineering, or related sciences. The total number of Fellows selected in any one year does not exceed one tenth of one percent of the total voting Institute membership. Twelve MTT-S members who were evaluated by our Society were elevated to the grade of Fellow, effective 1 January 2012. The new IEEE Fellows are:

Jia-Sheng Hong for contributions to microwave planar filter technologies

Wei Hong for contributions to substrate integrated waveguide circuits and computational electromagnetics

Mao Jun Fa for contributions to interconnects and passive components in integrated circuits and systems

Jerzy Krupka for contributions to high frequency measurements of electromagnetic properties of materials

Ferran Martin for contributions to metamaterial-based transmission lines for microwave applications

William Palmer for leadership and contributions in microwave and millimeter wave systems and sources

Ian Robertson for contributions to monolithic microwave integrated circuits and millimeter-wave system-in-package technology

Mark Rosker for leadership in microwave and millimeter-wave phased arrays, gallium nitride semiconductors, and terahertz electronics

Dominique Schreurs for contributions to nonlinear vectorial measurement-based experimental design and modeling

Almudena Suarez for applications of stability concepts to the computer-aided design of nonlinear microwave circuits

Jianping Yao for contributions to photonic generation and processing of ultra-wideband signals

Lei Zhu for contributions to modeling, design and development of planar microwave filters

MTT-S members who were evaluated by another IEEE Society are shown below; the other society is shown in parentheses.

David Davidson (AP) for contributions to computational electromagnetics

Zhenghe Feng (AP) for contributions to smart antennas and mobile communications, and for leadership in microwave and antenna education

Jiro Hirokawa (AP) for contributions to high-gain and high-efficiency millimeter-wave planar waveguide slot arrays

Kathleen Melde (AP) for contributions to tunable antennas and their integration in electrical packaging

Thomas Weiland (AP) for development of the finite integration technique and impact of the associated software on electromagnetic engineering

Eric Miller (GRS) for contributions to inverse problems and physics-based signal and image processing

Thomas Linnenbrink (IM) for leadership in standards for instrumentation and measurement systems

Yoon-Ha Jeong (NTC) for the development of single-electron and high-electron mobility transistors

Ci-Ling Pan (PHO) for contributions to optoelectronic and liquid crystal devices for ultrafast and terahertz photonics

Keh-Chung Wang (SSC) for contributions to GaAs HBT integrated circuits for high speed data conversion and optical fiber communication systems

Page 20: IMS2012 Program

› 17

MICROWAVE WEEK

IEEE MTT-S AWARDS

Microwave Career Award: This award recognizes an individual for a career of meritorious achievement and outstanding technical contribution in the field of microwave theory and techniques. This year’s recipient is George I. Haddad.

“In recognition of a career of meritorious achievement and outstanding technical contribution in the field of microwave theory and techniques”

Distinguished Service Award: This award recognizes significant contributions and outstanding service to the MTT-S and the microwave profession over a sustained period of time. This year’s recipients are J. Michael Golio and Steven N. Stitzer.

“In recognition of a distinguished record of service to the MTT Society and the microwave profession over a sustained period of time”

Distinguished Educator Award: This award was inspired by the untimely death of Prof. F.J. Rosenbaum (1937–1992), an outstanding teacher of microwave science and a dedicated AdCom Member and contributor. The award recognizes a distinguished educator in the field of microwave engineering and science who best exemplifies the special human qualities of Fred Rosenbaum who considered teaching a high calling and demonstrated his dedication to the Society through tireless service. This year’s recipients are Peter Asbeck and Andreas Cangellaris.

“For outstanding contributions as a teacher, mentor, and role model for students in the microwave profession”

Microwave Application Award: This award recognizes an individual or team for outstanding application of microwave theory and techniques. This year’s recipient is Zoltan J. Cendes.

“In recognition of outstanding application of microwave theory and contributions to the development of finite element theory and to microwave simulation techniques”

Pioneer Award: Recognizes a major, lasting contribution in the field of interest of MTT-S, published in an archival journal, at least 20 years prior to the year of the award, by an individual or team of up to three (3). This year’s recipients are Peter Russer and Herbert Hillbrand.

“In recognition of pioneering contributions and the publication of an efficient method for computer aided noise analysis of linear amplifier networks”

Outstanding Young Engineer Award: This award recognizes MTT-S members, who have distinguished themselves through technical achievements, service to the MTT-S, or a combination of both. Nominees must not have reached their 39th birthday and must be an MTT-S member at the time of nomination. This year’s recipients are N. Scott Barker and Ryan Y. Miyamoto.

“For outstanding early career contributions to the microwave profession”

Microwave Prize: This award recognizes on an annual basis the most significant contribution by a published paper within the MTT-S’s field of interest. Papers under consideration are those published during the calendar year preceding the Fall meeting of the AdCom. This years recipients are Simone Bastioli, Cristiano Tomassoni, and Roberto Sorrentino for their paper, entitled “A New Class of Waveguide Dual-Mode Filters Using TM and Nonresonating Modes”, published in the IEEE Transactions on Microwave Theory and Techniques, Vol. 58, No. 12, December 2010, pages 3909-3917.

Microwave Magazine Best Paper: The IEEE Microwave Magazine Best Paper Award recognizes the peer-reviewed technical feature from the prior calendar year that is judged to provide a clear overview of the state of the art in a given area of the MTT Society’s field of interest. This year’s recipient is Richard Cameron, for his paper entitled “Advanced Filter Synthesis,” published in IEEE Microwave Magazine, vol. 12, No. 6, pp. 42-61, October 2011.

MWCL “Tatsuo Itoh” Best Paper Award:The Tatsuo Itoh Award is given annually to the best paper published in the IEEE Microwave and Wireless Components Letters in the previous calender year. This year’s recipients are W. R. Deal, X. B. Mei, V. Radisic, K. Leong, S. Sarkozy, B. Gorospe, J. Lee, P. H. Liu, W. Yoshida, J. Zhou, M. Lange, J. Uyeda, and R. Lai, for their paper entitled, “Demonstration of a 0.48 THz Amplifier Module Using InP HEMT Transistors,” IEEE MWCL, vol. 20, no. 5, pp. 289-to-291, May 2010.

Page 21: IMS2012 Program

› 18

MICROWAVE WEEK

À la MontréalA little European charm, a lot of urban vibe, Montréal is always an exciting place to meet. The city is compact, safe and pedestrian-friendly, so from the Palais des Congrès (Convention Centre) to your hotel to off-site venues, everything is always within easy reach. Montréalers, of course, are renowned for being easygoing and friendly and take pride in sharing all of the discoveries of their city with you.

Montréal is an international metropolis, the world’s second-largest French-speaking city after Paris, and home to 3.7 million people representing no fewer than 80 cultural groups. Each year, this compact and green island gem welcomes thousands of visitors who come here to enjoy its combination of Old World charm and new world verve.

Grand Prix du Canada (6-10 June)

Les FrancoFolies de Montréal (8-16 June)

2012 International Microwave Syposium (17-22 June)

Quebec National Holiday (24 June)

Festival International de Jazz de Montréal (28 June - 7 August)

© M

arie-Reine Mattera

© Ville de M

ontréal, bureau du patrim

oine, de la toponym

ie et de l’expertise

Page 22: IMS2012 Program

› 19

MICROWAVE WEEK

Walking distance from the convention centerMontréal boasts extraordinary sites to visit. Located just south of the convention center, the Old Montréal is a great place to visit. Take a walk to see the numerous landmarks with historical values, including the Notre-Dame Basilica and the Marché Bonsecours or to shop in one of the artisanal boutique. For a more educational walk, visit the Pointe-à-Callière Museum of Archaeology and History or the Montréal Science Center. Tired? Hop in a Caleche to explore Old Montréal aboard one of these romantic horse-driven carriages.

An incredible cultural effervescence infuses entertainment venues, among the best in the country, and spills out into the streets, home to major international events and a host of hundreds of free shows. For your own pleasure IMS2012 is held right in the middle of the festival season. In June, Montréal is the host of hundreds of free shows in the Quartier des spectacles, a square kilometer of the city packed with more than 80 cultural venues. Enjoy!

Grand Prix du Canada: The best Formula 1 drivers meet at the demanding Gilles-Villeneuve Circuit.

Les FrancoFolies de Montréal: Thousands of artists from a dozen countries meet up in North America largest Francophone city.

Festival International de Jazz de Montréal: Over 650 shows, including 370 free outdoor concerts, are presented in the heart of downtown Montréal.

Quebec National Holiday: Holiday parade, family activities and the historical Fête nationale show.

If you like the museum, you will love Montréal. Take some time to explore the Montréal Museum of Fine Arts with its encyclopaedic collection, the Musée d’art contemporain de Montréal dedicated exclusively to contemporary art or the McCord Museum which celebrates Montréal life.

Montréal is also renowned for its shopping, restaurants and nightlife. Hundreds of stores and restaurants are spread around the convention center. Take advantage of the rainy days to visit the Underground City. With over 32 km of tunnels connecting shopping malls, hotels, museums and universities, this is the largest underground complex in the world. Montréal nightlife is all about the party and welcoming everyone in on the vibe.

By metroTo the east of downtown is the Olympic Park. The site is now the home of the Montréal Biodome and the Botanical Garden. The Montréal Biodome brings together five ecosystems of the Americas, reproduced with striking accuracy, under one roof. The Montréal Botanical Garden ranks as one of the world’s largest and most spectacular botanical gardens. While in the Olympic park you can enjoy the breathtaking view of the Montréal Tower. It is the highest inclined tower in the world at 165 metres high and with a 45 degree angle.

Finally, make sure to visit the Chalet du Mont-Royal. The lookout in front of the chalet offers a striking view of the downtown area and the St. Lawrence River.

© Just For Laugh Festival

© Jean-G

uy Bergeron

© Tourisme Montréal

Page 23: IMS2012 Program

› 20

MICROWAVE WEEK

TRANSPORTATION

Via PlaneLocated only 20 minutes from downtown, Montréal-Trudeau International Airport is one of the most important in Canada with direct flights from some 40 carriers to over 130 international destinations in Canada, the United States and abroad.

An array of information and services is available upon arrival, including several options for making your way to the heart of the city: car rental and limousine transportation companies are on site as well as airport shuttles, like the 747 Express bus. Featuring 24-hour service, 7 days a week, 365-days a year, the 747 Express bus costs only $8 (subject to change). The route features nine downtown stops conveniently located near major hotels and takes approximately 25 – 30 minutes each way, depending on traffic. If you are going by taxi, a trip downtown will cost you a flat rate of $40, tip not included.

More information about Montréal-Trudeau (Dorval) airport can be found at: http://www.admtl.com/Passengers/Home.aspx

Official AirlineAir Canada is the IMS2012 official airline partner. They will provide 10% discount on all tickets purchased on their website.

In order to have the discount, you must use the following promotional code: CF9GNRZ1.

This promotional code is valid for tickets to Montréal within the June 10 to June 29 period.

Via RailVIA Rail Canada serves more than 450 Canadian cities. If you are coming from the United States, hop aboard an Amtrak train, with daily departures from several American cities to downtown Montréal. Visitors pull into Montréal’s Central Station, which is conveniently linked to the Underground Pedestrian Network and the Bonaventure metro station.

If you are planning a trip by bus, many American and Canadian operators come to Montréal, including Orléans Express. You will arrive directly downtown at the Montréal Bus Central Station, which is also connected to the Underground Pedestrian Network via the Berri-UQAM metro station.

Via CarIf you are travelling by car from elsewhere in Canada or the United States, Montréal can be easily accessed by highway. The island of Montréal is only 75 kilometres (46 miles) from the US border, and many roads and expressways lead to the city, including the Trans-Canada Highway. Depending on your point of origin, you may take one of the major bridges—Champlain, Jacques-Cartier or Victoria, or the Louis-Hippolyte Lafontaine bridge tunnel—to head downtown.

IEEE AND MTT-S MEMBERSHIPS

IEEEThe IEEE is a nonprofit, professional association with more than 400,000 members (including 100,000 students) in over 160 countries. This global organization helps support the development and application of technology and science around the world for the benefit of humanity, the individual, and the profession.

MTT-SThe IEEE Microwave Theory and Techniques Society (MTT-S) is a transnational society with more than 11,000 members and 150 chapters worldwide. Our society promotes the advancement of microwave theory and its applications, at frequencies from 200 MHz to 1 THz and beyond. As we enter into an exciting future, our mission is to continue to understand and influence microwave technology.

Benefits of MembershipThe benefits of IEEE membership include:

• Discounted conference registration rates• Subscriptions to the award-winning IEEE Spectrum and online

access to IEEE Potentials magazines• Online access to the tables of contents and expanded abstract

from over million IEEE documents with full text-searching capability

• Free IEEE e-mail alias with virus scanning and spam filtering• The IEEE Financial Advantage - negotiated exclusively for IEEE

members

Join the IEEE and MTT-SWeb: http://www.ieee.org/web/membership/join/join.htm

Phone: (US and Canada): + 1.800.678.4333 (Worldwide): + 1.732.981.0060

Page 24: IMS2012 Program

› 21

MICROWAVE WEEK

IEEE AND MTT-S MEMBERSHIPS (CONT.)

IEEE and MTT-S Membership Dues

2012 IEEE membership dues

Residence Member Full Year Member Half Year* Student Full Year Student Half Year*

United States $181.001 $90.501 $32.00 $16.00

Canada (incl. GST) $163.90 $81.95 $33.60 $16.80

Canada (incl. HST for NB, NF and ON) $174.94 $87.47 $36.16 $18.08

Canada (incl. HST for Nova Scotia) $177.70 $88.85 $36.80 $18.40

Canada (incl. HST for BC) $173.56 $86.78 $35.84 $17.92

Africa, Europe, Middle East $151.00 $75.50 $27.00 $13.50

Developing Nations e-Membership $63.00 $31.50 n/a n/a

Latin America $142.00 $71.00 $27.00 $13.50

Developing Nations e-Membership $54.00 $27.00 n/a n/a

Asia, Pacific $143.002 $71.502 $27.00 $13.50

Developing Nations e-Membership $55.00 $27.50 n/a n/a

*Half year rates apply to new members only. 1This amount represents base dues plus IEEE-USA assessment. In the United States, depending on region, an additional regional assessment is added that ranges from US$1 to US$5. 2Except in Japan, where full year dues are US$168 and US$84 half year.

• All dues pricing is listed in US Dollars.• IEEE membership runs from 1 January through 31 December. • NEW applications received between 16 August 2011 and 28 February 2012 will automatically be processed at the full year dues rates.

Services begin immediately.• NEW applications received between 1 March and 15 August 2012 will automatically be processed for half-year membership ending 31

December 2012 at the half-year dues rates. Exception is when the applicant specifically requests their application be processed for the full year. However, we cannot guarantee availability of back issues of all publications for the first half of the calendar year.

• The e-Membership option is only available to higher grade members in developing nations (http://www.ieee.org/membership_services/membership/join/emember_countries.html).

• Membership in IEEE Technical Societies involves additional dues. See the table below for information on Society membership dues.

All IEEE members are given online access to Potentials magazine as part of their basic IEEE membership. Student members in the U.S. and Canada receive Potentials in print as part of their dues. For Student members from all other countries and for IEEE Higher grade members who wish to receive a print subscription to Potentials, see rates provided below.

2012 MTT Society membership dues

Society Member Full Year Member Half Year* Student Full Year Student Half Year*

IEEE Microwave Theory and Techniques Society

$17.00 $8.50 $9.00 $4.50

MTT-S dues include a subscription to IEEE Microwave Magazine. MTT-S members can also purchase subscriptions to the IEEE Transactions on Microwave Theory and Technology, IEEE Microwave and Wireless Components Letter, IEEE Transactions on Terahertz Science and Technology, IEEE/OSA Journal of Lightwave Technology, and IEEE MTT CD-Rom Collection. See IEEE Membership website for pricing.

Page 25: IMS2012 Program

IMS

› 22

WELCOME FROM TECHNICAL PROGRAM COMMITTEE CHAIR

On behalf of the Technical Program Committee of IMS2012, I extend you a warm welcome to the largest and most prestigious IEEE microwave conference in the world. As the premier event of the IEEE Microwave Theory and Technique Society (MTT-S), IMS brings together professionals from around the world to showcase their research in RF, microwave, millimeter-wave and Terahertz devices, components, circuits and systems.

IMS2012 has set a record with 1,225 technical papers submitted from 49 countries around the world. The previous record of more than 1,000 papers had been set by IMS2003 in Philadelphia. Since 2004, the symposium has received an average of 825 submissions, and 841 in 2011. Almost one third of the IMS2012 papers (351) came from student authors, setting one more record for IMS. We were very thrilled at the level of enthusiasm for this year’s conference and the high quality of papers we received. We attribute the record-breaking number of submissions to both the shortened three-page limit we have implemented this year and the glamour of having the conference in Montréal.

We continued this year with the double blind review process introduced in IMS2011. All authors were required to remove all personal and institutional identifying information from the papers they submit for review. Thus, to the best of our ability, review decisions were based entirely on the merits of each paper. All submissions were reviewed by the IMS2012 Technical Paper Review Committee (TPRC), approximately 290 TPRC members, which met on January 15, 2012 and made decisions on which papers to accept. Out of the 1225 papers received, a total of 612 papers were accepted, 448 were selected for oral presentation and 164 were selected for the Interactive Forum.

The Technical Program Committee has worked very hard to provide an exciting technical program that will be of interest to researchers and well-seasoned practicing microwave engineers as well as students and those who are just starting out in this dynamic field. IMS2012 will have 40 workshops of which several are jointly organized with RFIC, 7 short courses, 18 special/focus sessions, 4 panel sessions, one rump session, 79 MicroApps presentations, 11 student design competitions, student paper competitions and graduate student challenge competitions. This comprehensive technical program could have not been possible without the dedication and commitment of many volunteers on the Technical Program Committee; Costas Sarris, the TPC Vice-Chair, the Workshop Chair, Ming Yu and his team, the Chair of Focus/Panel/Rump sessions, Natalia Nokolova and her team, the Chair of the Student Design Competition, Slim Boummaiza and his team, Zhizhang (David) Chen the Chair of the Student Paper Competition, Guoan Wang, the Short Courses Chair, the Interactive Forum Chair, Mohamed Bakr and his team, the MicroApps Chair, James Weiler and his team and Christophe Caloz, the Chair of the Special and Plenary sessions. A tremendous amount of guidance to the Technical Program Committee was provided by George Heiter and many others.

We sincerely hope that you will find IMS2012 in Montréal professionally rewarding and technically inspiring as well as thoroughly enjoyable.

Raafat R. MansourIMS2012 Technical Program Committee Chair

Page 26: IMS2012 Program

IMS

› 23

IMS STEERING COMMITTEE

Management & OperationsGeneral Chair: Ke Wu

Vice-Chair: Christophe Caloz

Secretariat: Nazih Khaddaj Mallat

Advisers: Fred Schindler, Dick Sparks, Peter Staecker

Administrators: Nazih Khaddaj Mallat, Amanda Scacchitti

Finance: Samer Abielmona, Robert Alongi

Registration & On-Site Printing: Jianping Yao

Convention & Visitors Bureau: Caroline Biron, Anader Benyamin-Seeyar

Historical Exhibit: Daniel Gratton, Steven Stitzer, Christophe Caloz

Conference Management: Elsie Cabrera

60th Anniversary: Christophe Caloz

US/International Liaison/Visa: Jules Gauthier, Afshin Daryoush

Gifts & VIP Protocol: Ke Wu, Fred Schindler

Exhibition: Lee Wood, Susie Horn, Jules Gauthier

RFIC/ARFTG Liaison: Ian Gresham

Grant Applications: Nazih Khaddaj Mallat, Frédéric Domingue, Halim Boutayeb

Video Archive: Brian Rautio, Muhammad Kabir

Local ArrangementsChair / Vice-Chair: Dominic Deslandes, Nazih

Khaddaj Mallat

Banquet: Dominic Deslandes, Nazih Khaddaj Mallat

Receptions: Nazih Khaddaj Mallat, Dominic Deslandes

Social Activities: Simone Winkler

Guest Program: Ammar Kouki, Frédéric Domingue

Hotels: Caroline Biron

Transportation: Roni Khazaka, Frédéric Domingue

Student Activities: Simone Winkler, Serioja Tatu

Women in Microwaves: Milica Popovich, Natalia Nikolova

Audio-Visual: Serioja Tatu

IT/Signage: Nazih Khaddaj Mallat

Daybooks: Dominic Deslandes, Serioja Tatu

GOLD: Chan-Wang Park,Ammar Kouki

Ham Radio: Anader Benyamin-Seeyar, Shirook Ali

Technical ProgramChair / Vice-Chair: Raafat Mansour, Costas

Sarris

Advisers: George Heiter, Ramesh Gupta

Student Design Competition: Slim Boumaiza, Winter Yan

Open Forum Sessions: Mohamed Bakr, Daniel Gratton, Shirook Ali

Special and Focus Sessions: Natalia Nikolova, Ahmed Kishk, Ammar Kouki

Panel & Rump Sessions: Natalia Nikolova, Mohamed Bakr, Deep Gupta

Plenary Session: Christophe Caloz

Student Paper Competitions: Zhizhang (David) Chen, Steve Kirchoefer, Ibrahim Haroun, Yi-qiang Yu

MicroApps: James Weiler, Jean Rautio, Bela Szendrenyi

Workshops: Ming Yu, Costas Sarris, Slim Bou-maiza, Carlos Saavedra

Short Courses: Guoan Wang, Halim Boutayeb

TPRC: Costas Sarris, Frédéric Domingue

Publications & PublicityChair/ Vice-Chair: Q.J. Zhang, David Vye

Adviser: George Heiter

Branding & Logo: Ke Wu

Call for Papers: Roni Khazaka, Carlos Saavedra, Halim Boutayeb

Program Book: Emad Gad, Carlos Saavedra, Ammar Kouki

Session Management: Ahmed Kishk, Khelifa Hettak

Signs: Khelifa Hettak, Chan-Wang Park

Electronic Submissions: Jeff Pond, J.B. Hacker

Web Site: Roni Khazaka, Xinyu Xu, Brian Rautio, Muhammad Kabir

Special Issues: Ming Yu, Ian Gresham, Afshin Daryoush

Photography: Jim Lyle, Tammy Lyle, Ibrahim Haroun

Proceedings and Workshop USB: Emad Gad, Jean Rautio

Publicity & Sponsorship: Denis Jacques, Jules Gauthier, Daniel Gratton

Electronic Communications: Roni Khazaka, Muhammad Kabir, Steve Kirchoefer, Tim Lee, Brian Rautio, Pavan Gunupudi, Anader Benyamin-Seeyar

Page 27: IMS2012 Program

IMS

› 24

IMS2012 TECHNICAL PAPER REVIEW COMMITTEE (TPRC)

Field Analysis And Guided WavesTapan Sarkar, ChairPaolo LamparielloJan MachacIngo WolffFrancisco MesaDavid Jackson

Frequency-Domain EM Analysis TechniquesLuca Perregrini, ChairJin-Fa LeeJianming JinDan Jiao

Time-Domain EM Analysis TechniquesZhizhang David Chen, ChairPoman SoNathan BushyagerFernando TeixeiraWolfgang J. R. HoeferCostas SarrisJi ChenDaniel Van Der Weide

CAD Algorithms And TechniquesRoni Khazaka, ChairSlawomir KozielPeter AaenMichel NakhlaJohn BandlerJose Rayas-SanchezArvind Sharma

Linear Device ModelingMichel Nakhla, ChairSlawomir KozielPeter AaenRoni KhazakaJohn BandlerJose Rayas-SanchezArvind Sharma

Nonlinear Device ModelingYusuke Tajima, ChairJohn AthertonThomas BrazilRobert LeoniMatthias RudolphChristopher Snowden

Nonlinear Circuit And System SimulationAlmudena Suarez, ChairKevin GardChristian FagerJose Carlos PedroChristopher SilvaSteve Kenney

Transmission Line Ele-mentsTatsuo Itoh, ChairVictor Fouad HannaAtsushi SanadaBranka JokanovicGeorge EleftheriadesFrederic Domingue

Passive Circuit Elements INickolas Kingsley, ChairKavita GoverdhanamRashaunda HendersonGeorge Ponchak Ramesh AbhariMaher Bakri-Kassem Siamak Fouladi

Passive Circuit Elements IIGuoan Wang, ChairJesse TaubKe-Li WuAlejandro García LampérezAnthony GrbicAshwin IyerGeorge Shaker

Planar Passive Filters And Multiplexers IMagdalena Salazar-Palma, ChairChristopher GalbraithBo PanJiasheng HongJeff PondSoeren Peik

Planar Passive Filters And Multiplexers IIChi Wang, ChairJames RautioWinter Yan Kawthar ZakiPaul Laforge Sanghoon Shin

Non-Planar Passive Filters And MultiplexersMing Yu, ChairGiuseppe MacchiarellaAli AtiaVicente BoriaRichard SnyderHuiwen YaoRoberto Sorrentino

Active, Tunable And Integrated FiltersRoberto Gomez-Garcia, ChairIan HunterDouglas JachowskiBernhard SchoenlinnerHar Dayal Clark BellAndy GuyetteStephen Holme

Ferroelectric, Ferrite And Acoustic Wave Compo-nentsAmir Mortazawi, ChairRobert WeigelHarvey NewmanThomas Lingel Clemens RuppelSpartak Gevorgian

MEMS Components And TechnologiesPierre Blondy, ChairCharles GoldsmithDimitrios PeroulisTom WellerJames HwangGabriel RebeizMojgan DaneshmandJohn Ebel

Semiconductor Devices And Monolithic ICsHo Huang, ChairNicholas KoliasGeorge DuhDietmar KissingerJonathan ComeauTimothy LeeP.C. ChaoAmin Ezzeddine

Signal GenerationJohn Papapolymerou, ChairThomas Ussmueller Bhaskar BanerjeeScott WetenkampBert HendersonDeukhyoun HeoAmarpal Khanna

Frequency Conversion And ControlHuei Wang, ChairChinchun MengHiroshi OkazakiKenji ItohMohammad MadihianBrad NelsonStephen MaasYi-Jan (Emery) Chen

HF, VHF And UHF Technol-ogies And ApplicationsFrederick Raab, ChairFrank SullivanRichard CampbellJohn HeatonMarc FrancoRobert CaverlyCharles Weitzel

Power Amplifier Devices And Circuits IDouglas Teeter, ChairPeter AsbeckRüdiger QuayManfred SchindlerRaghu MallavarpuFranco SechiWolfgang HeinrichWayne Kennan

19b. Power Amplifier Devices And Circuits IIAnh-Vu Pham, ChairDebasis DawnAli DarwishAryeh PlatzkerShabbir MoochalaPaul DraxlerYoungwoo KwonLeo De Vreede

High Power AmplifiersJohn Wood, ChairAllen KatzPaul TaskerJames SchellenbergJoe QiuFadhel GhannouchiSlim BoumaizaJames KomiakBumman Kim

Low Noise Components And ReceiversTerry Cisco, ChairPhillip SmithJames SowersFrançois DannevilleJames WhelehanJoseph BardinMadhu GuptaMarian Pospieszalski William Deal

Millimeter-Wave And THz Components And Technologies IEdward Niehenke, ChairJae-Sung RiehDanny EladKenichi MaruhashiScott Barker

Millimeter-Wave And THz Components And Technologies IIReynold Kagiwada, ChairH. John KunoJames BuckwalterImran MehdiPeter Siegel

Microwave PhotonicsDieter Jaeger, ChairTibor BerceliRonald ReanoMona JarrahiAdil KarimAsher MadjarJohn CunninghamDalma Novak

Mixed Mode And Digital Signal Processing Circuits And SystemsKoichi Murata, ChairHermann BossJohann LuyEdward GebaraAgnieszka KonczykowskaKC WangShamsur Mazumder

Packaging, Interconnects, MCMs And IntegrationZaher Bardai, ChairChing-Kuang TzuangDaniela StaiculescuRobert JacksonMorgan ChenAlexandros Margomenos

Instrumentation And Measurement TechniquesAndrea Ferrero, ChairAlfred RiddleKen WongMichael JanezicLeonard HaydenNuno CarvalhoJon Martens

Biological Effects And Medical ApplicationsAnand Gopinath, ChairArnaud PothierShahed RezaClaude WeilAbbas OmarArye RosenGuglielmo D’Inzeo

Arrays As Antennas And Power CombinersConstantine Balanis, ChairRoberto Vincenti GattiRongLin LiAly FathyWayne ShiromaGlenn HopkinsGoutam ChattopadhyayChris RodenbeckJulio Navarro

Radar And Broadband Communication SystemsArne Jacob, ChairGregory LyonsRudy EmrickRoger KaulRyan MiyamotoMohamed AbouzahraReinhard KnoechelDarren Goshi

Wireless And Cellular Communication SystemsVijay Nairm, ChairJonathan WellsEthan WangShoichi NarahashiDebabani ChoudhuryAlexander KoelpinChang-Ho Lee

Sensors And Sensor SystemsAlan Jenkins, ChairGaetano MarroccoKiki IkossiHiroshi KondohLora SchulwitzIan GreshamGeorge Heiter

RFID TechnologiesLuca Roselli, ChairLi YangApostolos GeorgiadisPeter StaeckerKazuya YamamotoAtef Elsherbeni

High Power Microwave Industrial ApplicationsMalgorzata Celuch, ChairVadim YakovlevYoshio NikawaMonika Willert-PoradaJohn Osepchuk

RF NanotechnologyFabio Coccetti, ChairStephen GoodnickRhonda FranklinLuca PierantoniJoachim OberhammerDominique BaillargeatPeter Russer

Wireless Power Transmis-sionManos Tentzeris, ChairMauro MongiardoKi Young KimMorris KeslerZoya Popovic

New Technologies And ApplicationsRamesh Gupta, ChairS. Jerry FiedziuszkoCheng (CP) WenAndreas WeisshaarTelesphor KamgaingReza Mahmoudi

RF Devices For Wireless Health Care Applications And BiosensingJ.-C. Chiao, ChairH. Alfred HungYanzhu ZhaoMohammad-Reza TofighiDominique SchreursAda PoonChangzhi LiKatia Grenier

Special Sessions And Invited Papers (By Invita-tion Only)Christophe Caloz, ChairBrian SequeiraKe Wu

Focus Sessions (By Invita-tion Only)Natalia Nikolova, ChairDalma NovakAhmed KishkMohamed Bakr Deep GuptaAmmar KoukiRaafat Mansour

Page 28: IMS2012 Program

IMS

› 25

PLENARY SESSION TALK3G/4G Chipsets and the Mobile Data Explosion

Monday, 18 June 20121730 - 1900Room 710

Plenary Speaker: Steve MollenkopfThe Plenary talk will be given by Steve Mollenkopf, President and Chief Operating Officer of Qualcomm. From his leadership role in Qualcomm, one of the greatest and most successful global telecommunication corporations, as well as pioneer of Code Division Multiple Access (CDMA) technology, Mr. Mollenkopf is ideally positioned to provide an acute and authoritative perspective on microwave technology and business which should be in the interest of all the attendees of the IMS. His talk will be entitled “3G/4G chipsets and the mobile data explosion.”

Abstract of the talkThe rapid growth of wireless data and complexity of 3G and 4G chipsets drives new design and deployment challenges for radio and device manufacturers along with carriers. This talk will provide a perspective on the problem from the point of view of a large, worldwide manufacturer of semiconductors and technology for cellular and connected consumer electronics devices. The increase in device and network complexity will result in significant business opportunities for the industry.

Biography of the SpeakerSteve Mollenkopf serves as president and chief operating officer of Qualcomm, leading the company’s business operations, product and worldwide sales groups. In this role, Mr. Mollenkopf also serves as president of Qualcomm CDMA Technologies (QCT). Moreover, he is a member of Qualcomm’s Executive Committee, helping to drive Qualcomm’s overall global strategy.

Since 2008, Mr. Mollenkopf led QCT and served as executive vice president and group president of Qualcomm, driving growth and providing critical technical and operational leadership. QCT, the company’s semiconductor business, is the world’s largest wireless chip supplier and fabless semiconductor company, in terms of revenue.

A published IEEE author, Mr. Mollenkopf holds patents in areas such as power estimation and measurement, multi-standard transmitter system and wireless communication transceiver technology. He serves on the Board of Directors for the Semiconductor Industry Association and serves as a Board Member and is on the Board Executive Committee and CEO Council for the Global Semiconductor Alliance.

Mr. Mollenkopf holds two electrical engineering degrees, a bachelor of science in electrical engineering from Virginia Tech and a master of science in electrical engineering from the University of Michigan at Ann Arbor.

Microwaves without Borders:Spain represents the third largest European contributor, after France and Germany, to IMS this year with over 40 initial submissions. Spain is part of IEEE region 8 and has an active MTT-S chapter.

Page 29: IMS2012 Program

IMS

› 26

FLOWERS GREET MICROWAVESAs part of bringing the vibrancy of the Montreal cultural scene to IMS this year, the Steering Committee has selected six unique flowers to represent the 6 technical tracks presented at IMS2012. Furthermore, the pairing of flowers to the technical tracks also symbolizes the passion of the microwave community for the microwave profession.

Microwave Field and Circuit TechniquesSUNFLOWER - Who has not seen the beautiful sunflower fields in the south of France. The sunflower heads are circling around to follow the sun in perfect unity, but with radiating individual strength and nourishment to man and nature.

This year, IMS has chosen the sunflower to represent all sessions in the microwave field and circuit techniques technical track. Please follow the signs to the Sunflower Rooms.

Passive ComponentsORCHID - Something as passive as a flower can still be versatile and be a gift of strength and power, like the Orchid. The Orchid, long-lasting and particularly elegant, exotic and unusual is one of the world’s most evolved flowers and grows naturally in almost all climates. The Aztecs were

said to drink a mixture of the vanilla orchid and chocolate to give them power and strength.

Please follow the purple Orchid to technical presentation connected to passive components.

Active Components

RED ROSE - This beautiful red flower is definitely a symbol of one of the active components in our life. We want this flower as an emblem, a given token of love and passion, and as a universal identification for our ideas. The rose was named floral emblem of the US in 1986. It is the national

flower of England, symbol of social democracy and the rose is widely used as the flower for Valentine’s Day, in bride’s bouquets and during courtship.

Please follow the Red Rose to the Active Components track.

Systems and ApplicationsTULIP - The tulip, once a highly prized commodity in the 17th century, has had many applications. Its name comes from the Persian word meaning turban because the turban was once decorated with the tulip. The tulip bulb was dug up and used for food during the Dutch hunger winter of WWII. Today as in the past, this easily recognized flower,

grown in many colours, plays a significant role in art and culture.

Please follow the Pink Tulip to the Systems and Applications technical track.

Emerging Technical AreasGERBERA - The emergence of new technical ideas is as exciting as the sight of the vibrant orange coloured gerbera. Its cheerfulness will brighten anyone’s day and therefore set the mind in motion.

The gerbera is the fifth most popular flower overall and originates in South Africa.

The presence of the Orange Gerbera, throughout the symposium or on the pages of the program book, is an indication of a novel idea being presented.

General Interest

CALLA LILY - The elegant Calla Lily is successfully used as decoration for any general occasion. Its neutral white color brings harmony to its surroundings. The flower’s beauty has inspired many cultures and civilizations in both daily life and

special occasions. The Calla Lily was already grown by the Romans, she was prized by the ancient Jews and she was a sacred flower to the Minoans. The Calla Lily or ‘Fleur de Lys’ is the flower emblem on the Flag of Québec. While the flag is fairly recent (1948), it has a lengthy lineage. Designed in 1902, based on a banner discovered in 1848, it evokes the lilies of the kings of France, which appeared around the year 1000.

The nature and character of a Calla Lily suggested that it would be ideal to signify the existence of papers and presentations that belong to the track of general interest.

Page 30: IMS2012 Program

IMS TU

ESDA

Y SESSIO

NS

› 27

IMS

TUESDAY FOCUS, PANEL, RUMP, AND SPECIAL SESSIONS

Tuesday 0800 – 0940 Room 512CDGHTU1C: Focus Session - Advances in RF MEMS Ruggedness and Reliability

Chair: James C. M. Hwang, Lehigh UniversityCo-Chair: Harvey Newman, Naval Research Lab, Washington

Abstract: This session will include presentations from participants in the recently completed Harsh Environment, Robust Micromechanical Technology (HERMIT) Program, which was funded by the US Defense Advanced Research Projects Agency from 2002 to 2011. Through the program, significant advances in establishing RF MEMS ruggedness and reliability were made, which will be highlighted and discussed. The speakers will also share important lessons learned through the program and will highlight remaining challenges and potential opportunities for system insertion of RF MEMS. As RF-MEMS are on the verge of being deployed in cell phones and defense systems, their ruggedness and reliability becomes increasingly important. The session will give potential users a fair assessment of the technology and its potential in future applications.

Tuesday 1200 – 1320 Room 516A-CPanel Session: RF scaling: Can it keep up with digital CMOS? Should it?

Organizers: Jeffrey Walling, Rutgers University Oren Eliezer, Xtendwave

Panelists: 1. David Allstot, Professor, Univ. of Washington, USA2. Dominique Brunel, Fellow, ST-Ericsson, France3. Jonathan Jensen, Principal Engineer RF/Analog Circuits, Intel, USA4. Li Lin, Director RF IC Design, Marvell Semiconductor Inc.5. George Chien, Director RF Circuit Design, MediaTek, Taiwan6. Raf Roovers, Dept. Head, RF Int. Sol., NXP Semiconductors7. Robert Staszewski, Associate Professor, TU Delft, Netherlands8. Masoud Zagari, Senior Director of Engineering, Qualcomm, USA

Panel Description: The benefits of continued scaling of CMOS transistors are well appreciated within the digital design community, allowing ever increasing integration. The resultant increase in speed and performance has enabled RF-CMOS to evolve to the point where single-chip RF-SoC integration is possible. However, the drawbacks to the continued scaling for RF functions are plentiful. Device scaling comes at the cost of decreases in gain and SNR, and increased power consumption due to leakage. In recent years, RF CMOS designers have been able to compensate for these drawbacks with adept changes in architectures and design topologies as CMOS has roared into the nanotechnology realm. Most recently, various cognitive radio (CR) applications have emerged for which integration is likely the key to their widespread adoption. These applications typically require wide bandwidth, high dynamic-range, and high output power, which cannot be easily accomplished simultaneously. Integration in scaled CMOS also includes challenges associated with the linearity and efficiency of the power amplifier. This fine group of panelists will present their ideas and opinions about the future of RF scaling. They will also engage the audience and respond to their questions or comments.

MICROWAVES WITHOUT BORDERSIMS this year has been characterized by a strong international presence with papers submitted from over 49 different countries. In fact, this is a reflection of the MTT-Society which has over 150 active local chapters located throughout the world. This year, IMS has received a record number of 1225 paper submissions. Contributing countries to IMS this year include countries from six continents; a sign that reaffirms the increasingly emerging borderless nature of the Microwave Theory and Techniques community. This fact is celebrated throughout this year’s program book, where we endeavor to highlight the contributions of various MTT communities and its role in shaping the IMS experience this year.

Distribution of technical papers submitted to IMS by continent.

Page 31: IMS2012 Program

IMS TU

ESDA

Y SESSIO

NS

› 28

IMS

Tuesday 1200 – 1320 Room 513 D-FPanel Session: RF MEMS for Defense and Aerospace

Organizers: Dr. Brandon Pillans, Raytheon Space and Airborne Systems Dr. Volker Ziegler, EADS Innovation Work

Panelists:1. Dr Bill Chappell, DARPA MTO Program Manager, USA2. Dr Bob Newgard, Rockwell Collins, USA3. Dr. Edgar Martinez, Raytheon Network Centric Systems, USA4. Dr. Afshin Ziaei, Thales Research and Technology, France5. Dr. Peter Feldle, Cassidian Electronics, Germany

Panel Description: Following up on the successful (and widely attended) Lunch Panel Session at IMS2011 “Commercial Viability of RF-MEMS: A Reality or a Dream?,” this session will focus on other markets for RF MEMS devices namely the defense and aerospace market. This market has lower overall volume compared to the commercial market, but requires higher performance in survivability as well as cutting edge RF performance. Recent results in reliability and device performance from companies such as Raytheon, Radant MEMS, EADS and MEMTronics (among others) have enabled system demonstrations of phased array radars and agile filtering in defense and aerospace applications. This panel session will focus on what specific needs the defense and aerospace industry has for RF MEMS devices and what their insertion allows from a system perspective. In addition, the panelists will call out defense and aerospace requirements that are unique compared to commercial RF MEMS and see where crossover may exist.

Tuesday 1350 – 1530 Room 511BETU3G: Focus Session - SiGe/CMOS for Phased Array Applications: A World Perspective

Chair: Gabriel M. Rebeiz, University of California at San DiegoCo-Chair: Mark J. Rosker, Raytheon

Abstract: SiGe and CMOS circuits are now being used in most modern phased arrays, either at the backend, or in the entire T/R module (including the LNA and PA). In just a few years, they have revolutionized how phased arrays are built. Currently, every major defense and commercial company in the world has a SiGe/CMOS effort for phased arrays or is starting this effort. This focus session will bring to the MTT community, which is GaAs centric in phased arrays, a world perspective on SiGe/CMOS with speakers from around the world all of whom are renowned experts.

Tuesday 1350 – 1530 Room 510AC TU3E: Special Session - Globalization of Engineering Education and Research: Opportunities and Challenges

Chair: Magdy F. Iskander, University of HawaiiCo-Chair: Samir El-Ghazaly, University of Arkansas

Abstract: As the world continues to flatten and the global marketplace relies more heavily on brain and economic powers of people from across the globe, engineering education and research enterprises are rapidly changing with focus on educating engineers as global citizens. In this session, papers reviewing innovation, transformation, and globalization of engineering education, over the past 60 years, will be presented, with focus on opportunities and challenges presented by this fast growing globalization trend. The session will start with an overview presentation on innovation and transformational trends in microwave education followed by papers describing rationale for developing global competence, preparing engineers for the global workforce, conducting research in global society and understanding and comparing education and training systems and their relationship to economic performance and national security issues.

Tuesday 1600 – 1720 Room 512ABEFTU4B: Focus Session - Coupled Multi-Physics Modeling of High-Power and High- Frequency Electronic Devices

Chair: Peter H. Aaen, Freescale Semiconductor Inc.Co-Chair: John Wood, Freescale Semiconductor Inc.

Abstract: As communications systems become more sophisticated, transistors are required to operate at ever higher frequencies, output powers, and improved linearities. As a result, design methodologies are becoming increasingly complex and they require new comprehensive modeling strategies, which incorporate the interactive coupling between device physics, electromagnetic theory, and thermal diffusion. Traditionally, these multi-physics simulations have been too computationally demanding for widespread application, but with recent advances in computer hardware and numerical methods, these techniques are now becoming feasible. Recent advances will be presented in multi-physics modeling for high-frequency and high-power electronic devices. The Session will illustrate the need for multi-physics simulations and will present key developments in this topic.

Tuesday 1600 – 1720 Room 510BDTU4D: Focus Session - Terahertz Imaging

Chair: Goutam Chattopadhyay, JPL/CaltechCo-Chair: Jon B. Hacker, Teledyne Scientific

Abstract: Imaging at terahertz frequencies has received unprecedented attention for security screening and standoff weapon detection. Impressive progress has recently been demonstrated. Due to its short wavelength and ability to penetrate dust, smog, and clothes, this frequency band is ideal for imaging person borne contrabands concealed under garments. Microwave and millimeter wave systems are capable of doing the same, however, they require a much bigger aperture for the same spatial resolution, a crucial parameter for differentiating guns from cell phones. On the other hand infrared systems cannot penetrate clothes and are inoperable in dust, smog, and rain. Different concepts in terahertz imaging will be highlighted such as passive, active, and radar imagers. Talks will also address the challenges in the deployment of these imaging instruments in real world conditions.

Tuesday 1700 – 1900 Westin, St. Antoine ABRump Session: Human Aspects of Communication And Persuasion: First Impressions and Subtext

Presenter: Dr. John W. Bandler, McMaster University

Description: When confronted with delivering a public speech, making a technical presentation, attending a job interview, or appearing in front of a panel of judges, apprehension is normal. This is not only because of valid concern over a first impression. Once into any communication/persuasion event, subtext—an underlying and often distinct theme—comes into play. The very nature of empathetic communication dictates that sensitive human issues have to be addressed, either consciously or unconsciously. For example, an unexpected negative (positive) word/gesture about a person or situation may take years to put into perspective; a first impression seems to have a life of its own; the notion of an open (impression-free) mind may be a myth. Mastering impressions and subtext may be keys to landing a job, getting promoted, being inducted into a prestigious society, making an effective technical presentation, and more. Traps and hidden agendas include perceived respect, believability, conflicts of interest, even simple attribution of the contributions of others. Any presenter must be aware of the subtext carried by his/her slides/speech/mannerisms. My proposed talk will acknowledge ideas by such figures as Malcolm Gladwell, R.J. Sternberg, and Robert McKee. It will draw on personal contributions and experiences (with humor) in both the technical and non-technical domains, and should enjoy wide interest. It will not only identify certain subjective perceptions during, for example, a technical presentation, but will also suggest ways of controlling and/or correcting perceptions. It seems essential for all of us (particularly aspiring professionals) to be aware that others will make life-altering decisions about us, of which we may forever be unaware.

Page 32: IMS2012 Program

IMS TU

ESDA

Y SESSIO

NS

› 29

IMS

TUESDAY TECHNICAL SESSIONS 0800 – 0940

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

TU1A: Novel Devices, Waveguiding Structures and Analysis MethodsTuesday 19 June 2012

Time: 0800 – 0940 Room: 513ABCChair: Ingo Wolff, IMST GmbH

Co-Chair: Tapan K Sarkar, Syracuse University

TU1A-1 0800 – 0820Hybrid Modes Propagation inside Parallel Plate Waveguide Using Anisotropic Graphene PlateS. Malek Abadi1, S. Charlebois1, D. Deslandes2, 1Université de Sherbrooke, Sherbrooke, Canada, 2Université du Québec à montréal, Montréal, CanadaA tunable parallel plate waveguide with a metal plate and a sheet of graphene is presented. The anisotropic con-ductivity of the graphene is modeled by a conductivity tensor. The Maxwell equations are solved and it is shown that a hybrid mode can propagates inside the waveguide. The amount of power which propagates in each TE and TM modes can be adjusted by the magnitude of applied fields. The proposed guide demonstrate good properties in comparison to metal waveguides in the 0.4 to 1 THz region.

TU1A-2 0820 – 0830Compact Terahertz Surface Plasmon Switch inside a Two Dimensional Electron Gas LayerM. A. Khorrami, S. El-Ghazaly, S. Yu, H. Naseem, University of Arkansas, Fayetteville, United StatesThe possibility of realizing a terahertz switch by employing surface plasmons along a two dimensional electron gas layer of a hetero-structure is presented. A compact and efficient THz switch with high On/OFF signal ratio is reported. This micro-meter size plasmonic switch demonstrates a very promising method for navigating the sub-wavelength THz signals inside future plasmonic circuits.

TU1A-3 0830 – 0850Novel Surface Integral Equation Formulation for Accurate Broadband RL Extraction in Transmission Lines of Arbitrary Cross-SectionA. Menshov, V. Okhmatovski, University of Manitoba, Winnipeg, CanadaThe volume integral equation (IE) used in MoM based RL extractors is reduced to a novel surface IE with a global surface impedance operator and a product of volume and surface integral operators. This is achieved via equiva-lence principle representation of E-field in the conductor cross-section and boundary surface current density. The MoM solution of novel surface IE does not compromise the accuracy of volumetric current approximation as no approximation is utilized in the reduction procedure.

TU1A-4 0850 – 0910Upper Frequency Limit of the Power Loss Method for the Estimation of Ohmic Losses in Hollow Metallic WaveguidesC. A. Leal-Sevillano1, J. A. Ruiz-Cruz2, J. R. Montejo-Garai1, J. M. Rebollar1, 1Universidad Politécnica de Madrid, Madrid, Spain, 2Universidad Autónoma de Madrid, Madrid, SpainThe ohmic losses of waveguides are estimated by the power loss method. This method is based on using the solu-tion of the ideal problem and the impedance boundary condition. In this paper the highest frequency where the power loss method can be used is investigated. This frequency is determined by the cross-sectional dimension and the behaviour of the conductors. The estimation of the upper frequency is presented, very useful and with practical consequences from an engineering point of view.

TU1A-5 0910 – 0930THz Holographic Imaging: A Spatial-domain Technique for Phase Retrieval and Image Reconstruc-tionA. Enayati1, A. Tamminen2, J. Ala-Laurinaho 2, A. V. Räisänen2, W. D. Raedt1, G. A. Vandenbosch3, 1IMEC, Leuven, Belgium, 2Aalto University, Espoo, Finland, 3Katholieke Universiteit Leuven, Leuven, BelgiumA method has been introduced for the phase-retrieval step in the field of holographic imaging. In contrary to the phase-retrieval methods available in the literature which are mainly implemented in the wave-number domain the method introduced here retrieves the phase directly in the spatial domain. Although, the method is applicable to holographic imaging at any frequency and wavelength, its validity is investigated at 310 GHz practically.

TU1A-6 0930 – 0940Peculiarities of Distributed Passive Intermodulation Generation in Coplanar Waveguide Transmis-sion Lines A. Shitvov, A. Schuchinsky, Queen’s University of Belfast, Belfast, United KingdomA phenomenology of distributed passive intermodulation generation in coplanar waveguide transmission line is presented. The theoretical analysis is based upon the generalised nonlinear transmission line model, which ac-counts for the coupling of two propagating modes. The case of weak substrate nonlinearity is considered and the model is given qualitative verification through the mapping of passive intermodulation products generated in coplanar waveguide fabricated on a commercial laminate.

TU1B: Ferroelectric, Ferrite and Acoustic-Based Resonators and Filters Tuesday 19 June 2012

Time: 0800 – 0940 Room: 512ABEFChair: Harvey S. Newman, Naval Research Laboratory

Co-Chair: Clemens Ruppel, EPCOS AG

TU1B-1 0800 – 0820Tunable and Flexible Barium Strontium Titanate (BST) Varactors on Liquid Crystal Polymer (LCP) SubstratesY. Shen, S. Ebadi, P. Wahid, X. Gong, University of Central Florida, Orlando, United StatesTunable inter-digital capacitors (IDCs) are presented on flexible Liquid Crystal Polymer (LCP) substrates. Barium Strontium Titanate (BST) is used as the tuning mechanism. A new transfer process is used to realize BST on LCP. Due to the monolithic integration, no wire bonding or packaging is needed. Tunability of 22-28% and Q factors of 80–7 have been measured within 0.01–50 GHz. This is the first demonstration of a tunable device on 100% flexible materials without any rigid components.

TU1B-2 0820 – 0830Large Signal Performance of Ferroelectric FBARsV. Lee, S. Lee, S. A. Sis, A. Mortazawi, University of Michigan, Ann Arbor, United StatesFerroelectric FBARs have been of growing interest due to their electric field dependent properties. Resonators based on the ferroelectric BST have resonances that switch on with a dc bias voltage. In this paper, the large signal performance and nonlinear behavior of BST FBARs are investigated. Measurement results show as the dc bias volt-age increases, the nonlinear behavior due to high RF input power decreases. Measurement results of BST FBARs are fitted to a nonlinear MBVD model.

TU1B-3 0830 – 0840Stacked Multistage Lumped Element CirculatorA. G. Schuchinsky, Queen’s University Belfast, Belfast, United KingdomThe novel concept and architecture of the vertically stacked multistage circulator has been proposed. The distinc-tive features of the new arrangement and the main aspects of the circulator design are discussed. The experimental prototype of the VHF stacked double isolator exhibits low loss, high isolation, excellent thermal stability and high power handling capability. The proposed class of circulators can increase dynamic range of the transceivers for emerging white space UHF/VHF applications.

TU1B-4 0840 – 0900Non-Reciprocal Tunable Low-Loss Bandpass Filters With Ultra-Wideband Isolation Based on Magne-tostatic Surface Wave J. Wu, X. Yang, J. Lou , S. Beguhn, N. Sun, Northeastern University, Boston, United StatesA new type of non-reciprocal C-band magnetic tunable bandpass filter with dual functionality of ultra-wideband isolator is presented. The BPF was designed with a rotated YIG slab loaded on an inverted-L shaped microstrip transducer pair. This filter shows an insertion loss of 1.6~2.3dB and an ultra-wideband isolation of more than 20dB, which was attributed to the magnetostatic surface wave. The tunable resonant frequency of 5.3~6.8GHz was obtained with the magnetic bias field 1.1kOe~1.6kOe.

TU1B-5 0900 – 0920High Performance Surface Acoustic Resonators in 1-3 GHz Range Using ScAlN/6H-SiC StructureK. Hashimoto1, S. Sato1, A. Teshigahara2, T. Nakamura2, K. Kano2, 1Chiba University, Chiba, Japan, 2DENSO, Nisshin, JapanThis paper describes use of ScAlN for wideband RF SAW devices. First, it is shown theoretically that large SAW veloc-ity and coupling factor are achievable when ScAlN is combined with a substrate with high acoustic wave velocities. Next, SAW delay lines are fabricated on the ScAlN/6H-SiC structure, and it is shown that SAW properties are simu-lated well theoretically. Finally, a one-port SAW resonator is fabricated, and it is shown how high performances are achievable by use of the structure.

TU1B-6 0920 – 0940A New BAWR (Bulk Acoustic Wave Resonator) Structure for Near Zero TCF (Temperature Coefficient of Frequency)S. Son, I. Song, J. Shin, H. Park, J. Cui, C. Kim, D. Kim, Samsung Electronics Co. Ltd., Yong-in, Republic of KoreaResonance frequency of BAWR (bulk acoustic wave resonator) shifts as an environment temperature changes, normally ranging -25~-30 ppm/°C, which is referred to TCF (temperature coefficient of frequency). A large TCF value reduces the gap between adjacent bands and gives rise to interference in their operation. To overcome this problem, a new BAWR structure with SiO2/SiN layers was designed enabling TCF -0.3~-7.8 ppm/°C, Q 2400, and kt2 5.4%, which has been applied to LTE Band-7/25 filters.

Page 33: IMS2012 Program

IMS TU

ESDA

Y SESSIO

NS

› 30

IMS

TU1C: Advances in RF MEMS Ruggedness and Reliability Tuesday 19 June 2012

Time: 0800 –0940 Room: 512CDGHChair: James C. M. Hwang, Lehigh University

Co-Chair: Harvey Newman, NRL

TU1C-1 0800 – 0820The Harsh Environment Robust Micromechanical Technology (HERMiT) Program: Success and Some Unfinished BusinessC. T. Nguyen, University of California at Berkeley, Berkeley, United StatesThis invited paper summarizes the history behind the creation, execution, and eventual success and commercial impact of DARPA’s Harsh Environment Robust Micromechanical Technology (HERMiT) program. Originally proposed in late 2002 as a program to explore micro-scale environmental control via new packaging technology, the HERMiT program morphed into a vehicle to explore and address reliability and long-term stability issues for microelectro-mechanical devices.

TU1C-2 0820 – 0840MEMS Packaging for Reliable Resonators and OscillatorsB. Kim, R. Melamud, R. A. Candler, M. A. Hopcroft, T. W. Kenny, Stanford University, Stanford, United StatesMEMS resonators have been discussed as replacements for quartz crystals in timing applications for more than 40 years. However, MEMS resonators have shown unacceptable levels of long-term frequency drift. Recent work has shown that placement of MEMS resonators in high-quality packages can eliminate the sources of drift. The emer-gence of high-quality, low-cost packaging for MEMS resonators has enabled demonstration of long-term stability and opened the door for commercial applications.

TU1C-3 0840 – 0900Robustness of RF MEMS Capacitive Switches in Harsh EnvironmentsC. Goldsmith1, J. Hwang2, C. Gudeman3, O. Auciello4, J. Ebel5, H. Newman6, 1MEMtronics Corporation, Plano, United States, 2Lehigh University, Bethlehem, United States, 3Innovative Micro Technology, Santa Barbara, United States, 4Argonne National Laboratory, Argonne, United States, 5AFRL, Wright-Patterson AFB, United States, 6Naval Research Laboratory, Washington, United StatesRF MEMS switches have come a long way since the early days of testing unpackaged devices in an uncontrolled environment with failure modes that could only be guessed at. Today, MEMS switch technology has effective wafer-level packaging, demonstrated temperature robustness, and failure modes that can be characterized and modeled. This presentation overviews advances in packaging, reliability, and environmental robustness for RF MEMS switches made on DARPA’s HERMIT program.

TU1C-4 0900 – 0920Stiction in RFMEMS Capacitive SwitchesJ. B. Muldavin, P. Wyatt, C. Bozler, MIT Lincoln Laboratory, Lexington, United StatesStiction is a major limiter in the reliability of RF MEMS capacitive switches and varactors. There are three major categories of stiction: temporary, voltage-independent, and permanent. This paper will examine the effects of bias waveform, ambient, and moisture on permanent stiction in RF MEMS capacitive and direct contact switches.

TU1C-5 0920 – 0940Rugged and Reliable Ohmic MEMS SwitchesJ. J. Maciel, S. Majumder, J. Lampen, C. Guthy, Radant MEMS, Stow, United StatesMEMS switches are important building blocks for a variety of applications. Packaging and contact metallurgy have contributed to the steady progress that has been made in improving switch reliability. Lifetime and RF testing were conducted on ohmic microswitches under the DARPA HERMIT program. This led to a median cycle to failure greater than 1 trillion cycles with the longest recorded lifetimes of 1.5 trillion cycles. A series of environmental tests were successfully conducted per MIL-STD-883E.

TU1D: Millimeter-Wave Power AmplifiersTuesday 19 June 2012

Time: 0800 – 0940 Room: 510BDChair: Debasis Dawn, Georgia Institute of Technology

Co-Chair: Aryeh Platzker, Raytheon Corporation

TU1D-1 0800 – 082092-96 GHz GaN Power AmplifiersM. Micovic, A. Kurdoghlian, A. Margomenos, D. F. Brown, K. Shinohara, S. D. Burnham, I. Milosavljevic, A. Williams, P. Hashimoto, R. Grabar, C. Butler, P. Willadsen, R. L. Bowen, A. E. Schmitz, D. H. Chow, HRL, Malibu, United StatesWe present a family of 92-96 GHz GaN power amplifiers (PA) with increasing gate peripheries (150-1200 um). The 1.2 mm,3-stage PA produces Pout of 2.1 W with PAE of 19% at 93.5 GHz.The PA offers Pout over 1.5W with PAE over 17.8% in the 92-96 GHz range. The measured data show that the Pout scales linearly with increasing gate periph-ery at an almost constant PAE around 20%. This demonstrates the high efficiency of on-chip power combining and enables W-band high power single chip solid state PAs.

TU1D-2 0820 – 0840High Efficiency Ka-band Power Amplifier MMICs Fabricated with a 0.15um GaN on SiC HEMT ProcessC. F. Campbell, M. Kao, S. Nayak, TriQuint Semiconductor, Richardson, United StatesThe design and performance of two high efficiency Ka-band power amplifier MMICs utilizing a 0.15um GaN HEMT process technology is presented. Measured in-fixture continuous wave (CW) results for the 3-stage amplifiers demonstrates 8-9W of output power for the balanced MMIC and 4.5-6W for the single-ended configuration. The associated power added (PAE) efficiency of both amplifiers exceeds 25% at Ka-band. The die sizes for the balanced and single-ended MMICs are 2.55x3.80mm and 1.39x3.42mm.

TU1D-3 0840 – 0900A 58.4mW Solid-State Power Amplifier at 220 GHz Using InP HBTsT. B. Reed1, M. J. Rodwell1, Z. Griffith2, P. Rowell2, M. Field2, M. Urteaga2, 1University of California at Santa Barbara, Santa Barbara, United States, 2Teledyne Scientific and Imaging, Thousand Oaks, United StatesA 220 GHz solid state power amplifier MMIC is presented demonstrating 58.4 mW of output power with 5.4dB compressed gain. The 8-cell amplifier has a small signal gain of 8.9 dB at 220 GHz, and 3-dB bandwidth from 206 to 242 GHz. Amplifier cells are formed using a 250nm InP HBT technology and 5um BCB thin-film microstrip intercon-nects. Power combining of the eight cells is done by a 2-1 combiner series connected to a 4-1 combiner. More than 50mW of output power was observed from 215 to 225 GHz.

TU1D-4 0900 – 0920A 45GHz Doherty Power Amplifier with 23% PAE and 18dBm Output Power, in 45nm SOI CMOS A. Agah1, B. Hanafi1, H. Dabag1, P. Asbeck1, L. Larson2, J. Buckwalter1, 1University of California at San Diego, La Jolla, United States, 2Brown University, Providence, United StatesA 45GHz Doherty power amplifier is implemented in 45nm SOI CMOS. Two-stack FET amplifiers are used as main and auxiliary amplifiers, allowing a supply voltage of 2.5V and high output power. The use of slow-wave coplanar waveguides improves the PAE and gain by approximately 3% and 1dB, and reduces the die area by 20%. This ampli-fier exhibits more than 18dBm saturated output power, with peak power gain of 7dB. It occupies 0.64 sqmm while achieving a peak PAE of 23%; at 6dB back-off the PAE is 17%.

TU1D-5 0920 – 0940A 1.2V Broadband D-band Power Amplifier with 13.2-dBm Output Power in Standard RF 65-nm CMOSZ. Tsai2, H. Liao1, Y. Hsiao1, H. Wang1, J. Y. Liu3, M. F. Chang3, Y. Teng4, G. Huang4, 1National Taiwan University, Taipei, Taiwan, 2National Chung Cheng University, Chiayi, Taiwan, 3University of California at Los Angeles, Los Angeles, United States, 4National Nano Device Laboratories, Hsinchu, TaiwanA D-band CMOS power amplifier in 65-nm CMOS with wider than 30 GHz small signal gain bandwidth is developed by using proposed impedance transform network to split original matching network into 8-ways to integrate 8 transistors. Without using additional combining networks, the 4-stage power amplifier achieves 13.2 dBm satura-tion output power with 1.2 V supply at 140 GHz in a compact size of 0.38 mm^2. The peak power-added efficiency is 14.6% with 115.2 mW dc consumption.

TUESDAY TECHNICAL SESSIONS 0800 – 0940

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Microwaves without Borders:Do you know that India has 19 MTT-S chapters and has contributed to the IMS with 15 paper submissions?

Page 34: IMS2012 Program

IMS TU

ESDA

Y SESSIO

NS

› 31

IMS

TU2A: Time-Domain Modeling: Advances and ApplicationsTuesday 19 June 2012

Time: 1010 – 1150 Room: 513ABCChair: Poman P.M. So, University of Victoria

Co-Chair: Wolfgang J. R. Hoefer, High performance computing

TU2A-1 1010 – 1030Low-order Macromodels of Planar Waveguides Exhibiting Geometric, Material, and Layout Uncer-taintyA. C. Cangellaris, A. Rong, University of Illinois at Urbana-Champaign, Urbana, United StatesA methodology is presented for the development of low-order macromodels of planar waveguides exhibiting un-certainty in their geometric, material and layout attributes. The methodology makes use of stochastic collocation, polynomial chaos expansion and a Laguerre-based model order reduction process to generate a stochastic model of dimension significantly smaller than that of the original numerical model.

TU2A-2 1030 – 1050A Three-Dimensional Spatially Filtered FDTD with Controllable Stability Beyond the Courant LimitC. Chang, C. D. Sarris, University of Toronto, Toronto, CanadaIt has been recently shown that the stability limit of the FDTD method can be controlled by iterative spatial filter-ing and extended beyond the conventional stability limit. Yet the trade-off comes from implementing the spatial filtering and manifests itself most significantly in 3D simulations. This paper contributes the algorithm needed for the efficient extension of the spatially filtered 3D FDTD and further demonstrates a 3D late-time stable subgridding scheme based on spatial filtering.

TU2A-3 1050 – 1110A Subgridding Scheme Using Hybrid One-Step Leapfrog ADI-FDTD and FDTD MethodsS. Yang1, Z. Chen2, Y. Yu2, W. Yin1, 1Zhejiang University, Hangzhou, China, 2Dalhousie University, Halifax, CanadaA novel subgridding scheme that hybridizes the recently developed unconditionally stable one-step leapfrog alter-nately-direction-implicit finite-difference time-domain (ADI-FDTD) method and the conventional finite-difference time-domain (FDTD) method is proposed. The conventional explicit FDTD method is applied to coarse mesh or grid regions and while the leap-frog ADI-FDTD method to locally subgridded mesh ones.

TU2A-4 1110 – 1130Transient Adjoint Sensitivity Analysis Exploiting FDTD Y. Zhang, M. Bakr, McMaster University, Hamilton, CanadaWe present an accurate technique for efficiently estimating the gradient of time-varying responses at each time step. Using only one extra simulation, the sensitivities of a transient field response with respect to all the system parameters are evaluated regardless of their number at all time steps. A step function excitation is used to generate the adjoint fields. Our approach is validated through a waveguide filter example modeled with the FDTD method.

TU2A-5 1130 – 1150A Second-Order Domain-Decomposition Method for Modeling Material Interfaces in Finite-Differ-ence DiscretizationsR. B. Armenta, C. D. Sarris, University of Toronto, Toronto, CanadaAveraging the material functions at the location of a material interface is the most popular way to enforce the field continuity conditions in finite-difference methods. While this approach offers great simplicity, the global error performs poorly when the sign of the material functions changes. In this paper, a new domain-decomposition method for enforcing the field continuity conditions is introduced that offers a consistent second-order accurate global error performance.

TU2B: New implementations of couplers and hybridsTuesday 19 June 2012

Time: 1010 – 1150 Room: 512ABEFChair: Guoan Wang, University of South Carolina

Co-Chair: Rashaunda Henderson, University of Texas at Dallas

TU2B-1 1010 – 1030A Lumped-Element Directional Coupler with Arbitrary Output Amplitude and Phase Distributions.E. Gandini1, M. Ettorre2, R. Sauleau2, A. Grbic1, 1University of Michigan, Ann Arbor, United States, 2IETR, Rennes, FranceA directional coupler with arbitrary output amplitude and phase distributions is proposed. Design equations and experimental results are presented and compared with numerical simulations. During the presentation, the use of the coupler as a building block for a Butler matrix will be shown. The proposed coupler achieves a significant size reduction over conventional couplers and may provide an attractive solution for applications that require size reduction.

TU2B-2 1030 – 1050Wideband 15-50GHz Symmetric Multi-Section Coupled Line Quadrature Hybrid Based on Surface Micromachining TechnologyN. A. Sutton1, J. M. Oliver2, D. S. Filipovic1, 1University of Colorado, Boulder, United States, 2Nuvotronics LLC, Radford, United StatesThis paper demonstrates a quadrature hybrid developed using the surface micromachining technology PolyStra-ta™. Circuit model designs are optimized over 15-50GHz and a full-wave 3D model is tuned computationally to better approximate ideal performance. The full-wave results show misbalances below 0.5dB and 5° for magnitude and phase, respectively. Designed device is fabricated and good agreement with simulations is obtained.

TU2B-3 1050 – 1110New Wideband Integrated Miniature Branchline Coupler for Beamforming ApplicationsD. Titz1, F. Ferrero1, R. Pilard2, C. Laporte3, S. Jan2, H. Ezzeddine3, F. Gianesello2, D. Gloria2, C. Luxey5, G. Jacquemod4, 1Université Nice Sophia Antipolis, Valbonne, France, 2STMicroelectronics, Crolles, France, 3STMicroelectronics, Tours, France, 4Université Nice Sophia Antipolis, Sophia-Antipolis, France, 5Institut Universitaire de France, Paris, FranceIn this paper, we present a new wideband miniature branchline coupler as a key element to be integrated for 60 GHz beamforming networks. Theory and equations as well as a first proof-of-concept at 2.2 GHz are presented. A 25% matching bandwidth (-10 dB), 1 dB amplitude imbalance and 2° phase imbalance are all obtained with a reduced footprint. Then, this coupler is optimized in a BiCMOS9MW technology and IPD technology, both from ST Microelectronics and compared to recently published couplers.

TU2B-4 1110 – 1130Multiband Pi-shaped Structure with Resonators for Tri-band Wilkinson Power Divider and Tri-band Rat-Race CouplerZ. Wang, C. Park, Université du Québec à Rimouski, Rimouski, CanadaThis paper presents a novel Pi-shaped structure with resonators for multiband application. By employing resona-tors in conventional Pi-shaped structure, multiband quarter wavelength transmission line is achieved. In order to demonstrate our proposed multiband structure, we designed and fabricated one tri-band Wilkinson power divider and one tri-band rat-race coupler. Compactness is well kept. Measured results are in good agreement with simu-lated results.

TU2B-5 1130 – 1140Miniaturized Rat-Race Coupler with Out-of-Band Suppression using Double-Layer Synthesized Coplanar WaveguidesH. Chiu, C. Lai, T. Ma, National Taiwan University of Science and Technology, Taipei, TaiwanWe investigate a new miniaturized rat-race coupler with out-of-band suppression. The new design consists of six synthesized CPW cells, formed by meander line inductors, parallel-plate and interdigital capacitors. The coupler, with a bandwidth of 13%, is merely 6.2% the size of its conventional counterpart. Benefitting from the embedded parallel LC tank, the coupler shows signal suppression from 1.75 to 2.45 GHz. The rejection level is greater than 25 dB at the first harmonic (1.8 GHz).

TU2B-6 1140 – 1150Compact Wilkinson Power Divider on Multilayer Organic SubstrateH. H. Ta, A. Pham, University of California at Davis, Davis, United StatesA compact Wilkinson power divider on a multilayer Liquid Crystal Polymer substrate is presented. The power divider achieves a size reduction of 97.5% compares to conventional microstrip Wilkinson power divider design at a center frequency of 1.1 GHz. The power divider has a measured bandwidth from 0.95 to 1.25 GHz. The size of the power divider is 4.4 mm x 5.0 mm x 0.35 mm. This power divider achieves largest size reduction percentage reported to date in planar PCB technology.

TUESDAY TECHNICAL SESSIONS 1010 – 1150

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Page 35: IMS2012 Program

IMS TU

ESDA

Y SESSIO

NS

› 32

IMS

TU2C: Nonlinear Device ModelingTuesday 19 June 2012

Time: 1010 – 1150 Room: 512CDGHChair: Robert E. Leoni III, Raytheon Co.

Co-Chair: John Atherton, Win Semiconductor

TU2C-1 1010 – 1030Handling Long-Term Memory Effects in X-Parameter ModelA. Soury1, E. Ngoya2, 1Agilent Technologies, Massy, France, 2XLIM, Limoges, FranceRecently the X-parameter paradigm has been introduced providing a comprehensive description of the relation-ships between all the harmonics of the scattered and incident power waves at the ports of a device. The formalism however still needs effective handling of the long term memory effects. In this paper, a simple and efficient ap-proach is proposed to model long-term memory effects within X-parameter. It ensures both a simple extraction procedure and an efficient numerical implementation.

TU2C-2 1030 – 1050Scaling of X-parameters for Device ModelingD. E. Root, M. Marcu, J. Horn, J. Xu, R. Biernacki, M. Iwamoto, Agilent Technologies, Santa Rosa, United StatesThe relationships between X-parameters of a first device and a second device geometrically scaled with respect to the first are derived for the first time. Different X-parameter functions have different scaling rules. Theoretical pre-dictions are validated with numerical results from simulation and experimental NVNA measurements on devices of different sizes. This endows X-parameters with the scaling capability of compact models and better enables their application to circuit and MMIC design.

TU2C-3 1050 – 1110Application of a NVNA-based System and Load-Independent X-parameters in Analytical Circuit Design assisted by an Experimental Search AlgorithmA. M. Pelaez-Perez1, J. I. Alonso1, M. Fernandez-Barciela2, P. J. Tasker3, 1Universidad Politécnica de Madrid, Madrid, Spain, 2Universidad de Vigo, Vigo, Spain, 3Cardiff University, Cardiff, United KingdomRecently, X-parameters have been introduced to model device non-linear behavior. Moreover, they can also pro-vide an analytical design tool. Exploiting this design tool aspect, this work presents an application which combines the PNA-X & a tuner to extract a PHD model, focused around a circuit target impedance. Furthermore, an experi-mental search algorithm, based on analytical computations, has been proposed in this paper, which purpose is to speed up the characterization/design process.

TU2C-4 1110 – 1130Waveforms-Based Large-Signal Identification of Transistor ModelsG. Avolio1, D. Schreurs1, A. Raffo2, I. Angelov3, G. Crupi4, G. Vannini2, B. Nauwelaers1, 1Katholieke Universiteit Leuven, Leuven, Belgium, 2Università di Ferrara, Ferrara, Italy, 3Chalmers University of Technology, Göteborg, Sweden, 4University of Messina, Messina, ItalyThe I-V and Q-V nonlinear functions of a FET nonlinear model are identified by exploiting low- (2 MHz) and high- (8 GHz) frequency calibrated large-signal waveforms and numerical optimization. At low-frequency the reactive con-tributions can be neglected and the identification of the I-V function is more accurate while remarkably reducing the optimization time. The proposed procedure is quite general and independent on the type of active device. As case study a 0.25-μm GaAs pHEMT is considered.

TU2C-5 1130 – 1150A Comprehensive Electrothermal GaN HEMT Model Including Nonlinear Thermal EffectsJ. B. King, T. J. Brazil, University College Dublin, Dublin, IrelandA novel nonlinear high-power GaN HEMT equivalent circuit model is described. Features of the model include a nonlinear thermal subnetwork extracted using straightforward measurement techniques, and a modified Ange-lov/Chalmers single function drain current equation. The model can very accurately predict the pulsed IV curves at different pulse widths and duty cycles. Large-signal tests show good fidelity with measurements for the first three harmonics.

TU2D: Applications of Carbon-Based RF NanotechnologyTuesday 19 June 2012

Time: 1010 – 1150 Room: 510BDChair: Rhonda Franklin, University of Minnesota

Co-Chair: Stephen Goodnick, Arizona State University

TU2D-1 1010 – 1030Acoustic Charge Transport in GrapheneV. Miseikis, J. E. Cunningham, K. Saeed, R. O’Rorke, A. G. Davies, University of Leeds, Leeds, United KingdomWe present experimental evidence for an acoustically induced current flow in single-atomic-layer carbon (gra-phene). CVD-grown layers of graphene were subjected to surface acoustic waves generated by interdigital trans-ducers on a highly piezoelectric lithium niobate substrate. An RF signal applied to the transducers at their resonant frequency generated a DC current with a linear dependence on the applied RF power, even in the presence of a counter-flow of current induced by an applied bias.

TU2D-2 1030 – 1050Graphene Frequency Doubler with Record 3GHz Bandwidth and the Maximum Conversion Gain ProspectsM. E. Ramon, K. N. Parrish, J. Lee, C. W. Magnuson, L. Tao, R. S. Ruoff, S. K. Banerjee, D. Akinwande, The University of Texas - Austin, Austin, United StatesWe report a 500nm graphene field-effect transistor operating at the Dirac point for frequency doubling with maxi-mum output power of -23dBm and a record bandwidth of 3GHz, 2x higher than the state-of-the-art. The experi-mental device exceeds its ft and fmax by about 50%. The record performance of the graphene doubler is enabled by the growth of high-quality graphene affording carrier mobilities as high as 5000cm2/V-s and 2200cm2/V-s on smooth quartz and flexible substrates respectively.

TU2D-3 1050 – 1100Noise Figure Characterization of a Subharmonic Graphene FET MixerM. Andersson, O. Habibpour, J. Vukusic, J. Stake, Chalmers University of Technology, Göteborg, SwedenWe report on the first room temperature noise figure measurement of a graphene FET subharmonic resistive mixer in the interval fRF=2-5GHz. Due to an 8nm thin Al2O3 gate dielectric it can operate with a conversion loss (CL) of 20-22 dB at only 0 dBm of LO power. The measurement yields a noise figure close to the CL, thus determining the noise to be thermal in origin, which is promising for cryogenic applications. The general route to lower noise figure is an improvement of the CL.

TU2D-4 1100 – 1120Trade-offs in Designing Antennas from Bundled Carbon NanotubesP. Franck1, D. Baillargeat2, B. Tay3, 1XLIM, Limoges Cedex, France, 2CINTRA, Singapore, Singapore, 3Nanyang Techno-logical University, Singapore, SingaporeAs a first step towards designing carbon-nanotube-based nano-antennas, we investigate the resonant behavior of bundled carbon nanotubes in a monopole configuration. Simulation is performed using a 3D EM method to ensure comprehensive coverage of the various effects in the bundle. The necessary trade-offs between size reduction, impedance matching, and operating frequency are highlighted.

TU2D-5 1120 – 1130Low-Terahertz Transmissivity with a Graphene-Dielectric Micro-StructureC. Kaipa1, A. B. Yakovlev1, G. W. Hanson2, Y. R. Padooru1, F. Medina3, F. Mesa4, 1The University of Mississippi, Uni-versity, United States, 2University of Wisconsin-Milwaukee, Milwaukee, United States, 3University of Seville, Seville, Spain, 4University of Seville, Seville, SpainIn this paper, we report on the analysis of transmissivity of electromagnetic waves through a stack of dielectric slabs loaded with atomically thin graphene sheets at low-terahertz frequencies. The transmission resonances in the bandpass region are identified as coupled Fabry-Perot resonances associated with the individual dielectric slabs loaded with graphene sheets. The study is carried out using a simple circuit theory model, with the results verified against the numerical simulations.

TU2D-6 1130 – 1140Compact Radio Frequency Model of Graphene Resonant Channel TransistorO. Li, Y. Xu, Y. Wu, Y. Guo, Y. Zhang, R. Xu, B. Yan, University of Electronic Science and Technology of China, Chengdu, China A compact electrical equivalent circuit model has been given out based on the analysis of electromechanical model of doubly clamped beam and electronic field effect transistor model. And the experimental results show that the simulation result fits the measurement results excellently. The results of this paper can impetus the devel-opment handset high-sensitivities sensors.

TU2D-7 1140 – 1150Contactless Impedance Measurement of Large-Area High-Quality GrapheneH. S. Skulason1, H. V. Nguyen2, A. Guermoune3, M. Siaj3, C. Caloz2, T. Szkopek1, 1McGill University, Montreal, Canada, 2École Polytechnique de Montréal, Montreal, Canada, 3Université du Québec à Montréal, Montreal, CanadaWe present experimental work on contactless measurements of graphene sheet impedance at frequencies up to 110 GHz in different waveguide geometries. Low-loss coplanar waveguides in series and shunt configuration have been demonstrated. A new coaxial waveguide coupled Corbino disk geometry with facile fabrication is introduced. We have applied our technique to the characterization of sheet impedance and contact impedance of large-area, high-quality graphene grown by chemical vapour deposition.

TUESDAY TECHNICAL SESSIONS 1010 – 1150

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Page 36: IMS2012 Program

IMS TU

ESDA

Y SESSIO

NS

› 33

IMS

TU3A: Optimization of Microwave Circuits Through Nonlinear AnalysisTuesday 19 June 2012

Time: 1350 – 1530 Room: 513ABCChair: Christian Fager, Chalmers University of TechnologyCo-Chair: Christopher P. Silva, The Aerospace Corporation

TU3A-1 1350 – 1410Increasing Low-Frequency Stability Margins in Microwave Amplifiers from Experimental DataN. Otegi1, A. Anakabe1, J. Pelaz1, J. M. Collantes1, G. Soubercaze-Pun2, 1Universidad Del Pais Vasco (UPV/EHU), Bilbao, Spain, 2Centre National d’Etudes Spatiales (CNES), Toulouse, FranceA method for measuring and controlling the stability margin of low-frequency resonances in microwave amplifiers is proposed. Using an extra RF port the critical poles of the circuit are experimentally obtained. Pole-placement techniques are then applied to increase the stability margin of the critical resonances and reduce the risk of running into a low frequency oscillation when amplifier conditions are varied. The complete approach is experimentally validated in a demonstrator prototype.

TU3A-2 1410 – 1430In-depth Stability Analysis of Degenerate Parametric AmplifiersM. Ponton1, A. Suarez1, B. R. Gray2, F. Ramirez1, J. S. Kenney2, B. Melville3, 1Universidad de Cantabria, Santander, Spain, 2Georgia Institute of Technology, Atlanta, United States, 3New Jersey Institute of Technology, Newark, United StatesA relevant instability phenomenon in degenerate parametric power amplifiers is the division by two of the pump frequency. Because of the existence of an input source at the same frequency of the instability, the degenerate paramp will exhibit a specific bifurcation behavior. The anomalous shape of the solution curves and generation of new paths will be associated with an imperfect Pitchfork bifurcation. A global stability analysis of a broadband phase-coherent degenerate paramp is presented.

TU3A-3 1430 – 1450Implementation of X-Parameter Models in Harmonic-Balance SimulatorsA. Soury1, E. Ngoya2, 1Agilent Technologies, Massy, France, 2XLIM, Limoges, FranceX-parameter modeling paradigm constitutes a major advancement in circuit and system simulation and design. However being a frequency domain defined nonlinear model, its implementation in harmonic-balance simula-tors is not trivial. The implementation available in commercial simulators does not fully respond to the needs. The multi-tone simulations and the prediction of the dynamic effects remain a challenge. This paper presents a method to implement such behavioral models into the RF engines.

TU3A-4 1450 – 1510Modeling Chaos in On-Chip Ultra-Wideband Chaotic OscillatorM. Park, J. Rodgers, D. Lathrop, University of Maryland at College Park, College Park, United StatesAn ultra-wideband on-chip chaotic oscillator is demonstrated. This consists of a Boolean chaotic oscillator and a source follower buffer. Frequency spectrum is widespread from DC to 300MHz. To model chaos in the circuit, CMOS inverter coupled with an electrostatic discharge protection circuit is designed and fabricated. In the numerical model, three nonlinearities such as ESD equivalent capacitance, high dynamic short current in the inverter, and transmission equivalent are included.

TU3A-5 1510 – 1530General Phase-Noise Analysis from the Variance of the Phase DeviationS. Sancho, A. Suarez, F. Ramirez, Universidad de Cantabria, Santander, SpainA method is presented for the calculation of phase noise, taking into account the nonlinearity in the phase de-viation and enabling an accurate prediction of the phase-noise spectrum at large frequency offset. The method analyzes the total phase noise at the particular observation node, considering the influence of the amplitude modulation due to the noise sources. The method is applied to a semi-analytical formulation, based on a reduced Jacobian matrix extracted from harmonic-balance simulation.

TU3B: Metamaterial Transmission-Line StructuresTuesday 19 June 2012

Time: 1350 – 1530 Room: 512ABEFChair: Victor Fouad Hanna, University of Paris Sorbonne

Co-Chair: Atsushi Sanada, Yamaguchi University

TU3B-1 1350 – 1410Balanced and Symmetric Design of Active Composite Right-/ Left-handed Transmission Line with GainK. Chang, Q. Tang, H. Xin, University of Arizona, Tucson, United StatesWe present a balanced and symmetric design of microwave composite right- / left-handed active metamaterial transmission lines incorporating gain devices. Our results show that by inserting a Ge tunnel diode at the unit cell level, the negative phase constant (i.e., left-handedness) and gain (negative attenuation constant) can be obtained simultaneously. By considering the parasitic effects of the diode, balanced and symmetric responses are achieved over a significant bandwidth.

TU3B-2 1410 – 1430Novel Even/Odd Mode-Based CRLH Unit CellsM. A. Othman1, A. D. Alwakil2, M. A. Shafee2, T. M. Abuelfadl1, A. M. Safwat2, 1Cairo University, Giza, Egypt, 2Ain Shams University, Cairo, EgyptTwo composite right/left handed (CRLH) unit cells based on conventional microstrip components that support even and odd modes are proposed. The cells consist of a microstrip line loaded, in one cell, by a slotted open-circuited shunt stub, and in the other one, by diagonally-connected coupled lines. The CRLH behavior of these cells is in-vestigated by circuit models and verified by EM simulations and measurements. The measurements show good agreement with theoretical predictions.

TU3B-3 1430 – 1450Hybrid Combination of Dual Band Isolation Circuits Based on Conventional and CRLH Transmission Lines for TriplexersH. Lee, T. Itoh, University of California at Los Angeles, Los Angeles, United StatesThis paper presents dual band isolation circuits based on conventional transmission lines (TLs) and Composite Right/Left Handed (CRLH) TLs. Combination of two dual band isolation networks using CRLH TLs and one dual band isolation network based on conventional TLs can form a triplexer. Since it is not necessary to modify filters and there is no limitation to choose filters in the design, commercial filters can be used for a triplexer. Also, the design process is simple and straightforward.

TU3B-4 1450 – 1510A Dual-Polarized Transmission-Line Metamaterial Unit CellM. Selvanayagam, G. V. Eleftheriades, University of Toronto, Toronto, United StatesVolumetric metamaterials are usually designed to work with an incident wave of a single polarization. In this paper we propose a new volumetric transmission-line metamaterial unit cell which supports two different polarizations. The proposed metamaterial is amenable to standard planar fabrication. We verify our unit cell through full-wave simulations by finding the one-dimensional dispersion relation of the unit cell. We also use a multiconductor transmission-line model to verify our results.

TU3B-5 1510 – 1530A Beam-Shifting Slab Implemented Using Printed, Tensor TL MetamaterialsG. Gok, A. Grbic, University of Michigan, Ann Arbor, United StatesThis paper presents the implementation of a beam-shifting slab (a transformation optics device) using tensor transmission-line (TL) metamaterials. The slab consists of printed unit cells exhibiting anisotropic effective mate-rial parameters, while the surrounding medium consists of printed isotropic unit cells.The results experimentally verify the anisotropic properties of tensor TL metamaterials and open new opportunities to design transformation optics devices at microwave frequencies.

TUESDAY TECHNICAL SESSIONS 1350 – 1530

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Microwaves without Borders:IMS has seen a strong technical presence from the Republic of Korea with over 40 papers submitted this year. The Seoul council is an active chapter of IEEE region 10.

Page 37: IMS2012 Program

IMS TU

ESDA

Y SESSIO

NS

› 34

IMS

TU3C: Space-Mapping-Based Modeling and Design Tuesday 19 June 2012

Time: 1350 – 1530 Room: 512CDGHChair: Jose E. Rayas-Sanchez, ITESO

Co-Chair: Arvind Sharma

TU3C-1 1350 – 1410An Enhanced Analytical Neuro-Space Mapping Method for Large-Signal Microwave Device ModelingL. Zhu, K. Liu, Q. Zhang, Y. Ma, B. Peng, Tianjin University, Tianjin, ChinaIn this paper, an advanced Neuro-Space Mapping (SM) modeling technique for nonlinear device modeling is pro-posed. The novelty of our work is to introduce a Neuro-SM model combining separate mappings for voltage and current and to derive analytical mapping representation to train the mapping neural networks to learn DC, small and large-signal data. Application examples on modeling MESFET devices in DC, combined DC . S-parameter and Harmonic balance (HB) simulation are also given in this paper.

TU3C-2 1410 – 1430Passivity Enforcement for Passive Component Modeling Subject to Variations of Geometrical Parameters Using Neural NetworksZ. Guo1, J. Gao2, Y. Cao1, Q. Zhang1, 1Carleton University, Ottawa, Canada, 2East China Normal University, Shanghai, ChinaA novel passivity enforcement technique for passive component modeling subject to variations of geometrical parameters is proposed using combined neural networks and rational functions. A constrained neural network training process to enforce passivity of Y-parameters is introduced. Once trained, the parametric model can provide accurate, fast and passive behavior of passive components for various values of geometrical variables within the model training range.

TU3C-3 1430 – 1450Robust Space Mapping Optimization Exploiting EM-Based Models with Adjoint SensitivitiesS. Koziel1, S. Ogurtsov1, J. W. Bandler2, Q. S. Cheng2, 1Reykjavik University, Reykjavik, Iceland, 2McMaster University, Hamilton, CanadaA robust space mapping algorithm for microwave design optimization is presented that utilizes cheap derivatives obtained through adjoint sensitivity. Adjoint sensitivities are used at each stage of the process: to improve the matching between a low- and high-fidelity models (parameter extraction), and to speed up the parameter extrac-tion and surrogate optimization processes. As a result, design can be performed at low cost in terms of the number of both high- and low-fidelity EM simulations.

TU3C-4 1450 – 1510A Space Mapping Schematic for Fast EM-Based Modeling and DesignQ. S. Cheng1, J. W. Bandler1, N. K. Nikolova1, S. Koziel2, 1McMaster University, Hamilton, Canada, 2Reykjavik University, Reykjavik, IcelandA simplified schematic is presented to implement fast and accurate space-mapping-based modeling and design. It can match a surrogate model with both fine model responses and approximated responses. The implementation allows us to study aspects of modeling, including sample selection, time cost and accuracy. A nominal design is obtained with selected models and verified through high-fidelity EM simulations. We demonstrate the procedure using a twelve-section H-plane filter problem.

TU3C-5 1510 – 1530CPU-Budget-Driven Automated Microwave Design Optimization Using Variable-Fidelity Electromag-netic SimulationsS. Koziel, S. Ogurtsov, Reykjavik University, Reykjavik, IcelandA robust technique for microwave design optimization is presented. It is based on variable-fidelity electromagnetic (EM) simulations. The algorithm automatically switches between models of different fidelity to account for the computational budget assumed for the design process. Additional enhancements of the algorithm include fre-quency scaling to reduce the misalignment between the models of different fidelity and the local response surface approximation to reduce the number of EM simulations.

TU3D: Novel Packaging Technology and TechniquesTuesday 19 June 2012

Time: 1350 – 1530 Room: 510BDChair: Morgan Chen, Huawei Technologies

Co-Chair: Zaher Bardai, IMN Epiphany

TU3D-1 1350 – 1410Test and Verification of Micro Coaxial Line Power Performance P. E. Ralston1, K. Vanhille1, A. Caba1, M. J. Oliver1, S. Raman2, 1Nuvotronics , Radford, United States, 2Virginia Tech, Blacksburg, United StatesThis paper presents the characterization of rectangular micro-coaxial transmission lines assembled in a high power test system. In addition to straight transmission lines, vertical solder transitions between stacked layers of rect-angular coax are presented. These test assemblies utilize standard integration techniques and components: wire bond and flip-chip transitions and edge coaxial connectors. Assemblies were tested at continuous wave power levels as high as 200 W at a frequency of 2 GHz.

TU3D-2 1410 – 1430Microwave Susceptor Design for Wafer Bonding ApplicationsA. Toossi, M. Daneshmand, D. Sameoto, University of Alberta, Edmonton, CanadaIn this paper we present a new approach in the design of microwave susceptors to develop a low-cost, controllable and rapid localized heating technique for wafer bonding. In this technique, a commercial microwave oven is used as microwave source due to its low cost and wide accessibility. The microwave oven is modeled and efficient mi-crowave susceptors are designed. Fabricated susceptors are tested inside a commercial microwave oven and show controllable rapid selective heating.

TU3D-3 1430 – 1450Wafer-Level BCB Cap Packaging of Integrated MEMS Switches with MMIC S. Seok, iEMN CNRS, Villeneuve d’Ascq, FranceThis paper presents a 28 µm high BCB cap packaging of MEMS switches integrated with MMIC and its electri-cal and mechanical effects to the packaged devices have been also investigated. The BCB cap packaging effect to microstrip line has been investigated by parameter extraction from the measured S-parameters before and after the packaging. Also, the packaged MEMS switch shows the insertion loss of 0.7 dB, the return loss of 25 dB and the isolation of 18 dB at 30 GHz.

TU3D-4 1450 – 1510Passives Partitioning for Single Package Single Chip SoC on 32nm RFCMOS Technology T. Kamgaing, V. R. Rao, Intel Corporation, Chandler, United StatesWe demonstrate that future system-on-chip with co-existing digital and RF circuits can be partitioned to have critical RF inductors/transformers on package and active devices and capacitors on silicon, which enables cost and form factor reduction as well as radio integration on low resistivity substrate. A WLAN bandpass filter has been designed and fabricated using 3D inductors on-package and capacitors on a 32nm silicon process. Experimental validation results are reported.

TU3D-5 1510 – 1530Efficient Modeling of Metal Fill Parasitic Capacitance in On-Chip Transmission LinesV. S. Shilimkar, S. G. Gaskill, A. Weisshaar, Oregon State University, Corvallis, United StatesWe present a general modeling methodology for metal fill parasitic capacitance in on-chip transmission lines. Our approach is based on reducing the problem complexity in all three dimensions. Typical speed-up is 16 fold. The maximum error in self and mutual capacitance is 6 % and 10 %, respectively over a wide range of parameters. The agreement with measurements is within 2.1 %. We predict the slow-wave factor of transmission line designs with 1.2 % error and Q degradation with 4 % error.

TUESDAY TECHNICAL SESSIONS 1350 – 1530

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Page 38: IMS2012 Program

IMS TU

ESDA

Y SESSIO

NS

› 35

IMS

TU3E: Globalization of Engineering Education and Research: Opportunities and Challenges

Tuesday 19 June 2012Time: 1350 – 1530 Room: 510AC

Chair: Magdy F. Iskander, University of HawaiiCo-Chair: Samir El-Ghazaly, University of Arkansas

TU3E-1 1350 – 1410Sixty years of Microwave Innovation, Transformation, and Globalization M. F. Iskander1, S. El-Ghazaly2, 1University of Hawaii, College of Engineering, Honolulu, United States, 2National Science Foundation, Arlington, United StatesIn this paper, we will start by reviewing innovation and key milestones in the development of the microwave technology, followed by transformation in the playing field, and the final section discusses reasons for an urgent call for global competence in the preparation of future microwave engineering workforce.

TU3E-2 1410 – 1430Global Engineering Education: What Difference does it Make?J. M. Grandin, University of Rhode Island, Wakefield , United StatesIn this paper, the author describes the findings of his study of fifteen representative graduates of the University of Rhode Island International Engineering Program (IEP). The IEP program enables the students to earn a language degree alongside their engineering curriculum and involves one year of immersion study and professional intern-ship abroad. The study seeks to define the long-term impact of the IEP experience on the lives and careers of its students.

TU3E-3 1430 – 1440Engineering Globalization: Implications for Engineering EducationR. Hira, Rochester Institute of Technology, Rochester, United StatesThere is strong evidence, based on news reports and supported by experts, that engineering work and tasks are being restructured and reallocated across different countries. Yet this significant transformation of the engineering enterprise hasn’t been explored systematically. This paper will summarize current trends inthe size, scope, and trajectory of engineering globalization. The implications of engineering globalization for engineering education will be explored based on these findings.

TU3E-4 1440 – 1500Globalization in Engineering Education: Current Challenges and OpportunitiesR. Cheville, NSF, Arlington, United StatesThe paper discusses challenges and opportunities in preparing students to work in globalized engineering work-force. There has been an increasing focus on the need for engineers to practice and think globally. However, there are still challenges in developing courses, curricula, and programs to address this need. Unlike technical engineer-ing preparation, “globalization” is difficult to operationalize, has few well-researched practices, and takes place in a changing socio-economic landscape.

TU3E-5 1500 – 1510Research, Education and Training and Advancing Technology for the Benefit of Humanity: An IEEE View P. W. Staecker, AMP M/A-CoM, Lexington, United StatesIEEE’s values and purpose have always been focused on advancing technology, while striving to maintain high-est standards of excellence in its community of practitioners and educators. In recent years, as the geographical boundaries between countries and continents have blurred, it has become increasingly clear that advances in the global sense require a thorough understanding of neighboring cultures and a corresponding development of trust and partnership, even in a competitive environment.

TU3E-6 1510 – 1520Changing the Charge of Engineering Education – Preparing Students for the Global Work Place Through an Integrated International Dual Degree Curriculum S. Berka, University of Rhode Island, Kingston, United StatesIn this paper, we review the five year dual degree program developed at the University of Rhode Island to address the globalization needs of Rhode Island companies. In this program, students simultaneously pursue a B.S. in an engineering discipline and a B.A. in Chinese, French, German, or Spanish. The 4th year of the study involves a one year-long immersion abroad for exposure to a foreign culture.

TU3E-7 1520 – 1530An Industry View of Industry/Academic Research CollaborationG. Peters, J. Wenstrand, R. Stancliff, T. Wu, Agilent Technologies, Santa Rosa, United StatesSociety benefits from collaboration of industry and centers of higher education. Collaboration is now taking place on a global scale. Fundamental advancements in a wide variety of areas benefit from partnership with indus-try leaders. Industry brings a deep understanding of market problems and a means to commercialize discovery. Academia provides deep technical knowledge and novel approaches (often cross-discipline) to problem-solving.

TU3F: Frequency Conversion and Control CircuitsTuesday 19 June 2012

Time: 1350 – 1510 Room: 511ADChair: Chinchun Meng, National Chiao Tung University

Co-Chair: Hiroshi Okazaki, NTT DOCOMO, INC.

TU3F-1 1350 – 1410A Low Voltage Low Power Highly Linear CMOS Quadrature Mixer Using Transconductance Cancella-tion TechniqueK. Wang1, K. Ma1, K. Yeo1, H. Zhang2, Z. Wang2, 1Nanyang Technological University, Singapore, Singapore, 2Southeast University, Nanjing, ChinaWe presents a quadrature mixer using transconductance cancellation technique for SDR applications in a 90nm CMOS technology. The negative value of gm’ in saturated pseudo differential transistor (PDT) is compensated by the positive value of PDT in subthreshold region. The IIP3 is 7.9~12.3dBm in 0.3~6GHz. It consumes a dc power of only 3.8 mW under 1V supply. Compared to the other state-of-the-art CMOS mixer, we achieved highest IIP3/PDC and FOM, with smallest chip area.

TU3F-2 1410 – 1430A New Doubly Balanced Sub-harmonically Miniature Mixer Using Dual Marchand Balun in CMOS 0.18-um TechnologyC. Kuo, H. Lu, H. Wang, National Taiwan University, Taipei, TaiwanIn this paper, a new doubly balanced sub- harmonically resistive mixer topology is presented. In this new topology,the twice of LO frequency signals are cancelled due to differential property. This circuit has a measured conversion loss of 7 dB of RF frequency from 25 to 40 GHz, and 2LO-to-RF isolation better than 35 dB, with a chip size 450 × 450 um2. To the author’s knowledge, this mixer occupies the smallest size (lamda/13)2 with good performance for MMW application.

TU3F-3 1430 – 1450Passive Subharmonic Generation Using LC-OscillatorsZ. Safarian, H. Hashemi, University of Southern California, Los Angeles, United StatesThis paper presents a passive subharmonic generation and frequency downconversion method using a memoryless nonlinear circuit coupled to a linear passive resonator. The frequency downconverter can be used to transfer the en-ergy from a high frequency signal to a lower frequency without requiring any DC power supply. In the synchronous mode, the passive downconverter acts as a self-powered frequency divider.

TU3F-4 1450 – 1510A 50MHz-16GHz Low Distortion SOI Voltage Controlled Attenuator IC with IIP3 +38dBm and Control Range of 25dBE. Franzwa, A. Ellis, B. Nelson, M. Granger-Jones, G. Valenti, RFMD, San Jose, United StatesThis paper discusses a 50MHz-16GHz wideband, low distortion, voltage controlled attenuator (VCA) on silicon on insulator (SOI) CMOS flip chip technology. The VCA design is based on passive FET absorptive attenuator structures but uses stacked FET techniques to dramatically improve the distortion characteristics and signal handling capabil-ity. The VCA achieves 25dB attenuation range, IIP3 of +38dBm and IP1dB 25dBm up to 16GHz. The insertion loss at 16GHz is 5.0dB at minimum attenuation.

TUESDAY TECHNICAL SESSIONS 1350 – 1530

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Page 39: IMS2012 Program

IMS TU

ESDA

Y SESSIO

NS

› 36

IMS

TU3G: SiGe/CMOS for Phased Array Applications: A World Perspective Tuesday 19 June 2012

Time: 1350 – 1530 Room: 511BEChair: Gabriel M. Rebeiz, UCSD

Co-Chair: Mark J. Rosker, Raytheon

TU3G-1 1350 – 1410An Application of Advanced SiGe to Millimeter-Wave Phased ArraysH. B. Wallace, DARPA, Arlington, United StatesThis talk will discuss a millimeter-wave defense applications of SiGe-based phased arrays. The unique advantage of this technology is its ability to deliver large wafers with high yield and low-cost, coupled with acceptable RF per-formance such as NF and output power for 94 GHz applications. Also, the entire control circuitry can be integrated on the same chip using the SiGe BiCMOS process. System-level considerations will be described as the basis for the suitability of the technology.

TU3G-2 1410 – 1430SiGe System on a Chip for Radar ApplicationsM. S. Hauhe, G. M. Sakamoto, M. A. Teshiba, R. Tayrani, M. Stading, A. Von, T. C. Cisco, Raytheon, El Segundo, United StatesA System on a chip is presented with the end application for an Active Array Electronically Scanned Antenna, AESA. The design is implemented using IBM’s SiGe 5HP process. The device operates across 7 to 11 GHz in both transmit and receive and maintains a gain flatness of 0.5 dB across the band in transmit and has 12 dB of linear gain in receive. The device also provides an interface and logic control for advanced ASEA functionality such as fail safe operation during power interrupt.

TU3G-3 1430 – 1450Advances in Silicon Phased-Array Receiver IC’sF. E. van Vliet1, E. A. Klumperink2, M. C. Soer2, K. Garakoui2, L. de Boer1, P. de Hek1, W. de Heij3, B. Nauta2, 1TNO, Den Haag, Netherlands, 2University of Twente, Enschede, Netherlands, 3Thales, Hengelo, Netherlands(Bi-)CMOS implementations of three phased-array receivers will be presented: 1) A 4-channel receiver exploiting switches and capacitors; 2) a 4-channel gm-RC implementation of a true-time delay beamforming receiver with more than 1.5 GHz bandwidth and 3) a SiGe implementation of an integrated receiver targeting a digital beam-forming phased-array, where dynamic range and flexibility of use were the main driving factors.

TU3G-4 1450 – 1510CMOS-based Bi-directional T/R Chipsets for Phased Array AntennaM. Cho1, S. Yoon1, S. Sim2, L. Jeon2, J. Kim1, 1Kwangwoon University, Seoul, Republic of Korea, 2RFcore, Seongnam-si, Republic of KoreaThis paper presents the bi-directional CMOS-based T/R circuits. X-band bi-directional amplifier shows the gain of 12 dB at 8-12 GHz. X-band 5-bit phase shifter shows the RMS phase error of 2.6o, and the amplitude error of 0.5 dB at 8-12 GHz. 9 bit TTD is developed to enable the time delay up to 198 ps. The distributed bi-directional amplifier shows the gain of 8.5 dB and the P1dB of 7 dBm at 8-15 GHz. The 6-bit attenuator with the maximum attenuation of 31 dB shows the insertion loss of 13 dB.

TU3G-5 1510 – 1520A 16-Element Transmit/Receive Q-Band Electronically Steerable Subarray TileJ. Hacker1, C. Hillman1, A. Papavasiliou1, C. G. Kim4, A. Abbaspour-Tamijani3, C. Y. Kim2, D. W. Kang2, G. Rebeiz2, 1Teledyne, Thousand Oaks, United States, 2University of California at San Diego, La Jolla, United States, 3Freeform Wave Technologies, Scottsdale, United States, 4Arizona State University, Tempe, United StatesWe report on a 44-GHz transceiver array architecture that integrates all required functionality for rf beamforming and radiation using a silicon digital control interface and rf beamforming IC, with wide-scan apertures, into a compact, rugged, micromachined three-dimensional structure. Embedded in the array, and key to the success of the architecture, is an advanced Silicon-Germanium transmit-receiver beamformer chip that integrates 16 chan-nels on the same chip.

TU3G-6 1520 – 1530Built-In Self Test Systems for Silicon-Based Phased ArraysO. Inac1, S. Kim1, D. Shin1, C. Kim2, G. M. Rebeiz1, 1University of California, San Diego, La Jolla, United States, 2Chun-gnam National University, Daejeon, Republic of KoreaPhased array silicon chips with built-in-self-test (BIST) have been demonstrated at X-band and W-band using in-tegrated couplers and on-chip receiver circuitry. Measurements indicate that BIST results in accurate phase and gain measurements for every channel on the chip, and allows the measurement of an on-chip array factor, all at microsecond speeds. It is expected that BIST functionality will greatly reduce the cost of phased array testing and allow for on-site calibration and control.

TU3H: Novel Planar Filter StructuresTuesday 19 June 2012

Time: 1350 – 1520 Room: 511CFChair: Soeren Peik, Bremen University of Applied Science

Co-Chair: Dong (Winter) Yan

TU3H-1 1350 – 1410Wideband Balun Bandpass Filter Based on a Differential CircuitW. Feng, W. Che, Nanjing University of Science & Technology, Nanjing, ChinaA wideband balun filter based on a symmetric four-port differential circuit is proposed. A pair of open coupled lines is used to realize DC suppression and in-band balance improvement. The bandwidth can be easily adjusted by changing the characteristic impedance of transmission lines in the differential circuit. Excellent in-band balance performance is achieved. A wideband prototype with center frequency 3.75 GHz and 3-dB bandwidth 33.8% is designed and fabricated for demonstration.

TU3H-2 1410 – 1430Transformed Radial Stub Cell Embedded Resonator for High Performance Filter Applications K. Ma, S. Mou, K. Wang, M. Nagarajan, K. Yeo, Nanyang Technological University, Singapore, SingaporeAbstract— A bandpass filter topology designed based on the embedded transformed radial stub cells is proposed for the first time. The implemented filter with center frequency fc=1.73 GHz and bandwidth of 200MHz (fractional bandwidth of 1.2%) using transformed radial stub cell embedding demonstrates more than 45dB rejection up to 10.4fc i.e. 18 GHz. The measured group delay is around 3.6~4.3 nS. The sizes is only 5.4Cm by 3.3 Cm.

TU3H-3 1430 – 1450Ultra-Compact X-Band SIW Filter in LTCC Technology Using High Permittivity Substrate for a Space ApplicationJ. Garreau1, B. Potelon1, E. Rius1, J. F. Favennec1, C. Quendo1, C. Caille2, H. Leblond2, J. C. Azzara2, L. Raynaud2, 1Lab-STICC, Brest, France, 2Thales Alenia Space, Toulouse, FranceSubstrate Integrated Waveguide provides a better Q-factor compared with the other planar techniques. Its integra-tion capabilities and fabrication cost are other benefits that make it attractive. But SIW filters are bulkier than their equivalents achieved in microstrip technology. This paper reports on the association of LTCC technology to stack cavities and high permittivity substrate to reduce size. The solution is tested on a X-band-dedicated filter with specifications for space application.

TU3H-4 1450 – 1500New Approach to Multi-stage Directional Filter Based on Band-Reject Filter DesignJ. S. Sun1, H. Lobato-Morales2, A. Corona-Chavez2, T. Itoh1, 1University of California Los Angeles, Los Angeles, United States, 2INAOE, Puebla, MexicoA new method for realizing multi-stage directional filter is proposed. Instead of using one-stage directional filter as the building block, this approach relies on stand-alone band-reject filters. This allows us to synthesize the direc-tional filter responses more precisely based on the rich legacy from the filter community. A three-stage directional filter prototype with elliptic response is developed. The measurement and simulation agrees well, which validates the concept.

TU3H-5 1500 – 1510Compact Diplexer with Edge-Coupled and Nonbianisotropic Split-Ring ResonatorsA. Garcia-Lamperez1, R. Gomez-Garcia2, M. Salazar-Palma1, 1Universidad Carlos III de Madrid, Leganés, Spain, 2Universidad de Alcalá, Alcalá de Henares, SpainA microstrip 6th-order compact diplexer formed by SRRs coupled between them and with the ports, with no ad-ditional junction structure, is presented. The central element, connected to the common port, is a conventional SRR that shows dual band behaviour with a central transmission zero. Each first order band corresponds to one band of the diplexer. An increase up to third order and the isolation between channels are provided by two single-band nonbianisotropic SRRs, one for each channel.

TU3H-7 1510 – 1520Novel Substrate Integrated Waveguide Bandpass Filter with Broadside-Coupled Complementary Split Ring ResonatorsL. Huang1, I. D. Robertson1, N. Yuan2, J. Huang2, 1University of Leeds, Leeds, United Kingdom, 2National University of Defense Technology, Changsha, ChinaA novel substrate integrated waveguide (SIW) bandpass filter employing integrated broadside-coupled comple-mentary split-ring resonator (BC-CSRR) pairs is presented. It is shown the proposed resonator can be miniaturized by simply using a thin substrate. The proposed filter operates below the cutoff frequency of the SIW and has the advantages of compact size, high selectivity, easy fabrication and integration with other circuits. The measured results agree well with the simulations.

TUESDAY TECHNICAL SESSIONS 1350 – 1530

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Page 40: IMS2012 Program

IMS TU

ESDA

Y SESSIO

NS

› 37

IMS

TU4A: New Modeling and Simulation Techniques for Periodic StructuresTuesday 19 June 2012

Time: 1600 – 1720 Room: 513ABCChair: Jan Machac, Czech Tech. Univ. in PragueCo-Chair: Francisco Mesa, University of Seville

TU4A-1 1600 – 1620Spectral-Impulse-Response Approach for Analyzing the Aperiodic Excitation of a Periodic Diffraction GratingM. Memarian, G. V. Eleftheriades, University of Toronto , Toronto, CanadaIn this paper we present a method to solve the aperiodic excitation of a periodic diffraction grating. Expressions are derived for the scattered field due to a point source excitation, thus determining the aperiodic Green’s function for both field polarizations. Spectral techniques, and the introduction of a Spectral Impulse Response (SIR) are used to find the scattered spectrum. The theoretical model is validated against a full-wave field simulator at different field regions.

TU4A-2 1620 – 1640A Methodology for Expedient Analysis of the Impact of Disorder in Periodic WaveguidesJ. S. Ochoa, A. C. Cangellaris, University of Illinois at Urbana Champaign, Urbana, United StatesAn expedient methodology is presented for the predictive analysis of the impact of statistical disorder on the elec-tromagnetic attributes of periodic waveguides. The proposed methodology makes use of ideas from the Anderson localization theory to derive closed-form expressions for the calculation of an effective exponential decay ratio that quantifies the impact of periodicity disorder on the transmission properties of the waveguide.

TU4A-3 1640 – 1700Fully Analytical Circuit-like Approach for the TE Scattering by Narrow-Slit Printed GratingsR. Rosriguez-Berral1, F. Mesa1, F. Medina2, 1University of Seville, Seville, Spain, 2University of Seville, Seville, SpainA fully analytical solution for the scattering of a uniform TE polarized plane wave by an infinite periodic printed array of narrow slits is provided in this contribution. The model is based on some simplifications made on the formulas arising from a rigorous full-wave formulation. The present approach gives the topology of the transverse equivalent network as well as closed-form expressions for all its components, and overall very accurate results.

TU4A-4 1700 – 1720Analytical Modeling of a Printed-Circuit Tensor Impedance Surface A. M. Patel, A. Grbic, University of Michigan, Ann Arbor, United StatesAnalysis of a printed-circuit tensor impedance surface is presented. It consists of a periodic metallic cladding over a grounded dielectric substrate. It is analytically modeled as a tensor sheet impedance over a grounded dielectric substrate. A method for extracting the sheet impedance of the patterned metallic cladding using a full-wave solver is reported. By combining this method with the dispersion equation, the dispersion characteristics of the structure can be analytically predicted.

TU4B: Coupled Multi-Physics Modeling of High-Power and High-Frequency Electronic Devices

Tuesday 19 June 2012Time: 1600 – 1720 Room: 512ABEF

Chair: Peter H. AaenCo-Chair: John Wood

TU4B-1 1600 – 1620Process-Orientated Physics-Based Modeling of Microwave Power Transistors: Small- and Large-Signal CharacterizationJ. P. Everett1, M. J. Kearney1, C. M. Snowden1, H. Rueda2, E. M. Johnson2, P. H. Aaen2, J. Wood2, 1University of Surrey, Guildford, United Kingdom, 2Freescale Semiconductor, Tempe, United StatesThe coupling between charge transport, heat and energy flow required to model high frequency power devices is developed in the context of a computationally efficient physics-based model, which has been successfully ap-plied to microwave laterally diffused MOS transistors. The accurate prediction of small- and large-signal microwave characteristics, and the physical insight gained, can be used in the process-orientated optimization and process sensitivity analysis of LDMOS power FETs.

TU4B-2 1620 – 1640Multi-Physics Modeling of High-Power Microwave TransistorsP. H. Aaen1, J. Wood1, D. Bridges1, L. Zhang1, E. M. Johnson1, T. Barbieri1, J. A. Pla1, C. M. Snowden2, J. P. Everett2, M. J. Kearney2, 1Freescale Semiconductor, Tempe, United States, 2University of Surrey, Guildford, United KingdomWe present a multiphysics approach for the simulation of high-power microwave transistors in which electromag-netic, thermal, and nonlinear transistor models are linked together within a harmonic-balance circuit simulator. The effects of three different gate bond-pad metallizations on the transistor efficiency are studied on a 102-mm packaged transistor. Spatial distribution plots of the drain efficiency, and time-domain current and voltage provide a unique insight into the device operation.

TU4B-3 1640 – 1700Millimeter-Wave Power Amplifier Circuit-Device Simulations Through Coupled Harmonic Balance - Monte Carlo Particle-Based Device SimulatorD. Guerra, D. K. Ferry, M. Saraniti, S. M. Goodnick, Arizona State University, Tempe, United StatesWe describe the large-signal characterization of mm-wave FET power amplifiers with high-Q matching network performed through full band Monte Carlo particle-based device simulations self-consistently coupled with a Har-monic Balance frequency domain circuit solver. Such circuit-device simulations allow to include the effect of the matching network as well as parasitic elements in the large-signal characterization of mm-wave FETs.

TU4B-4 1700 – 1720On the Design of Package-Integrated RF High-Power AmplifiersD. A. Calvillo-Cortes1, K. Shi2, M. de Langen2, F. van Rijs2, L. C. de Vreede1, 1Delft University of Technology, Delft, Netherlands, 2NXP Semiconductors, Nijmegen, NetherlandsFor wireless infrastructure applications, package-integrated power amplifiers are an appealing approach to achieve improved RF performance. This work discusses the specific design aspects for low-cost package-integrated PAs and the implementation deviations and tolerances when using bondwires. Two class-E PAs with bondwire-based matching networks are evaluated for their predicted versus realized performance. Their high performance shows the potential for realizing ultra-compact PAs modules.

TUESDAY TECHNICAL SESSIONS 1600 – 1720

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Microwaves without Borders:With around 80 technical paper submissions, Germany has signaled a strong presence in IMS making it rank as the fifth largest contributor to IMS this year. The German chapter which is part of the IEEE region 8 was founded in 1984 and is currently chaired by Prof. Dr. Ing. Georg Böck, from Technische Universität Berlin.

Page 41: IMS2012 Program

IMS TU

ESDA

Y SESSIO

NS

› 38

IMS

TU4C: Advances in Broadband Communication SystemsTuesday 19 June 2012

Time: 1600 – 1720 Room: 512CDGHChair: Rudy Emrick, Orbital Sciences

Co-Chair: Reinhard Knoechel, University of Kiel

TU4C-1 1600 – 1620A Low-Power SiGe Impulse Radio-UWB Transmitter with Biphase Modulation FunctionD. Lin, A. Trasser, H. Schumacher, Universität Ulm, Ulm, GermanyIn this paper a compact and low-power IR-UWB transmitter is presented. The transmitter is based on a cross-coupled LC oscillator core which is transiently turned on and off by current spikes generated on-chip. A simple phase control circuit enables biphase modulation by controlling the start-up phase condition of the oscillator. The UWB transmitter has a low power consumption of 9.8 mW when biphase modulated with a 200 MHz impulse sequence. The transmitter IC occupies an area of 0.3 mm2.

TU4C-2 1620 – 1640Synthesis of Angle Modulated Ultra Wideband Signals Based on Regenerative SamplingC. Carlowitz, M. Vossiek, Universitat Erlangen-Nurnberg, Erlangen, GermanyFor efficient low power UWB communication and ranging systems, fine grained control of the UWB signal spectral properties is desirable to maximize throughput and SNR at a given power budget and spectral mask. In this paper, a completely novel concept for the synthesis of UWB signals based on regenerative sampling is introduced that brings complex pulse angle modulation to UWB signals while at the same time retaining the feasibility of low power implementation using low complexity hardware.

TU4C-3 1640 – 1700220 GHz Wireless Data Transmission Experiments up to 30 Gbit/sJ. Antes1, S. König1, A. Leuther2, H. Massler2, J. Leuthold1, O. Ambacher2, I. Kallfass2, 1Karlsruhe Institute of Technol-ogy, Karlsruhe, Germany, 2Fraunhofer Institute for Applied Solid State Physics, Freiburg, GermanyA wireless data link using active MMIC components at a carrier frequency of 220 GHz is supporting record data rates of up to 30 Gbit/s. The paper presents receiver sensitivity measurements for data rates up to 30 Gbit/s and wireless data transmission experiments for distances up to 20 m. For this distance a 2^(31)−1 bit pattern with a data rate of 15 Gbit/s was transmitted. For a transmission distance of 10 m it was possible to transmit a 25 Gbit/s signal.

TU4C-4 1700 – 1720Aircraft Cabin-integrated 57-64GHz WLAN Communication SystemV. Ziegler1, B. Schulte1, J. Sabater4, S. Bovelli1, J. Kunisch2, K. Maulwurf2, M. Martinez-Vazquez2, C. Oikonomopou-los-Zachos2, S. Glisic3, M. Ehrig3, E. Grass3, 1EADS , Ottobrunn, Germany, 2IMST GmbH, Kamp-Lintfort, Germany, 3IHP GmbH, Frankfurt (Oder), Germany, 4AED Engineering GmbH, Munich, GermanyThis paper reports for the first time on a novel 57-64GHz Point-to-Multipoint WLAN system, which is completely installed into an aircraft cabin mock-up. All the system components, from the RF-chip-set, LTCC-integrated anten-nas and modules, to the baseband and application software were specifically developed. In addition, the integra-tion of these system components into a passenger aircraft cabin mock-up (ceiling and seat-integration) as well as successful system tests were carried out.

TU4D: Terahertz ImagingTuesday 19 June 2012

Time: 1600 – 1720 Room: 510BDChair: Goutam Chattopadhyay

Co-Chair: Jon B. Hacker

TU4D-1 1600 – 1620Carrier Noise-Limited Penetration in THz Radar ImagingK. B. Cooper1, J. F. Trabert2, R. J. Dengler1, 1NASA Jet Propulsion Laboratory, Pasadena, United States, 2Technischen Universität Ilmenau, Ilmenau, GermanyWe investigate the limitations of terahertz (THz) radar imaging in the regime of weak penetration, and present evidence that noise carried by the radar signal itself, rather than the receiver’s thermal noise floor, is the limiting factor for imaging through thick clothing. This means that higher power sources or lower noise figure receivers at 675 GHz will not improve radar penetration. Rather, efforts in this direction should focus on lowering the THz source’s phase noise.

TU4D-2 1620 – 1640Terahertz Detection and Coherent Imaging from 0.2 to 4.3 THz with Silicon CMOS Field-Effect TransistorsA. Lisauskas1, S. Boppel1, D. Seliuta2, L. Minkevicius2, I. Kasalynas2, G. Valusis2, V. Krozer1, H. G. Roskos1, 1Goethe Universität, Frankfurt am Main, Germany, 2Semiconductor Physics Institute, Vilnius, LithuaniaWe investigate patch-antenna-coupled Si MOSFETs as plasmonic detectors of THz radiation. We explore detection at frequencies up to 4.3 THz searching for fundamental limits of the detection principle. We address the issue of enhanced sensitivity by a current bias and conclude that the increased noise prevents an improved signal-to-noise ratio. We then simulate a 100x100-pixel heterodyne camera with a few detectors and show that real-time opera-tion of a camera is possible with 30 dB dynamic range.

TU4D-3 1640 – 1700Developments Towards Real-time Active and Passive Submillimetre-Wave Imaging for Security ApplicationsA. Luukanen1, J. Ala-Laurinaho2, D. Gomes-Martins1, M. Grönholm1, J. Häkli1, P. Koivisto1, M. Leivo1, S. Mäkelä2, P. Pursula1, M. Sipilä1, J. Säily1, A. Tamminen2, H. Toivanen1, R. Tuovinen1, A. Rautiainen1, A. Räisänen2, P. Rantakari1, 1VTT Technical Research Centre of Finland, Espoo, Finland, 2MilliLab and SMARAD, Aalto University, Espoo, FinlandBoth active and passive submm-wave stand-off imaging systems are under development for security imaging ap-plications. The drivers for operation at higher frequencies have been the desire for better image resolution, smaller optics package, reduced susceptibility to specular reflections from the human skin and capability for stand-off imagery. In this paper we summarise our efforts which could eventually pave the way for fast, real time, high-resolution imaging systems for the submm-wave range.

TU4D-4 1700 – 1720Image Plane Coded Aperture Detectors for THz ImagingO. Furxhi, E. L. Jacobs, The University of Memphis, Memphis, United StatesImage plane coded aperture (IPCA) detectors consist of a single detector, a spatial light modulator, and a lens or horn that collects the radiation. The IPCA detector is placed in the image plane of an imager and makes linear mea-surements on the image by modulating the signal spatially and/or temporally in amplitude, frequency, phase, or polarization. The image is then reconstructed computationally. We present an IPCA detector for Terahertz imaging and discuss other possible implementations.

TUESDAY TECHNICAL SESSIONS 1600 – 1720

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Page 42: IMS2012 Program

IMS TU

ESDA

Y SESSIO

NS

› 39

IMS

TU4E: Advances in PLL and Oscillator TechnologyTuesday 19 June 2012

Time: 1600 – 1720 Room: 510ACChair: Bert Henderson, Cobham Sensor Systems

Co-Chair: Scott Wetenkamp, SCEAN

TU4E-1 1600 – 1620Load Independent Bulk-Coupled Low Power Quadrature LC VCO P. Liu1, S. P. Sah1, J. Jung1, P. Upadhyaya2, D. Heo1, 1Washington State University, Pullman, United States, 2Xilinx, San Jose, United StatesA novel low voltage bulk-coupled CMOS LC quadrature voltage controlled oscillator (QVCO) implemented in a standard 0.18 um BiCMOS process is presented in this paper. Quadrature signals are achieved by using injection of output signals of independent complementary LC VCOs into body terminal of each cross-coupled pair. Tapped capacitor technique is used to lower phase noise and achieve load independence. The results obtained are among the best compared to recently published QVCOs.

TU4E-2 1620 – 1630A 65-nm CMOS 250uW Quadrature LO Generation CircuitC. Bryant, H. Sjöland, Lund University, Lund, SwedenThis paper presents a quadrature LO generation circuit with ultra-low power consumption. Apart from applications such as such as sensor networks etc., we show that it could even be used as part of a secondary WCDMA receiver, since most of the time the signal conditions are significantly relaxed from the worst case scenario. Consisting of an oscillator and frequency divider, the circuit, manufactured in 65nm CMOS, consumes less than 250μW from a 0.65V supply while operating in the 2.14GHz band.

TU4E-3 1630 – 1640A Dual-band Oscillator with Reconfigurable Cavity-Backed Complementary Split-Ring ResonatorY. Dong, T. Itoh, University of California at Los Angeles, Los Angeles, United StatesA novel C-band low phase noise dual-band oscillator using a reconfigurable cavity-backed CSRR resonator is pro-posed and developed. The resonator consists of a PIN diode switch and a CSRR resonator embedded in an SIW cav-ity. It is able to provide two different resonance frequencies by selecting different diode modes. Measured results show that the proposed oscillator is able to operate at 2.675 and 3.77 GHz, respectively, with a phase noise of 105.5 and 99.6 dBc/Hz at a 100 KHz offset.

TU4E-4 1640 – 1700A Low Noise Multi-PFD PLL with Timing Shift CircuitK. Tsutsumi, Y. Takahashi, M. Komaki, E. Taniguchi, M. Shimozawa, Mitsubishi Electric Corporation, Kamakura, JapanThe proposed PLL utilize parallel circuit architecture to improve SNR of the circuit. To enhance the effect of reducing noise, timing shift circuit is proposed. The proposed PLL is demonstrated using a custom 0.18um SiGe-BiCMOS integrated circuit. The experimental results show the effectiveness of noise-reduction of the proposed circuit. The normalized PLL phase noise floor of -237.7dBc/Hz is the lowest value among the reported PLL-ICs.

TU4E-5 1700 – 1720Microdegree Frequency and Phase Difference Control Using Fractional-N PLL SynthesizersB. R. Gray1, M. Masood1, J. Galloway2, R. Caplan2, S. Kenney1, 1Georgia Institute of Technology, Atlanta, United States, 2Silicon Creations, Suwanee, United StatesTwo independently programmable on-chip delta-sigma fractional-N phased-locked loop (PLL) synthesizers were developed in 65 nm CMOS to demonstrate a millidegree phase shifter. Both PLLs use a 24 bit fractional modula-tor, thus a theoretical phase shift as small as 21 microdegrees is possible. Due to limitations in the noise floor at microwave frequencies, data was collected at postdivided frequencies 50 MHz and 400 MHz resulting in a best case measured phase step of 21 millidegrees at 50 MHz.

TU4F: Power Harvesting Related to Communication SystemsTuesday 19 June 2012

Time: 1600 – 1720 Room: 511ADChair: Zoya Popovic, University of Colorado

Co-Chair: Manos Tentzeris, Georgia Tech

TU4F-1 1600 – 1620Improving Wireless Power Transmission Efficiency Using Chaotic WaveformsA. Collado, A. Georgiadis, Centre Tecnologic de Telecomunicacions de Catalunya, Castelldefels, SpainThe use of chaotic signals as an optimal source for wireless power transmission as well as electromagnetic energy harvesting is proposed. The improved performance of rectifier circuits, in terms of higher RF to DC conversion effi-ciency, when using chaotic signals in comparison to one-tone signals is demonstrated. A 433MHz chaotic generator and a rectifier circuit are designed and implemented in order to demonstrate the improved efficiency of the system when using chaotic waveforms.

TU4F-2 1620 – 1640A Battery-Less, Energy Harvesting Device for Long Range Scavenging of Wireless Power from Ter-restrial TV BroadcastsR. J. Vyas1, H. Nishimoto2, M. Tentzeris1, Y. Kawahara2, T. Asami2, 1Georgia Institute of Technology, Atlanta, United States, 2University of Tokyo, Tokyo, JapanUse of Japanese Integrated Services Digital Broadcasting Terrestrial (ISDB-T) standard has resulted in broadcast of perpetually on, wireless digital TV signals over the air at wider bandwidths in UHF bands for smart phones & video-on-demand. This paper presents a unique energy harvesting prototype capable of scavenging wireless power from such broadcasts and well capable of powering on electronics operating at 3V from wireless TV signals for limited duty cycle at distance of 6.5km from source.

TU4F-3 1640 – 1650Self-Biased Self-Oscillating Mixing Receiver using Metamaterial-Based SIW Dual-Band AntennaC. M. Wu, T. Itoh, University of California at Los Angeles, Los Angeles, United StatesA novel receiver consisting of both “self-biased” and “self-oscillating mixing” schemes is proposed. The receiver is self-biased since it does not require an external DC bias from a power supply but rather the DC voltage is provided through the rectifier branch of the receiver, which converts the received RF power to DC; the receiver is also inte-grated with a self-oscillating mixer so that it does not require an external LO source that is usually necessary in a mixer circuit.

TU4F-4 1650 – 1700A Novel Resonator for Simultaneous Wireless Power Tranfer and Near Field Magnetic Communica-tionsM. Dionigi, M. Mongiardo, Università di Perugia, Perugia, ItalyOn one hand resonant Wireless Power Transfer (WPT) can be realized by using resonant coils coupled via their magnetic fields; on the other hand Near-Field Magnetic Communications (NFMC) are currently receiving considerable attention for several possible applications. We present a novel structure that can be used for both WPT and NFMC; this structure shows a dual band transmission channel thus enabling simultaneous transmis-sion of power and data.

TU4F-5 1700 – 1710Wireless Power Transfer Versus Wireless Information TransferT. K. Sarkar1, E. P. Caspers1, M. Salazar Plama2, M. A. Lagunas3, 1Syracuse University, Dewitt, United States, 2Univer-sidad Carlos III de Madrid, Madrid, Spain, 3CTTC, Castelldefels, SpainCurrently, there is a great need for power harvesting but also in many cases it is necessary to send information along with power over the same channel like in a RFID scenario. The goal of this paper is to illustrate by using a set of dipole transmit/receive antennas that these objectives of simultaneous power transfer and information transfer are contradictory and a balance must be reached for the optimum operation.

TU4F-6 1710 – 1720Wireless Charging System of Mobile Handset Using Metamaterial-Based Cavity ResonatorC. Liou, C. Kuo, M. Lee, S. Mao, National Taipei University of Technology, Taipei, TaiwanA metamterial-based cavity resonator using artificial magnetic conductor surfaces is presented and applied to re-alize a wireless charging system of mobile handset. This wireless charging system demonstrates the advantages of broad bandwidth, small size, long transmitting range, high transferring efficiency and EMI-free feature. The holistic architecture of wireless charging system for a smartphone is established to experimentally validate the usefulness of the metamaterial cavity resonator.

TUESDAY TECHNICAL SESSIONS 1600 – 1720

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Page 43: IMS2012 Program

IMS TU

ESDA

Y SESSIO

NS

› 40

IMS

TU4G: Active Arrays and Power CombinersTuesday 19 June 2012

Time: 1600 – 1720 Room: 511BEChair: Goutam Chattopadhyay, JPL

Co-Chair: Chris Rodenbeck, Sandia National Laboratories

TU4G-1 1600 – 1620An Active CMOS One-to-four Power Splitter for 60-GHz Phased-Array TransmitterI. Chang, J. Kao, J. Kuo, K. Lin, National Taiwan University, Taipei, TaiwanThis paper presents a V-band active one-to-four power splitter in 90-nm LP CMOS process for phased-array trans-mitter. This power splitter demonstrates a gain which is better than 0 dB from 57 to 65 GHz for each RF path. Besides, 0.75-dB gain imbalance and 4.3° phase imbalance are achieved. The isolation between two adjacent out-put ports is better than 15.2 dB, and the measured OP1dB is better than -2.67 dBm at 60 GHz while the dc power consumption is 40 mW with 2-V supply voltage.

TU4G-2 1620 – 1640Polarization Friendly Retrodirective Antenna ArrayJ. H. Choi, Y. Dong , J. S. Sun, T. Itoh, University of California at Los Angeles, Los Angeles, United StatesA polarization friendly rectrodirective array (RDA) is presented. The proposed RDA can receive any polarization and always retransmit the signal back to the interrogator with the predictable (orthogonal) polarization. This added feature can mitigate polarization mismatch loss and provide a more secure communication link. The proposed polarization friendly RDA shows excellent retrodirectivity for various received polarization states.

TU4G-3 1640 – 1700Active Substrate Integrated Waveguide (SIW) Antenna with Phase-Shifterless Beam-Scanning CapabilitiesF. Giuppi1, A. Georgiadis2, A. Collado2, M. Bozzi1, 1University of Pavia, Pavia, Italy, 2CTTC, Castelldefels, SpainA novel active antenna array in SIW technology is designed and demonstrated. It is based on cavity-backed anten-na oscillators, and the coupling is obtained by properly connecting the cavities. Accurate control of amplitude and phase of the coupling is achieved. Beam-scanning capabilities without using any phase shifter are demonstrated. Based on the dynamic properties of coupled oscillator arrays, a beam scan of +/-20 deg is obtained by tuning the oscillation-frequency control voltages.

TU4G-4 1700 – 1710Injection-Controlled Charge Pump and Phase-Locked Loop Array for Beam-Scanning in Millimeter-wave Automotive RadarM. Y. Chia, S. Leong, S. Woon, A. Mulya, Institute for Infocomm Research, Singapore, SingaporeAn injection-controlled method for charge pumped phase-locked loop (CP-PLL) has been developed for beam scanning in a 76-77 GHz automotive radar. It exploits an external current source with the CP-PLL to control the voltage controlled oscillator in a production-ready SiGe front-end transceiver to create phase shift. A new 76 to 77 GHz phased array system has been implemented using this technique. The results obtained from measurements and computer simulations validate its performance.

TU4G-5 1710 – 1720Simultaneous Transmit and Receive with a Small Planar ArrayA. T. Wegener, W. J. Chappell, Purdue University, West Lafayette, United StatesA small three element linear planar array designed to have high isolation between elements for Simultaneous Transmit And Receive (STAR) is demonstrated. High isolation is achieved through use of tunable resonators that control near fields of the array. By transmitting on the outer two elements and controlling the phase of the cou-pling from these elements to the middle element with the resonators, the energy coupled into the receiver can be reduced by up to 51 dB.

TU4H: GaN Power Amplifiers Exploiting Harmonic EnhancementTuesday 19 June 2012

Time: 1600 – 1720 Room: 511CFChair: Paul Tasker, Cardiff UniversityCo-Chair: Bumman Kim, POSTECH

TU4H-1 1600 – 1620Wide Bandwidth Inverse Class F Power Amplifier with Novel Balun Harmonic Matching NetworkA. N. Stameroff, A. V. Pham, University of California at Davis, Davis, United StatesIn this paper we present a novel wide bandwidth inverse class F power amplifier using a broadside coupled March-and balun to achieve optimal matching up to the third harmonic. A prototype power amplifier (PA) was construct-ed to verify this concept and its feasibility. The prototype power amplifier uses two gallium nitride pseudomorphic high electron mobility transistors and a multilayered low loss substrate.

TU4H-2 1620 – 1640Design of Broadband High-Efficiency Power Amplifier using in-Band Class-(1/F)/F Mode-Transferring TechniqueK. Chen, D. Peroulis, Purdue University, West Lafayette, United StatesA mode-transferring technique for designing high-efficiency broadband power amplifiers is presented in this pa-per. It is demonstrated, for the first time, that by properly tuning the second and third harmonic impedances, a PA can operate between inverse Class-F and Class-F modes within a 1.5:1 bandwidth. A broadband PA is designed by employing this technique. Simulation and experimental results show that Class-F$^{-1}$ and Class-F PA modes are performed at 1.8 and 2.8 GHz, with 80% efficiency.

TU4H-3 1640 – 1700Novel Dual-Band Matching Network Topology and its Application for the Design of Dual-Band Class J Power AmplifiersX. Fu, D. T. Bespalko, S. Boumaiza, University of Waterloo, Waterloo, CanadaIn this paper, a systematic design of a dual-band power amplifier is presented. The impedance matching flexibility of a Class J operating mode was used to lessen the requirements of the matching networks (MN). Then, a novel dual-band MN topology is devised to present adequate source and load impedances at both targeted operating frequencies. This technique was successfully applied to design a dual-band 45W GaN Class J PA operating at 0.8GHz and 1.9GHz with peak efficiencies of 74.4% and 57.6%.

TU4H-4 1700 – 1720L-Band 360W and 65% PAE GaN Amplifier with Mixed Class-E / F Harmonic ControlK. Yamanaka, N. Yunoue, S. Chaki, M. Nakayama, Y. Hirano, Mitsubishi Electric Corporation, Kamakura, JapanIn this paper, an L-band partially internally matched GaN amplifier is presented, which is designed so that Class-F condition is met for the 2nd harmonic and Class-E condition is met for the 3rd harmonic. Utilizing these harmonic conditions, high output power together with high efficiency was obtained overcoming power limitation of Class-E. As a result, 360W output power and 65% PAE was successfully obtained at L-band with in-house GaN HEMT.

TUESDAY TECHNICAL SESSIONS 1600 – 1720

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Microwaves without Borders:The French technical papers submitted to IMS this year makes it the fourth largest contributor to the Montreal Sympo-sium. With over 80 papers submitted, French engineers have actively shaped the technical content of IMS. The French section is an active chapter of IEEE region 8.

Page 44: IMS2012 Program

IMS TU

ESDA

Y SESSIO

NS

› 41

IMS

IMS2012 STUDENT DESIGN COMPETITIONSThe International Microwave Symposium IMS2012 will include several student-centered events. IMS2012 registered students from around the world will have the opportunity to demonstrate the application of strong microwave engineering design principles in the development of the most eloquent hardware solutions to predetermined design requirements. Students will work in teams to design and build components or systems that will be measured at the symposium. Entries may also take the form of a technical paper.

The student design competitions will be sponsored by the Microwave Theory and Techniques Society’s Technical Coordination Committees as well as various industry partners. The objective is to encourage students to become involved in the dynamic profession of microwave engineering, to apply their knowledge to practical design use, and to promote team work. A number of student design competitions will be organized across the diverse technical areas covered by IMS2012. Multiple sponsoring companies will provide participating student teams with test equipment, components and computer aided design software.

The student design competition’s statements, guidelines and associated deadlines for each competition will be posted at the IMS2012 Web site. They will provide enough background information to enable the teams to design the required component or system for each competition.

The best designs, as judged by the technical committee sponsors, will be recognized at the Student Awards Luncheon to be held on Thursday at IMS2012. In addition, students of the winning designs will be invited to submit an article to IEEE Microwave Magazine in order to share their design techniques and experience with the microwave community.

General Competition Rules• To enter the competition, the student(s) must have been a full-time student (enrolled for a minimum of nine hours per term as graduate

students or twelve hours per term as undergraduates) during the time the work was performed.

• The student(s) must have a signed statement from their academic advisor that the work is principally the effort of the student(s).

• The student(s) must attend the conference to present their design for evaluation at the specified time and location (Room 517CD).

• The student(s) should use the email address issued by their respective institutions for all communication regarding the competitions, rather than their personal emails (e.g., Gmail, Hotmail).

• The student(s) must submit an entry form (http://ims2012.mtt.org/en/Student-design-competition) to both the competition coordinator and the Student Design Competition chair at ([email protected]) by Saturday 31 March 2012.

SDC1: High Efficiency Power AmplifiersSponsor: MTT 5Kiki Ikossi ,Joe Qui

SDC2: Rugged High-Linearity, Low-Noise AmplifiersSponsor: MTT 6, 14, 16, 20Rüdiger Quay, Zhizhang (David) Chen

SDC3: Microwave Transistor ModelingSponsor: MTT 1John Atherton, Peter Aaen

SDC4: Wireless Energy HarvestingSponsor: MTT 26, 24, 20Ali Darwish, Zhizhang (David) Chen

SDC5: Optical-to-Microwave ConvertersSponsor: MTT 3Yifei Li, Peter Herczfeld

SDC6: Packaged Tunable FiltersSponsor: MTT 12, 8 Robert Jackson, Paul Garland

SDC7: Voltage-Controlled OscillatorsSponsor: MTT 22Carlos Saavedra, Scott Wetenkamp

SDC8: Wideband BalunsSponsor: MTT 17Robert Caverly, Marc Franco

SDC9: Software Defined Radio and Digital Signal Processing Sponsor: MTT 9Jeffrey Pawlan

SDC10: Development of a Large-Signal-Network-Analyzer Round-Robin ArtifactSponsor: MTT 11Rashaunda Henderson, Jon Martens

SDC11: Novel Application of EM Field SimulatorsSponsor: MTT 15David R. Jackson

Page 45: IMS2012 Program

IMS TU

ESDA

Y SESSIO

NS

› 42

IMS

GRADUATE STUDENT CHALLENGEThis year the MTT society will be hosting for the second time the Graduate Student Challenge during the 2012 International Microwave Symposium (IMS) in Montréal. All PhD and MS students who are registered at IMS can participate in this event. As opposed to other IMS student competitions and activities, all of the activities related to the Graduate Student Challenge competition will take place only during the IMS2012. The aim is to encourage graduate student members who attend the IMS conference to form teams, conduct brain storming meetings, develop new ideas and propose applications based on the papers presented at the IMS and on themes indicated by the jury. During the IMS2012, each team will prepare a poster to summarize its results and major achievements. On the last day of the IMS, Thursday afternoon, student teams will compete by presenting their posters and detailing their ideas in front of judges from industry and academia. The winning team for the Graduate Student Challenge will be announced on Thursday evening during the IMS closing ceremonies. The winning team will be presented a $2,000.00 cash award. All the participants who fully contribute and give presentations on Thursday will receive a gift from the conference organizers.

Students who are interested in participating in this new competition are asked to attend a meet and greet event on Monday, 18 June afternoon at 1600 in Room 525AB at the Palais des Congrès (before the IMS plenary session and welcome reception). During this meeting, the participants will form teams consisting of maximum three members. The student team members must not be from the same university. Transnational teaming is encouraged. During the meeting on Monday, several themes will be presented that should serve as the basis for the Graduate Student Challenge. Each team is to develop new ideas and propose novel applications consistent with one of the themes that they have chosen. The ideas should be based on at least two papers presented at IMS. The selected papers must not be authored/co-authored by the team members or be from their universities. This is to assure a level playing field for the competition. Teams are required to prepare a poster and to present it to the judges, during a poster session on Thursday afternoon at IMS. The selection criteria will be based on the originality, content, practicality and clarity of the presentations.

STUDENT PAPER COMPETITION

Tuesday, 19 June 2012 1330-1550 Room 517 CDIn its annual symposia, the IEEE Microwave Theory and Techniques Society (MTT-S) holds the student paper competition. The purpose of the competition is to recognize outstanding technical contributions from individual students and to encourage student involvement in research and development in the areas of MTT-S. This year, we continue this tradition: all the papers submitted online to the conference and entered as student papers are considered for the competition; and finalists are then selected from these submissions. They will be invited to present in a special competition session to be held during the symposium, in addition to their regular paper presentations. This year, the finalists selected are:

“Highly Dispersive Delay Structure Exploiting the Tight Coupling Property of the CRLH-CRLH Coupler for Enhanced Resolution Analog Signal Processing,”S. Gupta, C. Caloz, Ecole Polytechnique de Montréal, Montréal, Canada.

“A Three-Dimensional Spatially Filtered FDTD with Controllable Stability beyond the Courant Limit,”C. Chang, C. D. Sarris, University of Toronto, Toronto, Canada;

“Macromodeling of Interconnect Networks from Frequency Domain Data using the Loewner Matrix Approach,”M. Kabir, R. Khazaka, McGill University, Montréal, Canada;

“Simultaneous Electric and Magnetic Two-Dimensional Tuning of Substrate Integrated Waveguide Cavity Resonator,”S. Adhikari, A. Ghiotto, K. Wu, Ecole Polytechnique de Montréal, Montréal, Canada;

“A Lumped-Element Directional Coupler with Arbitrary Output Amplitude and Phase Distributions,”E. Gandini, M. Ettorre, R. Sauleau, A. Grbic, University of Michigan, Ann Arbor, United States; Institut d’Electronique et de Telecommunication de Rennes, Rennes, France;

“Compact Wide-Stopband Quad-Band Bandpass Filter with Tunable Transmission Zeros,”K. Hsu, W. Tu, National Central University, Taoyuan, Taiwan;

“Ultra-compact Filters using TM Dual-mode Dielectric-loaded Cavities with Asymmetric Transmission Zeros,”L. Pelliccia, F. Cacciamani, C. Tomassoni, R. Sorrentino, University of Perugia, Perugia, Italy;

“A 6 to 24 GHz Continuously Tunable, Microfabricated, High-Q Cavity Resonator With Electrostatic MEMS Actuation,”M. S. Arif, D. Peroulis, Birck Nanotechnology Center, Purdue University, West Lafayette, United States;

“Tunable and Flexible Barium Strontium Titanate (BST) Varactors on Liquid Crystal Polymer (LCP) Substrates,”Y. Shen, S. Ebadi, P. Wahid, X. Gong, University of Central Florida, Orlando, United States;

Page 46: IMS2012 Program

IMS TU

ESDA

Y SESSIO

NS

› 43

IMS

STUDENT PAPER COMPETITION (CONT.)

“An RF-MEMS Switch for High-Power Applications,”C. D. Patel, G. M. Rebeiz, University of California, San Diego, La Jolla, United States;

“GaAs MMIC Tunable Directional Coupler,”R. Scheeler, Z. Popovic, University of Colorado at Boulder, Boulder, United States;

“Load Independent Bulk-Coupled Low Power Quadrature LC VCO,”S. P. Sah, J. Jung, P. Upadhyaya, D. Heo, Washington State University, Pullman, United States; Xilinx, San Jose, United States;

“A Novel Wideband and Reconfigurable High Average Efficiency Power Amplifier,”D. Gustafsson, C. M. Andersson, C. Fager, Chalmers University of Technology, Göteborg, Sweden;

“Wide Bandwidth Inverse Class F Power Amplifier with Novel Balun Harmonic Matching Network,”A. N. Stameroff, A. V. Pham, University of California, Davis, Davis, United States;

“A CMOS 135-150GHz 0.4dBm EIRP transmitter with 51dB P1dB extension using IF envelope FF gain compression,”A. Tang, et. al. , University of California, Los Angeles, Los Angeles, United States; University of Florida, Gainesville, United States; HRL Laboratories, Malibu, United States; Notrhrop Grumman Corporation, Renondo Beach, United States;

“A 6-bit Segmented RZ DAC Architecture with up to 50-GHz Sampling Clock and 4 Vpp Differential Swing,”A. Balteanu, P. Schvan, S. P. Voinigescu, University of Toronto, Toronto, Canada; Ciena Corporation, Ottawa, Canada;

“Microwave Susceptor Design for Wafer Bonding Applications,”A. Toossi, M. Daneshmand, D. Sameoto, University of Alberta, Edmonton, Canada;

“New Thermometry and Trap Relaxation Characterization Techniques for AlGaN/GaN HEMTs using Pulsed-RF Excitations,”Y. Ko et. al., B. Poling, The Ohio State University, Columbus, United States; Wright-Patterson Air Force Research Laboratory, Dayton, United States;

“Micromachined 100GHz Near-Field Measurement Probe for High-Resolution Microwave Skin-Cancer Diagnosis,”F. Töpfer, S. Dodorov, J. Oberhammer, KTH Royal Institute of Technology, Stockholm, Sweden;

“Polarization Friendly Retrodirective Antenna Array,”J. H. Choi, Y. Dong , J. S. Sun, T. Itoh, University of California, Los Angle, United States

“Hybrid Silicon-Organic Packaged Antenna Array at 60 and 80 GHz Using a Low-Cost Bonding Technique,”A. L. Vera Lopez, et. al., Georgia Institute of Technology, Atlanta, United States; Sony Corporation, Kanagawa, Japan; National Aeronautics and Space Administration, John H. Glenn Research Center, Cleveland, United States;

“Synthesis of Angle Modulated Ultra Wideband Signals Based on Regenerative Sampling,”C. Carlowitz, M. Vossiek, University of Erlangen-Nuremberg, Erlangen, Germany;

“Microwave Chemical Sensing Using an 3-18 GHz Wideband Overmoded Coaxial Cable,”Y. Huang, K. M. Hotopp, B. C. Dian, W. J. Chappell, Purdue University, West Lafayette, United States;

“A Novel “Universal” Inkjet-Printed EBG-Backed Flexible RFID for Rugged On-Body and Metal Mounted Applications,”H. Lee, S. Kim, D. D. Donno, M. M. Tentzeris, Georgia Institute of Technology, Atlanta, United States, Universita Del Salento, Lecce, Italy;

“A Battery-Less, Energy Harvesting Device for Long Range Scavenging of Wireless Power from Terrestrial TV Broadcasts,”R. J. Vyas, et. al., Georgia Institute of Technology, Atlanta, United States; University of Tokyo, Tokyo, Japan;

“A Max 349 GHz 18.2mW/Pixel CMOS Inter-Modulated Regenerative Receiver for Tri-Color mm-Wave Imaging,”A. Tang, et.al., University of California, Los Angeles, Los Angeles, United States; University of Florida, Gainesville, United States; HRL Laboratories, Malibu, United States;

Page 47: IMS2012 Program

IMS W

EDN

ESDA

Y SESSIO

NS

IMS

› 44

WEDNESDAY FOCUS, PANEL, AND SPECIAL SESSIONS

Wednesday 0800 – 0940 Room 512ABEFWE1B: Focus Session - Silicon and Indium Phosphide MMICs Achieve New Performance Milestones for Radiometers in Earth Remote Sensing

Chair: Steven C. Reising, Colorado State University Co-Chair: Pekka Kangaslahti, Jet Propulsion Laboratory

Abstract: The recent performance leaps in Silicon and Indium Phosphide MMICs open new opportunities for design of various scientific instruments. This session focuses on the latest developments in millimeter-wave and sub-millimeter wave components and subsystems that enable advances in radiometry for Earth remote sensing. These technologies generally improve performance for related applications such as radar remote sensing, radio astronomy, and personnel screening.

Wednesday 0800 – 0940 Room 511CFWE1H: Special Session - A Retrospective of Field Theory in Microwave Engineering

Chair: Poman So, University of VictoriaCo-Chair: Zhizhang (David) Chen, Dalhousie University

Abstract: This special session presents a retrospective of electromagnetic field theory that constitutes the foundation of microwave technology. The session begins with a paper on J. C. Maxwell and the Maxwellians. The next two papers are on engineering electromagnetics and microwave engineering, respectively. They are followed by a historical overview on the evolution of wireless communications. Finally, the session closes with a paper on metamaterials and related concepts looking towards future horizons.

Wednesday 1010 – 1150 Room 510BDWE2D: Focus Session - Retrospective and Outlook of Microwave CAD

Chair: Natalia K. Nikolova, McMaster UniversityCo-Chair: Q.J. Zhang, Carleton University

Abstract: This special session pays tribute to Professor John W. Bandler on the occasion of his 70th birthday for more than forty-five years of pioneering contributions to the field of microwave theory and techniques, especially the development and application of computer-aided design and optimization methods for microwave design. The invited speakers, while highlighting Dr. Bandler’s major achievements and assessing the impact of his work on the development of microwave modeling, simulation, sensitivity analysis and optimization, will present an up-to-date overview of computer-aided design, which is of broad interest to all MTT members.

Wednesday 1010 – 1150 Room 511CFWE2H: Focus Session - Microwave Components for Space: Trends and Developments

Chair: Jim Sowers, Space Systems/Loral Co-Chair: Steve Holme, Space Systems/Loral

Abstract: The need for commercial satellite services is increasing rapidly. Advanced satellite systems are being introduced to handle applications from communications to navigation to weather monitoring. To enable these systems, components need to meet the challenging requirements for satellite systems including electrical efficiency, size, mass, power, and functional integration. Additionally, these advanced systems are demanding flexibility and wideband operation to allow for cost effectiveness both in manufacturing and payload capacity. These paradigm shifts in system operation require advanced technologies at the component level to achieve their desired performance. The Session will present an overview of recent developments in component technologies that will enable the development of modern commercial satellite systems.

Wednesday 1200 – 1320 Room 516 ACPanel Session: How will LDMOS and III-V Device Technologies Play in Cellular Infrastructure Future Markets?

Organizer: Basim Noori, Freescale Semiconductor Inc.

Panelists:1. Dr. James Wong, Manager RF Power at Alcatel-Lucent 2. Dr. Steve Cripps, Professor, Cardiff University 3. Ray Pengelly, Strategic Business Development Manager, Cree Semiconductors Inc.4. Paul Hart, Systems Engineering Manager, Freescale Semiconductor Inc.5. John Gajadharsing, Application Manager, NXP Semiconductors6. Khan Salam, Principal Electrical Engineer (RFPA), Rockwell Collins Radio7. Dr. Oleh Krutko, Device Design Engineering Manager, TriQuint

Panel Description: The cellular infrastructure market space is the largest consumer of high power RF amplifier devices in the world. In the early days of cellular, from 1985 thru 1993, radio amplifiers developed for this market utilized standard Bipolar Junction Transistors (BJT). Starting in 1993, when the first Laterally Diffused Metal Oxide Semiconductor (LDMOS) Field Effect Transistors (FET) devices started to emerge, there was a rapid and almost complete shift in amplifier technology from BJT’s to LDMOS active devices, due to their lower cost and higher linearity at back off under class AB operation. This first technology conversion occurred between 1993 and 2000 and it forced RF BJT devices into the smaller niche markets of pulsed radar and others. Ever since 2000, almost all cellular infrastructure amplifiers have been designed with LDMOS devices as the main core amplifier component.

Within the last 3 to 5 years, several competing alternative amplifier technologies emerged that challenged LDMOS for market dominance, namely GaN FETs and GaAs Hetrojunction Bipolar Transistors (HBT). Even though these alternative technologies are initially higher in cost than the LDMOS standard, they have made some slight inroads into the infrastructure market, mostly in tower-top applications.

Will these newly developed technologies become dominant in the cellular Infrastructure in the near future? Do they have enough of an increased RF performance edge to offset their currently higher cost basis? Or will they be dominant in 5+ years? If so in what PA architectures, Doherty, Switched-Mode, class-J, Envelope tracking?

This group of experts covers wide area of expertise in LDMOS, GaN and GaAs device technologies as well as industry power amplifiers. They will present their vision of how the technology will develop in the near future.

Page 48: IMS2012 Program

IMS W

EDN

ESDA

Y SESSIO

NS

IMS

› 45

Wednesday 1350 – 1530 Room 513ABCWE3A: Focus Session - Unprecedented Microwave Devices Based on Nano-Materials

Chair: Luca Pierantoni, Università Politecnica delle MarcheCo-Chair: Christophe Caloz, École Polytechnique de Montréal

Abstract: Nanotechnology has tremendous potential in various areas of science and technology. Specifically, RF nanotechnology represents an emerging branch of nanotechnology with possibilities for unprecedented microwave, millimeter-wave and THz devices and systems. A myriad of exciting research projects on novel materials and nano-science are currently carried out around the world and some of them may pave the way for a new generation of microwave circuits, offering higher integration capabilities and densities, lower power consumption, enhanced electrothermal/mechanical properties and novel functionalities. As there is little doubt that RF nanoelectronics will play a major role in the advancement of microwave technology in the forthcoming decades, this area represents a major opportunity for the MTT Society. Our Society is best placed to apply and extend its wealth of expertise towards the analysis, the modeling and the design of nano-structured devices and systems. The Session features authoritative speakers from both the academia and the industry. Their topics cover the entire RF spectrum, including carbon-nanotube high-fT graphene transistors and related ambipolar devices, novel graphene-based RF electronics for ubiquitous applications, (e.g. graphene-based RFID tags on plastics), carbon-based interconnects, and multifunctional and packaged systems, graphene non-reciprocal passive components with huge bandwidths, high-tunability metamaterial-assisted THz quantum lasers, and semi-conductor and carbon THz sensors and imagers.

Wednesday 1350 – 1530 Room 511ADWE3F: Focus Session - Advances in Silicon-Based Millimeter-Wave and Terahertz Integrated Circuits and Systems

Chair: Dietmar Kissinger, Friedrich-Alexander-Universität Erlangen-Nürnberg Co-Chair: Robert Weigel, University of Erlangen-Nuremberg

Abstract: The advancement of silicon technologies has enabled the low-cost fabrication of fully integrated millimeter-wave transceivers for consumer applications in communication technology and sensor systems. Current research in silicon technology is targeting transition frequencies of 500 GHz which enable the integration of systems with operational frequencies well above 100 GHz, paving the way toward monolithic electronic THz solutions. The focus is on recent advancements in millimeter-wave and terahertz circuits based on silicon technologies for emerging applications beyond 100 GHz.

Wednesday 1350 – 1530 Room 511CFWE3H: Special Session - A Tribute to Rüdiger Vahldieck

Chair: Wolfgang J.R. Hoefer, Institute of High Performance ComputingCo-Chair: Jens Bornemann, University of Victoria

Abstract: This session is a tribute to the life and work of Professor Rüdiger Vahldieck who passed away on 21 March 2011 at age 59. Rüdiger has made seminal and pioneering contributions to microwave theory and techniques throughout the last thirty years of his life, ranging from rigorous computer-aided design of optimized microwave filters to integrated circuit design and manufacturing, microwave communications, field theory, computational electromagnetics, and electromagnetic compatibility. He leaves a rich legacy of scientific discovery, technical innovation, engineering education, and service to the profession. The latter includes his contributions as Editor-in-Chief of the IEEE Microwave and Wireless Components Letters, Member of MTT-15, President and Chair of numerous international symposia, workshops and program committees, and Chair of the Swiss Joint IEEE MTT, AP, and EMC Societies Chapter. The session features nine invited speakers – former students, colleagues, associates and friends of Rüdiger – who will not only report on new research of their own, but also illuminate how Ruediger’s work has influenced their own perspectives and the evolution of microwave theory and techniques. With this session we wish not only to showcase Rüdiger’s considerable technical and scientific legacy, but also to honor his personal memory and his dedication to his students, associates and colleagues.

Wednesday 1600 – 1720 Room 511CFWE4H: Focus Session - Tunable Systems: Enabling Future Handset Technologies

Chair: Shirook M. Ali, RIMCo-Chair: James Warden, RIM

Abstract: Cellular handsets must meet demands for increasing functionality, particularly, roaming capabilities on multiple frequencies. Additional demands arise from the exponentially increasing data usage, bigger display screens, and the need to ensure that performance is not compromised. Current levels of network capacity are simply not sufficient. Operators have responded by spending billions of dollars on infrastructure and new expensive spectrum. On the other hand, the handset development can address the demands of the new standards but a shift in thinking is needed regarding the radio frequency front-end in order to avoid high cost, size increase, and power-consumption increase. Tunability enables flexibility and fewer radio components while ensuring that the system is operating at peak performance. This Session addresses advanced tunable technologies applied in: multi-band handsets with a single antenna system that supports wide frequency diversity (for example, LTE plus GSM/GPRS/EDGE, which comes to 11 bands in 7 modes ranging from 704 MHz to 2690 MHz); multi-band RF chains and impedance matching networks ensuring best usage of battery power, etc.

Page 49: IMS2012 Program

IMS W

EDN

ESDA

Y SESSIO

NS

IMS

› 46

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

WE1A: Semiconductor-Based Integrated PassivesWednesday 20 June 2012

Time: 0800 – 0940 Room: 513ABCChair: Nickolas Kingsley, Auriga Microwave

Co-Chair: Jesse Taub, Innovative Technologies Inc.

WE1A-1 0800 – 0820A D-Band Compact Rat-Race Coupler Using Novel Phase Inverter in Standard CMOS Process D. Hou1, W. Hong1, W. Goh2, A. Arasu3, Y. Xiong4, C. Liu3, M. Madihian3, 1Southeast University, Nanjing, China, 2Nanyang Technological University, Singapore, Singapore, 3A*STAR, Singapore, Singapore, 4MicroArray Technologies, Chengdu, ChinaThis paper describes a D-band on-chip rat-race coupler using a novel phase inverter and developed in a standard CMOS process. The study of the phase inverter has demonstrated its potential for wideband applications. The devel-oped rat-race coupler using the proposed phase inverter, features a 40% size reduction compared to conventional structures. In the D-band range, measured amplitude and phase imbalances are within 0.5 dB and 15 deg, and the isolation is better than 25 dB.

WE1A-2 0820 – 0840High-Performance Reduced-Size 70-80 GHz CMOS Branch-Line Hybrid using CPW and CPWG Guided-Wave Structures S. Shopov, R. E. Amaya, J. W. Rogers, C. Plett, Carleton University, Ottawa, CanadaA folding technique is proposed to reduce the size of CPW based branch-line couplers without compromising their electrical characteristics. The technique is used to fabricate a high-performance 70-80 GHz hybrid coupler in 130nm CMOS with a 35% layout area reduction. CPWG based structures are used for the low impedance lines while com-plying with CMOS layout rules. Measurements across the bandwidth show best reported performance in terms of insertion loss, amplitude imbalance, and phase imbalance.

WE1A-3 0840 – 0900Broadband and Low-loss Ruthroff-type Transmission Line Transformer in Integrated Passive Devices TechnologyH. Y. Chung1, Y. C. Hsu1, H. K. Chiou1, D. C. Chang2, Y. Z. Juang2, 1National Central University, Jhongli, Taiwan, 2National Applied Research Laboratories, Hsinchu, TaiwanTwo broadband, low-loss Ruthroff-type transmission line transformers (TLTs) that are implemented in integrated passive devices (IPD) technology are presented in this paper. The TLTs are realized by spiral broadside-coupled lines with proper characteristic impedances to optimize the insertion loss and bandwidth. The measured minimum in-sertion losses of 1:4 and 1:9 TLTs are 0.5 dB at 2.2 GHz and 0.57 dB at 2.4 GHz, respectively. The correspondent 1-dB bandwidths of these TLTs are 175% and 140%.

WE1A-4 0900 – 0920A New Type of GaN HEMT Based High Power High-Pass/Low-Pass Phase Shifter at X Band K. Hettak1, T. Ross2, J. Wight2, D. Gratton3, 1CRC, Ottawa, Canada, 2Carleton University, Ottawa, Canada, 3Canadian Space Agency, St-Hubert, CanadaThis paper presents a new type of robust GaN HEMT-based high power phase shifter operating at X-band. The proposed 0°/45° high-pass/low-pass phase shifter exhibits low insertion loss (2.5 dB), good return loss, and am-plitude variation lower than 0.5 dB for the two phase states over the entire operational bandwidth ranging from 6 to 13 GHz. The relative phase performance is fairly constant over the bandwidth.

WE1A-5 0920 – 0940An Extremely Miniaturized Ultra Wide Band 10-67 GHz Power Splitter in 65 nm CMOS Technology.M. Ercoli1, D. Dragomirescu1,2, R. Plana1,2, 1CNRS, Toulouse, France, 2Université de Toulouse II, Toulouse, FranceAn extremely compact power splitter based on a modified Wilkinson power divider is presented. The design opti-mization, based on the use of lumped component, yields state of the art RF performances and an unrivaled size re-duction. The splitter is design to operate over 3 octaves (10-80GHz) and measurements in the 10-67GHz frequency range show an IL better then 0.8dB, a power unbalance below 0.05dB and isolation better than 10dB starting from 35GHz and which reaches a maximum of 27dB at 60GHz.

WE1B: Silicon and Indium Phosphide MMICs Achieve New Performance Milestones for Radiometers in Earth Remote Sensing

Wednesday 20 June 2012Time: 0800 – 0940 Room: 512ABEF

Chair: Steven C. ReisingCo-Chair: Pekka Kangaslahti

WE1B-1 0800 – 0820InP HEMT Integrated Circuits for Submillimeter Wave Radiometers in Earth Remote SensingW. R. Deal1, G. Chattopadhyay2, 1Northrop Grumman, Redondo Beach, United States, 2NASA Jet Propulsion Labora-tory, Pasadena, United StatesThe operating frequency of InP integrated circuits has pushed well into the Submillimeter Wave frequency band, with amplification reported as high as 670 GHz. This paper provides an overview of current performance and potential application of InP HEMT to Submillimeter Wave radiometers for earth remote sensing.

WE1B-2 0820 – 0840Low Noise Amplifier Receivers for Millimeter Wave Atmospheric Remote SensingP. Kangaslahti1, B. Lim1, T. Gaier1, A. Tanner1, M. Varonen1, L. Samoska1, S. Brown1, B. Lambrigtsen1, S. Reising2, J. Tanabe1, O. Montes1, D. Dawson1, C. Parashare1, 1Jet Propulsion Laboratory, Pasadena, United States, 2Colorado State University, Fort Collins, United StatesWe currently achieve 3.4 dB noise figure at 183GHz and 2.1 dB noise figure at 90 GHz with our MMIC low noise amplifiers (LNAs) in room temperature. These amplifiers and the receivers we have built using them made it pos-sible to conduct highly accurate airborne measurement campaigns from the Global Hawk unmanned aerial vehicle, develop millimeter wave internally calibrated radiometers for altimeter radar path delay correction, and build pro-totypes of large arrays of millimeter receivers.

WE1B-3 0840 – 0900Design and Characterization of a SiGe RFICs for Millimeter-Wave RadiometersJ. W. May2, M. Uzunkol1, G. M. Rebeiz1, 1University of California San Diego, La Jolla, United States, 2HRL Laboratories, LLC, Malibu, United StatesThis paper presents the design and characterization of SiGe RFICs for millimeter-wave radiometers. It is seen that SiGe technology results in high gain millimeter-wave amplifiers, high responsivity detectors and low overall 1/f noise, making it ideal for on-chip radiometers. Two example radiometer systems, one at W-band and one at D-band, are presented in detail.

WE1B-4 0900 – 0920InP HEMT Low-Noise Amplifier-based Millimeter-Wave Radiometers from 90 to 180 GHz with Internal Calibration for Remote Sensing of Atmospheric Wet-Path Delay S. C. Reising1, P. Kangaslahti2, S. T. Brown2, D. E. Dawson2, A. Lee1, D. Albers1, O. Montes2, T. C. Gaier2, D. Hoppe2, B. Khayatian2, 1Colorado State University, Fort Collins, United States, 2Jet Propulsion Laboratory, Pasadena, United StatesThe recent introduction of 35-nm gate length InP MMIC low-noise amplifiers has enabled significant advances in Earth remote sensing. The addition of higher-frequency millimeter-wave (90-170 GHz) radiometers to current Jason-class radiometers is expected to improve retrievals of wet-tropospheric delay in coastal areas and to increase the potential for over-land retrievals.

WE1B-5 0920 – 0940Multifunction Low Noise Millimeter-Wave MMICs for Remote SensingH. Zirath, V. Vassilev, M. Abbasi, S. E. Gunnarsson, N. Wadefalk, I. Angelov, R. Kozhuharov, J. Svedin, Chalmers University of Technology, Göteborg, SwedenIntegrated millimter-wave heterodyne receivers for remote sensing applications in the frequency range 90 to 220 GHz utilizing active mHEMT devices have been designed, fabricated and characterized. Packages for the MMICs have also been designed and evaluated. For the integrated receivers, noise figures of 3.5 and 8dB are obtained at 100 and 220 GHz respectively at room temperature. When cooled to 20 K, a noise temperature of 60-80 K is obtained from 90-112 GHz for a packaged amplifier MMIC.

WEDNESDAY TECHNICAL SESSIONS 0800 – 0940

Microwaves without Borders:Tunisia has recently been in the news as the country where the Arab spring started. But did you know that Tunisia is part of IEEE region 8 and that it has an MTT-S chapter? Three paper submissions were received from Tunisia to IMS this year.

Page 50: IMS2012 Program

IMS W

EDN

ESDA

Y SESSIO

NS

IMS

› 47

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

WE1C: New Developments in Multi-Band Planar FiltersWednesday 20 June 2012

Time: 0800 – 0940 Room: 512CDGHChair: Christopher Galbraith, MIT Lincoln Laboratory

Co-Chair: James Rautio, Sonnet Software

WE1C-1 0800 – 0820Characterization of a Slotted Circular Patch Resonator for Adjustable Dual-Mode Dual-Band Bandpass FiltersR. Zhang1, L. Zhu1, S. Luo2, 1Nanyang Technological University, Singapore, Singapore, 2National University of Singapore, Singapore, SingaporeA slotted circular patch resonator is proposed to design a dual-mode dual-band bandpass filter. Three sets of slots are etched into a circular patch to individually adjust one of its resonant modes. Two prototype dual-band filters are designed with different frequency ratios so as to verify the design principle. The measured results agree well with the simulated ones.

WE1C-2 0820 – 0840Miniaturized Dual-Band Bandpass Filter Using λ/2 Spiral-Resonator and Loaded Open-StubX. Luo1, S. Sun2, E. Li3, 1Huawei Technologies Co., Ltd., Shenzhen, China, 2The University of Hong Kong, Hong Kong, China, 3A*star, Singapore, SingaporeA compact dual-band bandpass filter using the half-wavelength (λ/2) spiral-resonators and loaded open-stubs with a spiral-coupled scheme is proposed. The dual-resonances are employed by the λ/2 spiral-resonator with a loaded open-stub. Besides, the spiral-coupled scheme and loaded-tapping scheme are introduced to achieve bandpass fil-ter with good dual-band frequency responses. Then, a dual-band bandpass filter is fabricated, which shows a good agreement between the simulation and measurement.

WE1C-3 0840 – 0900Highly Flexible and Miniaturized Triple-Band Bandpass Filter Design Using Coupled Stacked Spiral ResonatorsC. Chen1, Y. Lin1, T. J. Horng1, S. Wu2, 1National Sun Yat-Sen University, Kaohsiung, Taiwan, 2National University of Kaohsiung, Kaohsiung, TaiwanThis paper describes a triple-resonance stacked spiral resonator (SSR) for designing very compact triple-band band-pass filters. The resonant frequencies of SSR can be determined by designing the spiral geometry and controlling the mutual coupling in a stacked structure. The triple passband bandwidths can be determined by the spacing of different layer patterns between two coupled SSRs. An adequately designed geometry of SSR with a tapped-line can achieve matching conditions for all passbands.

WE1C-4 0900 – 0910Microwave Single/Multi-Band Planar Filters With Bagley-Polygon-Type Four-Port Power DividersR. Gomez-Garcia, M. Sanchez-Renedo, Universidad de Alcala, Alcala de Henares, SpainThis work reports a planar signal-interference filter structure based on Bagley-polygon-type four-port power dividers. It is shaped by the cascade of two equal transversal filtering sections made up of this power splitter and applied to syn-thesize single/multi-band responses with sharp-rejection characteristics. Analytical equations helping in the theoretical design of this filter approach are provided. Also, its practical viability is proven with the development of three microstrip prototypes.

WE1C-5 0910 – 0920Novel Multi-band Filter Design and Substrate Integrated Waveguide Filter RealizationF. Yang, H. Yu, X. He, Y. Zhou, R. Liu, China Academy of Space Technology (Xi’an), Xi’an, ChinaNovel dual-band band-stop filter coupling networks are introduced in the paper. Based on the topology, the design of dual-band, triple-band and four-band band-stop filters are firstly proposed and synthesized. The entire multi-band band-stop filter can be designed on the bases of several Chebyshev filters, which is easy to be realized with a good property. By using Substrate Integrated Waveguide (SIW), a dual-band band-stop filter is first designed and fabricated with good performance.

WE1C-6 0920 – 0930Compact Dual-Band Quasi-Elliptic Bandpass Filter with Transmission-Zero ControlC. K. Chio1, S. W. Ting1, E. H. Lim2, K. W. Tam1, 1University of Macau, Macau, Macau, 2Universiti Tunku Abdul Rahman, Bangkok, MalaysiaA novel compact dual-band bandpass filter based on a simple defected ground structure is proposed. This filter offers quasi-elliptic responses at both the passbands, and all its four transmission zeros can be controlled to design for a wide range of center frequencies and bandwidths, without degrading the in-band performance. Two dual-band bandpass filters are designed to demonstrate the effectiveness of the approach. The measurement shows a very good agreement with the simulation results.

WE1C-7 0930 – 0940Design of a Compact Dual-Band Dual-Mode Microstrip Filter with an Adjustable Transmission Zero Z. Yang1, L. Zhang1, Z. Ma2, X. Yang1, 1Shanghai University, Shanghai, China, 2Saitama University, Saitama, JapanA novel compact microstrip dual-band filter with an adjustable transmission zero is proposed in this paper. The filter mainly consists of a microstrip stub-loaded dual-mode resonator and a half-wavelength resonator.Through adding the half-wavelength resonator, another resonance is produced to form a two-order filtering property in the second passband. Besides, one of the Transmission Zero is adjustable by changing the length of a microstrip tuning line.

WE1D: Packaging for Microwave and Millimeter-Wave and Radiating Integrated Systems

Wednesday 20 June 2012Time: 0800 – 0940 Room: 510BD

Chair: Robert W. Jackson, University of MassachusettsCo-Chair: C-K Clive Tzuang

WE1D-1 0800 – 0820A 4-Element X-Band CMOS Phased-Array RFIC in a QFN PackageD. Shin1, C. Kim2, D. Kang3, G. M. Rebeiz1, 1University of California at San Diego, La Jolla, United States, 2Chungnam National University, Daejeon, Republic of Korea, 3Samsung Electronics Co. Ltd., Suwon, Republic of KoreaThis paper presents a QFN packaged phased-array RFIC for X-band applications. The transmit X-band phased array is differential except for baluns at the input and output ports. Electromagnetic simulation is done to result in low channel-to-channel coupling. Measurements show a wideband impedance match and a worst-case channel-to-channel coupling of -29 dB at 8-10 GHz. The results show that phased-array chips can be packaged using QFN techniques, and paves the way for low-cost phased arrays.

WE1D-2 0820 – 0840Development of a Single Board Microwave Sub-System Based on Substrate Integrated Waveguide (SIW) TechnologyJ. Chen1, W. Hong1, Z. Hao1, P. Yan1, X. Zhu1, J. Zhou1, P. Chen1, K. Wu2, 1Southeast University, Nanjing, China, 2École Polytechnique de Montréal, Montreal, CanadaIn this paper, a novel microwave planar integration scheme is proposed based on SIW technology. All the passive components in RF transceiver are implemented using SIW, including antenna, duplexer, TX/RX filters and LO power divider. A demonstration X-band transceiver are designed in a single layer PCB. The design of SIW components and aspects of system integration are discussed. To author’s knowledge, this work is the first to demonstrate a fully integrated solution based on SIW-like technology.

WE1D-3 0840 – 0900A Micromachined Double-Dipole Antenna for 122 - 140 GHz Applications Based on a SiGe BiCMOS TechnologyR. Wang1, Y. Sun1, M. Kaynak1, S. Beer2, J. Borngraeber1, J. Scheytt1, 1IHP GmbH, Frankfurt (Oder), Germany, 2Karlsruhe Institute of Technology, Karlsruhe, GermanyThis paper presents an on-chip double-dipole antenna by applying micromachining techniques. It enables the fully integration of millimeter-wave transceiver and antenna into a single chip. A parametric study has been made which reveals the influence of the key design parameters over the radiation performance. A prototype has been fabricated and measured to verify the design. The measured peak gain is 8.4 dBi at 130 GHz with a simulated ef-ficiency of 60%. The 3-dB gain bandwidth is 122-140 GHz.

WE1D-4 0900 – 0920122-GHz Chip-to-Antenna Wire Bond Interconnect with High RepeatabilityS. Beer1, H. Gulan1, M. Pauli1, C. Rusch1, G. Kunkel2, T. Zwick1, 1Karlsruhe Institute of Technology, Karlsruhe, Germany, 2Hightec, Lenzburg, SwitzerlandThis paper presents a 122-GHz chip-to-antenna wire bond interconnect for low-cost, fully integrated transceivers. It is based on the standard ball-stitch bond technology and uses planar transmission lines for matching. A study on the effects of process tolerances is given. Finally, an antenna which is integrated into a QFN plastic package is characterized together with the chip-to-antenna interconnect.

WE1D-5 0920 – 0940A W-Band CMOS PA Encapsulated in an Organic Flip-Chip PackageC. E. Patterson, D. Dawn, J. Papapolymerou, Georgia Institute of Technology, Atlanta, United StatesThis paper presents for the first time an organic Liquid Crystal Polymer (LCP) based system-on-package (SOP) mod-ule for a W-band CMOS power amplifier. The integrated circuit is flip-chipped and fully encapsulated into an all-LCP platform through construction of a cavity to host the chip. This fully encapsulated packaged W-band 45-nm SOI CMOS PA achieves 5.0 dBm output power at 6.0 dB power gain and 5.2% PAE at 90 GHz with 1.0 V supply.

WEDNESDAY TECHNICAL SESSIONS 0800 – 0940

Page 51: IMS2012 Program

IMS W

EDN

ESDA

Y SESSIO

NS

IMS

› 48

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

WE1E: Industrial Microwave Power Applications: Systems and Process Development

Wednesday 20 June 2012Time: 0800 – 0940 Room: 510AC

Chair: Malgorzata Celuch, Warsaw University of TechnologyCo-Chair: Vadim Yakovlev, Worcester Polytechnic Institute

WE1E-1 0800 – 0820High Power and Efficiency Power Combining with Multi-way TWTAs for Satellite CommunicationsS. Dong, Y. Dong, Y. Wang, L. Gong, CAST(Xi’an), XI’an, ChinaA high efficiency power combining demonstration is presented of 4 K-band TWTAs based on magic-T corporate combiners. A low loss, amplitude and phase balanced, high power corporate magic-T combiner is designed. Power combining efficiencies of greater than 90% and output power of more than 340W over a 0.6 GHz frequency band are successfully demonstrated. Such hybrid junctions can also be considered as elements within a corporate com-bining architecture for much higher power applications.

WE1E-2 0820 – 0840Characterization of Free Falling Drops Inside a Microwave CavityM. Cabanes-Sempere1, C. Cozzo1, J. Catalá-Civera2, F. L. Penaranda-Foix2, K. Ishizaki3, S. Vaucher3, M. Pouchon1, 1Paul Scherrer Institut, Villigen PSI, Switzerland, 2Universidad Politécnica de Valencia, Valencia, Spain, 3EMPA, Thun, SwitzerlandMicrowave Internal Gelation is a chemical process proposed for the production of nuclear particle fuel. The reaction is triggered by a temperature increase by the means of microwave heating. This paper describes a new procedure that enables the measurement of dielectric properties of aqueous droplets that freely fall through a microwave cav-ity. These measurements provide the information to determine the optimal values of the parameters that dictate the heating of a material under microwaves.

WE1E-3 0840 – 0900Microwave Antenna for Selective Heating of Glass MeltsM. A. Willert-Porada, T. Gerdes, A. Rosin, University of Bayreuth, Bayreuth, GermanyGlass processing is energy intensive, new methods to reduce energy consumption, e.g., by selectively overheat por-tions of glass immediately before forming operation are needed. We show how microwave radiation is guided into a limited volume of a glass melt before extrusion into a mold. A simulation study was performed to establish the best geometry and positioning of an antenna within an existing industrial glass forming unit. Simulation results and experimental proof are presented.

WE1E-4 0900 – 0920Modeling of Hybrid (Heat Radiation and Microwave) High Temperature Processing of LimestoneS. M. Allan1, M. L. Fall1, E. M. Kiley2, P. Kopyt3, H. S. Shulman1, V. V. Yakovlev2, 1Ceralink, Inc., Troy, United States, 2Worcester Polytechnic Institute, Worcester, United States, 3Warsaw University of Technology, Warsaw, PolandIncorporation of radiant boundary conditions is proposed for multiphysics modeling of hybrid thermal processing of materials. The algorithm is implemented by making the radiation models in ANSYS Fluent available for the electromagnetic models in QuickWave-3D. The heating of samples of limestone in a hybrid kiln is simulated, and time-temperature history and the temperature fields are computed. Hybrid heating is shown to be more efficient in terms of heating rate and temperature uniformity.

WE1E-5 0920 – 0940Rapid Microwave Sintering of Protective ZrO2 Coatings on Reactive Metal Powder CompactsP. Veronesi, R. Rosa, E. Colombini, Universita Degli Studi Di Madena E Reggio Emilia, Modena, ItalyThe heat released by microwave assisted combustion synthesis of Ni+Al powder compacts is used to concurrently synthesize aluminides and sinter an overlaying zirconia coating. FEM simulation, fully coupling electromagnetic, heat transfer and chemical reactions application modes is used to investigate and optimize the process. The innova-tive procedure proposed is a promising strategy to obtain in a single step high temperature intermetallics protected by well adhered ceramic coatings.

WE1F: Novel Mixed Mode Circuits for High-Speed communication up to 100 Gbps

Wednesday 20 June 2012Time: 0800 – 0940 Room: 511AD

Chair: Koichi Murata, NTT Photonics Labs.Co-Chair: Edward Gebara, I2R Nanowave Inc.

WE1F-1 0800 – 0820All Digital Compensation Scheme for Spur Induced Transmit Self-Jamming in Multi-Receiver RF Front-Ends M. Omer1, R. Rimini2, P. D. Heidmann2, S. J. Kenney1, 1Georgia Institute of Technology, Atlanta, United States, 2Qualcomm, Sandeigo, United StatesIn radios with multiple receivers, the Rx LO frequencies are close. Different LO frequencies can couple through the substrate to the nonlinear PLL systems thus generating spurs. Such spurs can demodulate the local Tx signal and degrade the Rx SNR severely. We propose to counter Tx self-jamming by mimicking this mechanism in the Rx signal path. We show that one can realistically cancel the Tx interference. We provide the theoretical feasibility and proto-type measurements of the proposed system.

WE1F-2 0820 – 0840A 6-bit Segmented RZ DAC Architecture with up to 50-GHz Sampling Clock and 4 Vpp Differential SwingA. Balteanu1, P. Schvan2, S. P. Voinigescu1, 1University of Toronto, Toronto, Canada, 2Ciena, Ottawa, CanadaA novel, RZ power DAC architecture is presented, featuring 14 data bits, 7 for the 3 MSB’s and 7 for the 3 LSB’s, each running at up to 50Gb/s. Fabricated in a 130-nm SiGe BiCMOS technology, it operates as a large swing AWG suitable for wireline, fiber optic, and instrumentation applications. The small signal differential gain and bandwidth of the clock path are 19dB and 43GHz, respectively. An output swing of 2Vpp per side is observed. Measurements show multi-bit modulation up to 50GHz.

WE1F-3 0840 – 0900A 6.2-Vpp 100-Gb/s Selector-Driver Based on a Differential Distributed Amplifier in 0.7-µm InP DHBT TechnologyJ. Y. Dupuy1, A. Konczykowska1, F. Jorge1, M. Riet1, P. Berdaguer1, V. Nodjiadjim1, J. Godin1, A. Ouslimani2, 1Alcatel-Lucent, Marcoussis, France, 2ENSEA, Cergy-Pontoise, FranceWe report the design and measurement of a differential distributed driver amplifier integrated with a 2:1-selector, realized in a 320/380-GHz Ft/Fmax 0.7-µm InP technology. The realized Selector-Driver provides a high quality dif-ferential signal at 100 Gb/s with up to 6.2 Vpp differential swing for a power consumption of 3.8 W. It is well suited to drive electro-optical modulators for optical communications systems based on binary signaling at 100 GBd.

WE1F-4 0900 – 0920A 50-GHz-Small-Signal-Bandwidth 50 GSa/s Track&Hold Amplifier in InP DHBT TechnologyJ. Deza1, A. Ouslimani2, A. Konczykowska1, A. E. Kasbari2, M. Riet1, J. Godin1, G. Pailler3, 1Alcatel-Lucent, Marcoussis, France, 2ENSEA, Cergy-Pontoise, France, 3Thales, Brest, FranceA differential 50 GSa/s Track and Hold Amplifier with a large bandwidth and a high dynamic range is designed and fabricated with a 320 GHz-Ft-InP-DHBT process. Transient, S-parameters and spectral measurements are pre-sented. For -4 dBm input power, spectral measurements in track mode give a THD and a SFDR of -56.3 dB and -57.3 dB respectively up to 3 GHz and -45.6 and -46.2 dB respectively up to 17 GHz. These measured THD are equivalent to 9 and 7.3 ENOB.

WE1F-5 0920 – 0930Low-Power BiCMOS Track-and-Hold Circuit with Reduced Signal FeedthroughB. Sedighi1, Y. Borokhovych2, H. Gustat2, J. C. Scheytt2, 1The University of Melbourne, Parkville, Australia, 2IHP, Frankfurt(Oder), GermanyThis paper presents a new circuit for high-speed BiCMOS track-and-holds. The proposed approach improves the signal feedthrough in the hold mode and the bandwidth in the tracking mode. A prototype circuit is implemented in a 0.13 μm BiCMOS technology, operating at 10 GS/s and consuming 19 mW from 3.3 V supply. It is shown that the circuit is capable of providing a harmonic distortion below -50 dB.

WE1F-6 0930 – 0940A 25 GHz Analog Demultiplexer with a Novel Track and Hold Circuit for a 50 GS/s A/D-Conversion System in InP DHBT TechnologyD. Ferenci1, M. Grözing1, M. Berroth1, R. Makon2, R. Driad2, J. Rosenzweig2, 1University of Stuttgart, Stuttgart, Germany, 2Fraunhofer IAF, Freiburg, GermanyA novel architecture of a track and hold (T&H) circuit for the realization of a high speed analog demultiplexer is presented in InP DHBT Technology. The architecture allows a sampling rate flexible demultiplexing of an analog input signal. The demultiplexer features a measured THD above 32dB and a SFDR above 35dB with a differential input voltage of 0.5V-PP when operating at 25GHz. This allows the realization of a 50GS/s analog-to-digital conver-sion system.

WEDNESDAY TECHNICAL SESSIONS 0800 – 0940

Page 52: IMS2012 Program

IMS W

EDN

ESDA

Y SESSIO

NS

IMS

› 49

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

WE1G: Passive Feeds and ArraysWednesday 20 June 2012

Time: 0800 – 0940 Room: 511BEChair: Roberto Vincenti Gatti, University of PerugiaCo-Chair: Wayne A. Shiroma, University of Hawaii

WE1G-1 0800 – 0820Multi-Dimensional Scanning Multi-Beam Array Antenna Fed by Integrated Waveguide Butler Matrix A. Guntupalli, K. Wu, Ecole Polytechnique, University of Montreal, Montreal, CanadaA one-port two-dimensional (2-D) radiating antenna element is proposed and then used to form a substrate inte-grated waveguide (SIW) multi-beam antenna array. The output port of the leaky wave antenna (LWA) is loaded by antipodal linearly tapered slot antenna (ALTSA) to radiate all the remaining amount of power in the orthogonal direction. The passive phase shifting based on Butler matrix is used and experimentally evaluated to prove the multi-dimensional scan capability.

WE1G-2 0820 – 0840Hybrid Silicon-Organic Packaged Antenna Array at 60 and 80 GHz Using a Low-Cost Bonding TechniqueA. L. Vera Lopez1, G. E. Ponchak3, A. Akiba2, S. Mitarai2, K. Ikeda2, J. Papapolymerou1, 1Georgia Institute of Technol-ogy, Atlanta, United States, 2Sony, Kanagawa, Japan, 3NASA Glenn Research Center, Cleveland, United StatesThis paper presents a hybrid silicon-organic packaged mm-wave antenna that is flip-chip bonded to a 400 μm thick silicon substrate through gold bumps and a non-conductive film (NCF) adhesive layer. Two different antennas were designed, at 60 and 80 GHz respectively. It is demonstrated that the organic substrate can be successfully integrated into a package at mm-wave frequencies by securing it mechanically with the NCF layer. Both designs yielded over 15% bandwidth and greater than 10 dBi gain.

WE1G-3 0840 – 0900Ultra Broadband 4×4 Compact Butler Matrix using Multilayer Directional Couplers and Phase ShiftersA. Abdelghani1, T. Denidni1, M. Nedil2, 1Université du Québec , Montréal , Canada, 2Université du Québec , Val-d’Or , CanadaThe design of a novel ultra wideband compact 4×4 Butler matrix operating between 3 an 10.6 GHz is presented. In this design, the multilayer topology is used to provide compact size. This matrix combines a compact ultra wide-band 3dB multilayer microstrip directional coupler and a new 45° phase shifter. An innovative approach for realiza-tion of broad band 45° phase shifter using the same configuration of the coupler and a reference line as a phase correction network is presented.

WE1G-4 0900 – 0920A TM Guide for Surface Wave Power Routing and Application to Planar Quasi-Optical Dividing/Com-bining ArraysS. K. Podilchak1, A. P. Freundorfer1, Y. M. Antar2, 1Queen’s University, Kingston, Canada, 2Royal Military College of Canada, Kingston, CanadaSurface waves (SWs) on planar substrates are generally an adverse effect that can degrade the performance of millimeter-wave circuits and antennas. However, with appropriate boundary conditions, such SWs can be har-nessed as an efficient means of power transport achieving bound propagation along a grounded dielectric slab. Specifically, this work investigates SWs generated from a planar directive surface-wave launcher and power rout-ing concepts for quasi-optical power combining.

WE1G-5 0920 – 0930An Optimized Low Loss Feed Network of a Compact Vivaldi Antenna Array for High Quality Radar Imaging SystemR. Kazemi1, A. Fathy2, R. A. Sadeghzadeh1, 1K. N. Toosi University of Technology, Tehran, Iran, 2University of Tennes-see, Knoxville, United StatesA low cost Vivaldi subarray with low dispersion and almost constant gain has been developed for high quality imaging applications. The array is fed by a low-loss SIW feed over 8-12 GHz. The SIW feed is comprised of optimized T and Y junctions to achieve less than 1 dB insertion loss, ±4° and ±0.9 dB phase and amplitude imbalances, respectively. The antenna has 9-13 dB gain, -14 dB SLL, and occupies 10cm×6cm. Obtained images show improve-ment compared to previously developed feeds.

WE1G-6 0930 – 0940A Novel Dual Polarization Antenna Array Fed by a Dual Mode Non-Radiative Dielectric WaveguideU. Schmid1, D. Lin2, W. Menzel3, 1Cassidian, Ulm, Germany, 2University of Ulm, Ulm, Germany, 3University of Ulm, Ulm, GermanyThis paper presents the design and implementation of a dual polarization antenna with a low loss, planar feeding structure applicable for both, communication and radar applications at mm-wave frequencies. The array and feed network efficiency is approximately 50%. Radiation patterns are given and discussed in detail. A higher gain can be achieved by duplicating the feed structure and the antenna subarrays.

WE1H: A Retrospective of Field Theory in Microwave EngineeringWednesday 20 June 2012

Time: 0800 – 0940 Room: 511CFChair: Poman So, University of Victoria

Co-Chair: Zhizhang (David) Chen, Dalhousie University

WE1H-1 0800 – 0820The Shoulders of the Giants on Which We StandJ. C. Rautio, Sonnet Software, Inc., North Syracuse, United StatesMaxwell’s equations did not first appear in the nicely packaged form we use today. In fact, for a full 23 years after Maxwell first introduced his equations and pointed out that they predicted the speed of light based solely on electrostatic and magnetostatic constants, Maxwell’s equations were widely ignored. We describe the process by which Maxwell’s equations came to be accepted, culminating with the publication of the experiments of Heinrich Hertz in 1888.

WE1H-2 0820 – 0840The Evolution and Impact of Advanced Analytical and Computational Electromagnetics during the Last 60 YearsC. A. Balanis, Arizona State University, Tempe, United StatesElectromagnetic theory, analytical and computational developments and advancements, and their impact on elec-tromagnetic technology, especially during the last 60 years, is discussed in this contribution.

WE1H-3 0840 – 0900Microwave Engineering Education from Field Theory to Circuit TheoryD. Pozar, University of Massachusetts at Amherst, Amherst, United StatesWhile the foundations of microwave engineering remain firmly based on Maxwell’s equations, the practice of mi-crowave engineering has changed over the last 60 years in terms of an increasing shift to circuit / transmission line theory for the design and analysis of problems of practical importance. This paper will discuss the changing roles of field theory and circuit theory, and the ways in which microwave engineering education has evolved to meet these changes.

WE1H-4 0900 – 0920A Cursory Historical Overview on the Evolution of Wireless CommunicationsM. Salazar-Palma1, T. K. Sarkar2, 1Universidad Carlos III de Madrid, Leganés, Spain, 2Syracuse University, Syracuse, United StatesThis presentation offers a historical overview on the evolution of field theory for wireless communications.

WE1H-5 0920 – 0940Field Theory for Electromagnetic Metamaterials and BeyondC. Caloz, D. L. Sounas, École Polytechnique de Montréal, Montréal, CanadaAfter a general definition and an overview of some historical milestones, we describe the two main theoretical approaches of metamaterials, the periodic structure and the polarization approaches, and point out their comple-mentariness. We next present the concept of multi-scale and multi-substance structures as a novel paradigm for next-generation metamaterials, and discuss the subsequent multi-physics challenges and opportunities at the light of some examples.

WEDNESDAY TECHNICAL SESSIONS 0800 – 0940

Page 53: IMS2012 Program

IMS W

EDN

ESDA

Y SESSIO

NS

IMS

› 50

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

WE2A: Circuits for Systems at W-band and BeyondWednesday 20 June 2012

Time: 1010 – 1150 Room: 513ABCChair: H. John Kuno, Quinstar

Co-Chair: Jae-Sung Rieh , Korea University

WE2A-1 1010 – 1030W-band Heterodyne Receiver Module with 27 K Noise TemperatureR. S. Gawande1, R. Reeves1, K. Cleary1, A. C. Readhead1, T. Gaier1, P. Kangaslahti1, L. Samoska2, S. Church2, M. Sieth2, P. Voll3, A. Harris4, R. Lai5, S. Sarkozy5, 1California Institute of Technology, Pasadena, United States, 2California Institute of Technology, Pasadena, United States, 3Stanford University, Stanford, United States, 4University of Mary-land, College Park, United States, 5Northrop Grumman Corporation, Redondo Beach, United StatesWe present measurements of a W-band heterodyne module populated with MMIC LNAs designed and fabricated using a 35 nm InP HEMT process. The module has a WR-10 waveguide input. At 25 K physical temperature, the module has a noise temperature in the range of 27-45 K over the frequency band of 75-111 GHz. The band-aver-aged module noise temperature of 350 K and 33 K were measured over 80-110 GHz for the physical temperature of 300 K and 25 K, respectively. The resulting cooling factor is 10.6.

WE2A-2 1030 – 1040W-Band Cryogenic InP MMIC LNAs with Noise Below 30K L. Samoska1, M. Varonen1, R. Reeves2, K. Cleary2, R. Gawande2, P. Kangaslahti1, T. Gaier1, R. Lai3, S. Sarkozy3, 1NASA Jet Propulsion Laboratory, Pasadena, United States, 2California Institute of Technology, Pasadena, United States, 3Northrop Grumman, Redondo Beach, United StatesWe describe two monolithic millimeter-wave integrated circuit (MMIC) low noise amplifiers (LNAs) for W-Band which have a noise temperature of 30K or better over a wide bandwidth when cryogenically cooled. The LNAs were designed in NGC’s InP HEMT MMIC process having 35 nm gate length. A two-stage amplifier has a minimum noise temperature of 25K at 95 GHz, and 40K noise between 75-105 GHz. A three-stage amplifier exhibits a cryogenic noise temperature below 30K over the range of 94-109 GHz.

WE2A-3 1040 – 1050Full W-band Power Amplifier/Combiner Utilizing GaAs TechnologyB. Kim, A. Tran, J. Schellenberg, QuinStar Technology, Torrance, United StatesThis paper reports the first full-band, W-band (75-110 GHz) power amplifier utilizing GaAs MMICs. The MMIC, de-veloped using a commercially available 0.1µm GaAs pHEMT process, demonstrated a small-signal gain of greater than 15 dB with a typical Psat of 14 dBm across W-band. Four of these MMICs were combined using a low-loss, 4-way septum combiner to produce an output power of 19 dBm ±1 dB across the 75 to 110 GHz band.

WE2A-4 1050 – 1110A W- and G-band MMIC Source Using InP HBT TechnologyR. Kozhuharov1, M. Bao2, M. Gavell1, H. Zirath1, 1Chalmers University of Technology, Gothenburg, Sweden, 2 Ericsson AB, Mölndal, SwedenA frequency doubler/quadrupler is designed and fabricated. The cross-coupled topology is used for broadband fun-damental and odd mode harmonic suppression. The multiplier is integrated with balanced V-band VCO. Choosing a waveguide with appropriate cutoff frequency at the output enables application of the integrated chip combining VCO with the multiplier as a W- band and G- band source which delivers -2dBm and -4dBm output power respec-tively in a 7% tuning range.

WE2A-5 1110 – 1130A CMOS 135-150 GHz 0.4 dBm EIRP Transmitter with 5.1dB P1dB Extension Using IF Envelope Feed-Forward Gain CompensationA. Tang1, D. Murphy1, F. Hsiao1, Q. J. Gu2, Z. Xu3, G. Virbila1, Y. Wang1, H. Wu1, L. Nan1, Y. Wu4, M. F. Chang1, 1Univer-sity of California at Los Angeles, Los Angeles, United States, 2University of Florida, Gainesville, United States, 3HRL, Malibu, United States, 4Northrop Grumman, Redondo Beach, United StatesA CMOS D-band 135-150 GHz transmitter is presented with digital control and on-chip antenna. The transmitter employs an IF feed-forward compensation scheme which improves the gain compression of the power amplifier by 5.1dB to provide a more linear AM-AM profile allowing reduced power back-off for modulation schemes with a high peak-to-average ratio. The proposed D-band transmitter consumes 255mW and occupies 2000 x 1500 um of silicon area. The proposed transmitter delivers a 0.4 dBm EIRP.

WE2A-6 1130 – 115028mW 10Gbps Transmitter for 120GHz ASK TransceiverK. Katayama1, M. Motoyoshi1, K. Takano1, N. Ono2, M. Fujishima1, 1Hiroshima University, Higashi-Hiroshima, Japan, 2STARC, Meguro-ku, JapanIn this paper, we describe a low-power millimeter-wave amplitude-shift-keying transmitter architecture and its design technique. This architecture adopts a push-push-type oscillator and load-pull-like design technique. The transmitter is fabricated using a 40nm CMOS technology. The measured carrier frequency is centering at 122GHz. The maximum output power is +0.1dBm with 28.3mW power dissipation. The on-off ratio is 18.2dB and the maxi-mum modulation speed is more than 10Gbps.

WE2B: Silicon RF and Microwave CircuitsWednesday 20 June 2012

Time: 1010 – 1150 Room: 512ABEFChair: Dietmar Kissinger, University of Erlangen-Nuremberg

Co-Chair: Tim Lee, Boeing

WE2B-1 1010 – 1030A 60 GHz BiFET Constructive Wave Power AmplifierT. Kijsanayotin, J. F. Buckwalter, University of California at San Diego, La Jolla, United StatesA V-band BiFET Constructive Wave Power Amplifier (BiFET CWPA) is presented that allows for a graceful degrada-tion in operation. The four-stage amplifier operates from 52-62 GHz with a peak small-signal gain of 10.7 dB at 60 GHz. Peak output saturation power (Psat) is 16.4 dBm with associated power-added efficiency (PAE) of 15.3%. The amplifier nominally consumes 52 mW (4 V x 0.13 mA) and the active area occupies only 0.074 mm2 of die space.

WE2B-2 1030 – 1050A 57-64 GHz Low-phase-variation Variable-gain AmplifierC. Hsieh, J. Kao, J. Kuo, K. Lin, National Taiwan University, Taipei, TaiwanA 57-64 GHz current-steering variable-gain amplifier (VGA) with low-phase-variation characteristics is presented in this paper. The phase analysis of current-steering topology reveals the effect of the phase compensation capaci-tor. The proposed VGA achieves peak gain of 13-15 dB from 57-67 GHz, and the phase variation is lower than 6.6° within 15.5-dB gain control range (GCR) in the desired band. The dc power consumption is 36 mW from 2-V supply voltage.

WE2B-3 1050 – 1100Compact Low Phase Imbalance Broadband Attenuator based on SiGe PIN DiodeA. O. Mikul1, S. Zhu1, P. Sun2, Y. You1, S. P. Sah1, D. Heo1, 1Washington State University, Pullman, United States, 2IBM, Hopewell Junction, United StatesA compact low phase imbalance broadband attenuator has been proposed and fabricated in a standard 0.18-um SiGe BiCMOS process. This inductor-less attenuator takes advantage of high linearity and low junction capacitance of the SiGe PIN (P-type Intrinsic N-type) diodes to achieve comparable performances with its GaAs counterparts.

WE2B-4 1100 – 1120A 1.8GHz Stacked Power Amplifier in 45nm CMOS SOI Technology with Substrate-Transferred to AlNJ. Chen, S. R. Helmi, H. Pajouhi, Y. Sim, S. Mohammadi, Purdue University, West Lafayette, United StatesA 1.8GHz power amplifier is implemented with a stack of 16 thin-oxide transistors in a standard 45nm CMOS SOI process. The conductive Si substrate of the PA is etched and replaced by Aluminum Nitride substrate to reduce the adverse effect of parasitic capacitances. The PA delivers a saturated output power of 26.5 dBm and a peak PAE of 19% when biased with low drain current. The results demonstrate the feasibility of implementing a PA using very low breakdown voltage transistors.

WE2B-5 1120 – 1140A Low Voltage Q-band CMOS LNA with Magnetic Coupled Cascode TopologyH. Yeh1, H. Wang1, C. Chiong2, 1National Taiwan University, Taipei, Taiwan, 2Academia Sinica, , TaiwanA Q-band low noise amplifier (LNA) is designed using in 90-nm low power (LP) CMOS.Besides,a transformer is placed between the cascode devices to reduce the noise figure and enhance the stability,also bandwidth.The LNA features a maximum gain of 13.8 dB and a minimum noise figure of 3.8 dB at 37 GHz,with a power consumption 18mW.The chip size is 0.93 x 0.52mm2,including all the testing pads.To the best of our knowledge,this is the first magnetic coupled cascode LNA in Q-band reported to date.

WE2B-6 1140 – 1150A Single Inductor Approach to the Design of Low-Voltage CMOS MB-OFDM UWB Frequency Synthe-sizerW. Li, K. M. Cheng, The Chinese University of Hong Kong, Hong Kong, Hong KongThis paper presents the frequency synthesizer for UWB applications.The proposed approach features a new system architecture and circuit implementation with reduced number of on-chip inductors, silicon area and power con-sumption. The synthesizer is fabricated in 0.18-µm CMOS process, with the measured phase noise of -119.4 dBc/Hz at 10 MHz offset and sideband suppression of better than 43.7 dBc. This chip occupies a core area of 1.2×0.35 mm2 and consumes 29.6 mW from a 1.2 V power supply.

WEDNESDAY TECHNICAL SESSIONS 1010 – 1150

Page 54: IMS2012 Program

IMS W

EDN

ESDA

Y SESSIO

NS

IMS

› 51

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

WE2C: Tunable Filters I: Tunable Filters with Narrow BandwidthsWednesday 20 June 2012

Time: 1010 – 1150 Room: 512CDGHChair: Stephen Holme, Loral/Space Systems

Co-Chair: Clark Bell, HF Plus

WE2C-1 1010 – 1030Fully Integrated Switchable Filter BanksE. R. Crespin, R. H. Olsson III, K. E. Wojciechowski, P. J. Clews, J. M. Gutierrez, D. W. Branch, R. B. Hurley, Sandia National Laboratories, Albuquerque, United StatesFully integrated switchable filter banks at S-band have been successfully demonstrated using a radiation hardened CMOS SOI process and an aluminum nitride microresonator process. Each had separate yet concurrent design cycles and was demonstrated prior to integration. After design improvements, a full monolithic integration was implemented. A 4-channel single chip switchable bank of 7MHz bandwidth filters at S-band was demonstrated with 8 dB of insertion loss and 60dB of stop band rejection.

WE2C-2 1030 – 1040Ultra-Narrowband Tunable Superconducting Filter with Constant Bandwidth and Same Transmis-sion Zero PointsH. Kayano, T. Kawaguchi, N. Shiokawa, K. Nakayama, M. Yamazaki, Toshiba Corporation, Kawasaki, JapanWe have proposed an ultra-narrowband tunable superconducting filter with constant bandwidth and same trans-mission zero points for X-band RF applications. This tunable filter tuned center frequency by dielectric rods with actuator. At the same time, coupling coefficients and external Q of the filter change with individual gradient. The tunable filter was tuning range of 150 MHz and fractional bandwidth of 0.04%. This is 40 times compared with 3 dB bandwidth.

WE2C-3 1040 – 1100Compact 2-Pole and 4-Pole 1.5-0.9 GHz Constant Absolute Bandwidth Tunable FiltersR. Stefanini, M. Chatras, P. Blondy, XLIM, Limoges, FranceCompact 2-pole and 4-pole tunable filters have been developed to cover the 0.9-1.5 GHz bandwidth. The filters are based on a mixed coupling so as to result in a near constant absolute bandwidth during tuning operation. They are fabricated on a duroid substrate (er=3.2) and tuned using varactor diodes. The 2-pole and 4-pole filters respectively result in a 60 +/-9 MHz and 74 +/- 15 MHz 3-dB absolute bandwidth on a wide tuning range ( 40 %).

WE2C-4 1100 – 1120Combline Tunable Bandpass Filter Using RF-MEMS Switched Capacitor BankS. Fouladi1, F. Huang1, W. D. Yan2, R. Mansour1, 1University of Waterloo, Waterloo, Canada, 2Huawei, Kanata, CanadaThis paper presents the design and implementation of a tunable combline filter based on tuning with the use of RF-MEMS capacitor banks. The use of MEMS tuning circuit results in the compact implementation of the filter with high-Q and near to zero DC power consumption. A two-pole filter is designed and measured. The filter operates at 2.5 GHz with a bandwidth of 22 MHz. Measurement results demonstrate a tuning range of 110 MHz while the quality factor is above 374.

WE2C-5 1120 – 1130Tunable High Quality-Factor Absorptive Bandstop Filter DesignT. Snow1, J. Lee2, W. J. Chappell2, 1NAVSEA, West Lafayette, United States, 2Purdue University, West Lafayette, United StatesA two-pole, substrate-integrated, high Q-factor absorptive bandstop filter is demonstrated that exhibits enhanced selectivity over traditional reflective bandstop filter designs and higher Q-factor components than previous ab-sorptive designs. With a Q of 729, the presented filter has a peak isolation of 30 dB, a narrow 10-dB rejection bandwidth of 3.9 MHz that is tunable over 3.4-3.8 GHz, exhibiting the same attenuation of a standard two-pole reflective notch filter with a Q-factor of 3100.

WE2C-6 1130 – 1150A Ka-band Planar TE011 Mode Cavity Tunable Filter using a Mode-Splitter RingB. Yassini, M. Yu, B. Keats, COM DEV, Cambridge, CanadaA TE011 Ka band tunable filter with a stable and continuous tuning performance is presented. A novel mode-splitter resonator and coupling configuration enabling cross-coupled planar TE011 filter realization is introduced. The concept can be applied to back-to-back coupled TE011 resonator as well. The idea is verified through fabrica-tion of a three-pole Ka-band tunable filter that demonstrates 500 MHz of tuning range with a stable RF tuning performance.

WE2D: Retrospective and Outlook of Microwave CADWednesday 20 June 2012

Time: 1010 – 1150 Room: 510BDChair: Q.J. Zhang

Co-Chair: Natalia K. Nikolova

WE2D-1 1010 – 1020Retrospective on Microwave CAD and Optimization TechnologyJ. W. Bandler, Q. S. Cheng, McMaster University, Hamilton, CanadaSome 45 years of microwave CAD technology includes least pth and minimax objectives, direct search and gradi-ent methods, and adjoint sensitivity techniques. The 1980’s saw the acceptance of commercial CAD software and yield-driven methodologies. The 1990’s introduced space mapping for design and modeling based on full-wave electromagnetic simulations. We address these and further advances in the context of today’s stringent require-ments for CAD solutions.

WE2D-2 1020 – 1040Space Mapping and Beyond: Knowledge-Driven Microwave Design OptimizationS. Koziel1, K. Madsen2, 1Reykjavik University, Reykjavik, Iceland, 2Denmark University of Technology, Lyngby, DenmarkThis paper reviews the concept of knowledge-driven microwave design optimization as well as specific design techniques, including space mapping, simulation-based tuning, and various response correction methods. Numeri-cal examples, as well as discussion on open problems and perspectives of these methodologies is also included.

WE2D-3 1040 – 1100Advanced Design and Optimization of Large Scale Microwave DevicesM. A. Ismail1, Y. Wang 2, M. Yu1, 1COM DEV Ltd, Cambridge, Canada, 2University of Ontario Institute of Technology, Oshawa, CanadaThis paper presents a summary of space mapping optimization application for the design of microwave devices used in satellite systems. Output multiplexers and switches are considered large scale microwave devices since they have a large number of parameters and they are very time consuming to simulate by electromagnetic simulators. Finite element EM based simulators and space-mapping optimization are combined to produce an accurate design for T-switches and manifold-coupled output multiplexers.

WE2D-4 1100 – 1120On Knowledge-Based Neural Networks and Neuro-Space MappingJ. E. Rayas-Sánchez1, Q. J. Zhang2, 1ITESO, Guadalajara, Mexico, 2Carleton University, Ottawa, CanadaWe review the most significant milestones in CAD methodologies for EM-based modeling and design optimiza-tion using artificial neural networks and space mapping. We consider knowledge-based and automatic neural network model generation. Neural space mapping methods for EM-based modeling, statistical analysis and yield estimation are described. Inverse modeling is addressed. Embedded passives, microstrip filters, active devices and waveguide structures illustrate the techniques.

WE2D-5 1120 – 1140Statistical Analysis and Yield Optimization in Practical RF and Microwave DesignsR. Biernacki, S. Chen, G. Estep, J. Rousset, J. Sifri, Agilent Technologies, Santa Rosa, United StatesThis paper provides a historical perspective of advances in statistical design methodologies for microwave design tools. We focus on some of the early efforts by John Bandler to turn his research advances into practical results. We describe the formulation of the powerful one-sided ℓ1 yield optimization problem. We discuss modeling require-ments and also describe applications of yield sensitivities and design of experiments as well as a recent technique for fast CMOS mismatch analysis.

WE2D-6 1140 – 1150Re-discovering Adjoint Sensitivities: Toward Field-based AnalysisN. K. Nikolova1, S. Dadash1, M. H. Bakr1, Q. Zhang2, 1McMaster University, Hamilton, Canada, 2Carleton University, Ottawa, CanadaComputing the derivatives of the scattering parameters of microwave devices with respect to shape and material parameters is a problem of significant interest in high-frequency computer-aided design. The pioneering work of Bandler, Monaco, Tiberio and others in the late 1960s and the early 1970s brought about the circuit-based sensitiv-ity analysis of microwave networks. Here, we discuss several recent developments in adjoint sensitivities.

WEDNESDAY TECHNICAL SESSIONS 1010 – 1150

Page 55: IMS2012 Program

IMS W

EDN

ESDA

Y SESSIO

NS

IMS

› 52

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

WE2E: Digital Pre-Distortion and Behavioural Modeling of High-Power Amplifiers

Wednesday 20 June 2012Time: 1010 – 1150 Room: 510AC

Chair: John Wood, Maxim Integrated ProductsCo-Chair: Slim Boumaiza, University of Waterloo

WE2E-1 1010 – 1030Band-Limited Volterra Series-Based Behavioral Modeling of RF Power AmplifiersC. Yu, L. Guan, A. Zhu, University College Dublin, Dublin, IrelandThis paper presents a new behavioral modeling technique for RF power amplifiers in future ultra wideband wireless systems. It is achieved by inserting a band-limited function into the Volterra operators to control the bandwidth of the output signal being modeled, which provides a simple and logical way to transform general Volterra series-based models into band-limited versions. Experimental results show that the new approach provides much higher accuracy compared to the conventional ones.

WE2E-2 1030 – 1050Modeling of Long Term Memory Effects in RF Power Amplifiers with Dynamic ParametersA. Soltani Tehrani, T. Eriksson, C. Fager, Chalmers University of Technology, Gothenburg, SwedenThis paper presents a new radio frequency power amplifier behavioral model that is capable of modeling long term memory effects. The proposed model is derived by assuming linear dependence of the parameters of a convention-al model to a long term memory parameter, which enables the model to better track the signal-induced changes of the power amplifier electrical behavior. The model is experimentally tested and shows a 2--3 dB improvement compared to common behavioral models.

WE2E-3 1050 – 1110A New Architecture for Frequency-Selective Digital Predistortion Linearization for RF Power Ampli-fiers.J. Kim1, P. Roblin1, X. Yang1, D. Chaillot2, 1Ohio State University, Columbus, United States, 2CEA, Gif sur Yvette, FranceA new frequency selective DPD for 2-band PA linearization. The algorithm used accounts for differential memory effects up to 5th order for bands with arbitrarily spacing. The preliminary test is performed using 2-band multi-tone signals with various tone spacing and band separation. All test signal and algorithm were implemented on a FPGA. The algorithm was applied to an RF amplifier at 965 MHz. The 3rd and 5th order distortion were reduced below the noise floor with IMD/ACPR of 55 dB.

WE2E-4 1110 – 1130Concurrent Dual-band Digital PredistortionL. Ding1, Z. Yang1, H. Gandhi2, 1Texas Instruments, Dallas, United States, 2Texas Instruments, Sunnyvale, United StatesThis paper focuses on digital predistorter design for dual-band signals with centers separated over a wide frequen-cy range. We provide theoretic analysis of the connection between wideband and dual-band memory polynomial models and propose two efficient implementation schemes. Performance was evaluated on an experimental setup using a Doherty PA and a dual-band signal with 97 MHz center separation. 31% drain efficiency was achieved with 41.2 dBm output power and both bands meeting -45 dBc ACLR.

WE2E-5 1130 – 1150Reducing Estimator Biases due to Equalization Errors in Adaptive Digital Predistortion Systems for RF Power AmplifiersR. N. Braithwaite, Powerwave Technologies Inc., Santa Ana, United StatesThis paper investigates degradations in a DPD-corrected amplifier due to biases in the coefficient estimation. Of specific interest is the effect of equalization errors in the observation path. It is proposed that the frequencies al-located to the input signal be notched within the estimator to reduce the effects of equalizer errors. Results show that the use of an estimator notch improves the ACLR2 performance by 11 dB and 16 dB for DPD implemented using memory and gain polynomials.

WE2F: RF Devices for Wireless Health Care Applications and BiosensingWednesday 20 June 2012

Time: 1010 – 1150 Room: 511ADChair: Katia Grenier, LAAS-CNRS

Co-Chair: Yanzhu Zhao, Medtronic Inc.

WE2F-1 1010 – 1030Simultaneous Localization and Respiration Detection of Multiple People Using Low Cost UWB Biometric Pulse Doppler Radar SensorY. Wang1, Q. Liu1,2, A. E. Fathy1, 1University of Tennessee, Knoxville, United States, 2Beijing Institute of Technology, Beijing, ChinaIn this paper, we present a low cost ultra wideband (UWB) biometric pulse Doppler radar sensor for respiration detection and monitoring applications. The developed sensor goes beyond detecting the breathing of a single person as conventional radars do; to simultaneously localizing and monitoring multiple human objects as well. The biometric sensor achieves a high range resolution of 3mm, which makes it capable of detecting very tiny motions, such as breathing and heartbeat.

WE2F-2 1030 – 10502-D Wireless Human Subjects Positioning System Based on Respiration DetectionsY. Su1, C. Chang1, J. Guo1, S. Chang1, 1National Chung-Cheng University, Chiayi , Taiwan, 2National Chung-Cheng University, Chiayi , Taiwan, 3Center of Advanced Institute of Manufacturing for High-tech. Innovations,National Chung-Cheng University, Chiayi , TaiwanThis paper presents a 2-D wireless positioning system for human subjects. A quadrature Doppler radar is developed to sense the presence of human subject upon the respiration signal detection, while the switched-beam phased antenna array is utilized to determine the target’s angular information. With two radars employed, the 2-D posi-tioning can be achieved based on angle of arrival (AoA) algorithm. Experiments by 2.28-GHz switched-beam radar systems have been performed for verification.

WE2F-3 1050 – 1110Accurate Nanoliter Liquid Complex Admittance Characterization up to 40 GHz for Biomedical Ap-plicationsT. Chen, D. Dubuc, K. Grenier, LAAS, Toulouse, FranceIn this paper is demonstrated an accurate liquid sensing technique in the nanoliter-range from 40 MHz to 40 GHz. The sensor is based on an interdigitated capacitor with a microfluidic channel placed on top to confine the liquid. Its sensing volume corresponds to 0.9 nL. Both alcohol and biological aqueous solutions have been precisely defined and distinguished in terms of capacitance and conductance’s contrasts with respect to pure water.

WE2F-4 1110 – 1130Remote Detection of Gastroesophageal Reflux Using an Impedance and pH Sensing Transponder H. Cao1, V. Landge1, S. Thakar1, S. Rao2, L. Hsu1, S. Tang3, S. Spechler4, H. Tibbals5, J. Chiao1, 1The University of Texas at Arlington, Arlington, United States, 2MED-WORX, Grand Prairie, United States, 3The University of Mississippi, Jackson, United States, 4The University of Texas Southwestern, Dallas, United States, 5University of Texas at Arlington, Arlington, United StatesWe developed a dual-sensor system to monitor the symptoms in gastroesophageal reflux disease (GERD). The system consists of an implantable transponder and an external reader. Bench-top experiments were conducted to examine the robustness of the wireless transponding system. Preliminary in vivo experiments were conducted with a live pig.

WE2F-5 1130 – 1150A Compact-Size Packaged Third-Order Harmonic Tag for Intraocular Pressure (IOP) Monitoring inside a Mouse EyeD. Ha1, T. Lin1, W. N. de Vries2, B. Kim1, A. L. Chlebowski1, S. W. John2, P. P. Irazoqui1, W. J. Chappell1, 1Purdue University, West Lafayette, United States, 2The Jackson Laboratory, Bar Harbor, United StatesThis paper presents the fabrication process of an ultrasmall size Parylene tag in which a micro-electromechanical systems (MEMS) capacitive pressure sensor is packaged with a self-expandable Nitinol antenna and a diode. From the device implanted inside the mouse eye, a resonance frequency shift of the third-order harmonic signal was detected with a sensitivity of approximately 1.5 MHz/mmHg at an 11.5 cm distance from the sensor as the pressure inside the mouse eye changed.

WEDNESDAY TECHNICAL SESSIONS 1010 – 1150

Microwaves without Borders:Italian researchers submitted 31 papers to this year’s IMS. Italy is one of the few region 8 countries with more than one MTT-S chapters: a northern chapter and a central/south chapter.

Page 56: IMS2012 Program

IMS W

EDN

ESDA

Y SESSIO

NS

IMS

› 53

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

WE2G: State of the Art of CMOS Low-Noise TechnologiesWednesday 20 June 2012

Time: 1010 – 1150 Room: 511BEChair: Joseph Bardin, University of Massachusetts Amherst

Co-Chair: Francois Danneville, IEMN

WE2G-1 1010 – 1030RF Noise Investigation in High-k/Metal Gate 28-nm CMOS TransistorsY. Tagro1, L. Poulain1, B. Dormieu2, S. Lepilliet1, D. Gloria2, P. Scheer2, G. Dambrine1, F. Danneville1, 1CNRS, Villeneuve d’Ascq, France, 2STMicroelectronics, Crolles, FranceIn order to pursue Moore’s law, the recent introduction of new Gate stack using High-k dielectrics and Metal Gate (H-K/MG) for CMOS has been a key point to downscale the “equivalent oxide thickness” (EOT). This paper investi-gates RF noise performance of a recent 28-nm H-K/MG CMOS Technology. For this purpose, S-parameters have been measured up to 110GHz and a two-temperature noise model have been used. The technology offers a NFmin of 0.8dB and a Gav of 14dB @20GHz, for Ids of 135mA/mm.

WE2G-2 1030 – 1050A 75.5-to-120.5-GHz, High-Gain CMOS Low Noise AmplifierD. Lu1, Y. Hsu1, J. Kao1, J. Kuo1, D. Niu2, K. Lin1, 1National Taiwan University, Taipei, Taiwan, 2Chung-Shan Institute of Science and Technology, Taoyuan County, TaiwanIn this paper, a high-gain and wideband low-noise amplifier using 65-nm CMOS process is proposed. A four-stage cascode configuration is adopted to achieve the high gain and wideband performance. With 24-mA dc current and 2-V supply voltage, the LNA not only provides gain higher than 20 dB from 75.5 GHz to 120.5 GHz, but also has a measured noise figure between 6 and 8.3 dB from 87 to 100 GHz. The output 1-dB compression power (OP1dB) is -3 dBm at 110 GHz, and the chip size is 0.55 × 0.45 mm2.

WE2G-3 1050 – 1110A 917-μW Q-band Transformer-Feedback Current-Reused LNA Using 90-nm CMOS TechnologyM. Huang1, J. Tsai2, T. Huang1, 1National Taiwan University, Taipei, Taiwan, 2National Taiwan Normal University, Taipei, TaiwanIn this paper, an ultra-low-power LNA is presented. By employing current-reused, and forward-body-bias tech-niques, a LNA can operate with micro-watt dc power consumption while maintaining reasonable gain perfor-mance. To reduce noise factor and bias current simultaneously, transformer feedback technique is selected. From the measurement results, the LNA exhibits a gain of 10.6 dB and noise figure of 5.4 dB at 40.2 GHz. Operated at a supply voltage of 1.0 V, the dc power consumption is 917 μW.

WE2G-4 1110 – 1130A V-band Low-Noise Amplifier with 5.3-dB NF and over 8-kV ESD protection in 65-nm RF CMOSM. Tsai1, S. S. Hsu1, T. Yeh2, C. Jou2, F. Hsueh2, 1National Tsing Hua University, Hsinchu, Taiwan, 2TSMC, Hsinchu, TaiwanThis paper presents an ESD-protected V-band LNA in 65-nm CMOS. Instead of using the conventional diode-based RF ESD design, a high current capability spiral inductor and a high breakdown MOM capacitor are employed as effective bi-directional ESD protection network, and also as part of the input matching by the co-design approach. The measured results demonstrate an over 8-kV ESD protection level with a NF of 5.3 dB and a power gain of 17.5 dB at 58 GHz, under a power consumption of 18 mW.

WE2G-5 1130 – 1150An 18 - 32 GHz Ultra Wideband Low-Noise Amplifier with a Low Variation of Group DelayB. Seo, S. Jeon, Korea University, Seoul, Republic of KoreaThis paper presents an 18-to-32-GHz ultra wideband (UWB) low-noise amplifier (LNA) in a bulk 0.13-μm CMOS technology. The LNA consisting of four stages exhibits a flat gain of 14.5 ± 1.5 dB over the entire 18-to-32 GHz and a noise figure of 5.5 ± 0.4 dB at K-band (18 to 26.5 GHz). Moreover, the group delay variation is suppressed as low as 63.5 ± 6.5 ps over 21 – 26 GHz. The wideband characteristics of gain and group delay are achieved by a slope offset technique.

WE2H: Microwave Components for Space: Trends and DevelopmentsWednesday 20 June 2012

Time: 1010 – 1150 Room: 511CFChair: Jim Sowers

Co-Chair: Steve Holme

WE2H-1 1010 – 1030MEMS Multi-Port Switches and Switch Matrices for Satellite ApplicationsM. Daneshmand1, A. A. Fomani2, M. M. Fahmi2, J. A. Ruiz-Cruz3, R. R. Mansour2, 1University of Alberta, Edmonton, Canada, 2University of Waterloo, Waterloo, Canada, 3Universidad Autonoma de Madrid, Madrid, SpainMass and volume of payload electronics are significant contributors to the overall cost of space systems. Satellite systems rely on switch matrices to provide system redundancy and to enhance capacity by providing flexible inter-connectivity. The RF-MEMS technology offers the potential of large reductions in the mass and volume of satellite switch matrices. This paper presents various configurations for highly miniature RF MEMS switch matrices and high power multiport waveguide switches.

WE2H-2 1030 – 1050Passive Components for Advanced Satellite Systems M. Yu, B. Yassini, A. Panariello, G. Healy, COM DEV, Cambridge, CanadaThis paper overviews some recent key passive component developments in the areas of passive filtering and multi-plexing, addressing improvements in aspects such as tunability, size/mass and insertion loss reduction, flexible and large frequency coverage and power allocation. Measured or projected improvements in each case are identified and the operational benefit derived articulated. Further projected developments are also addressed.

WE2H-3 1050 – 1110Active Components for Advanced Commercial Satellite SystemsN. H. Chiang, J. J. Sowers, M. Willis, L. Do, Space Systems/Loral, Palo Alto, United StatesAdvanced satellite systems require high performance RF/Microwave active components including LNA’s, Downcon-verters, Local Oscillators, and Linearized Channel Amplifiers to name a few. Vital parameters such as size/mass, DC power consumption, linearity, noise figure, RF output power, and bandwidth are optimized to meet the demand-ing requirements and competitive advantages for space applications. This paper will describe the latest advances in RF/Microwave active front-end components.

WE2H-4 1110 – 1130Highly Flexible Linearizer/Channel Amplifiers Using a MicrocontrollerA. Katz2, R. Gray1, G. Conway1, R. Dorval1, J. MacDonald1, 1Linear Space Technology, Hamilton, United States, 2The College of New Jersey, Ewing, United StatesThe Ku-band linearizer/channel amplifier (L/CAMP) in this paper is designed for use on a satellite. It employs an electrically controllable active FET predistortor with a wideband frequency response (2,050 MHz) that can be tailored over frequency. It can be used with either a TWTA or an SSPA. It is the first flight L/CAMP design with a microcontroller-based architecture to provide the ability to be reconfigured for multiple bus interfaces.

WE2H-5 1130 – 1150Frequency Synthesizer Design for Communications Satellite PayloadsL. Dayaratna, Lockheed Martin Commercial Space Systems, Newtown, United StatesFrequency Synthesizer design techniques for Communications Satellite Payloads are discussed. The growing com-plexity of satellite services, require the necessity to generate and control multiple frequencies simultaneously. They are required to be SEU hard and total dose tolerant.The design requirements are small size and mass, low phase noise and spectral purity, and low dc power.

WEDNESDAY TECHNICAL SESSIONS 1010 – 1150

Page 57: IMS2012 Program

IMS W

EDN

ESDA

Y SESSIO

NS

IMS

› 54

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

WE2J: Emerging Systems and ApplicationsWednesday 20 June 2012

Time: 1010 – 1150 Room: 513DEFChair: R. Mahmoudi , Technical University Eindhoven (TU/e)

Co-Chair: Cheng P. Wen, Peking University

WE2J-1 1010 – 1030A Max 349 GHz 18.2mW/Pixel CMOS Inter-modulated Regenerative Receiver for Tri-Color mm-Wave ImagingA. Tang1, Q. J. Gu2, Z. Xu3, G. Virbila1, M. F. Chang1, 1University of California at Los Angeles, Los Angeles, United States, 2University of Florida, Gainesville, United States, 3HRL, Malibu, United StatesThis paper presents a mm-wave imaging CMOS regenerative receiver which is inter-modulated by a second os-cillator to provide multiple receive bands at 349, 201 and 53 GHz for false color imaging. The proposed receiver consumes 18.2mW per pixel and occupies 0.021 sqmm of silicon area.

WE2J-2 1030 – 1050Microwave/Digital Signal Correction with Integrable NGD CircuitsB. Ravelo, Y. Liu, ESIGELEC, Saint Etienne du Rouvray , FranceThis paper presents a correction technique of signal integrity with fully integrable NGD circuit. Theory illustrat-ing the NGD topology functioning is introduced. The method for synthesizing the NGD circuit is established. The relevance of the technique is verified with a prototype showing the RC-effect annihilation. A correction of mixed signals with 1Gsym/s-rate is validated with simulations. Experimental results with 25Msym/s-rate input confirm the technique feasibility.

WE2J-3 1050 – 1110Direct Calculation Method for Matching Network Dynamic ControlQ. Gu, A. S. Morris, WiSpry, Inc., Irvine, United StatesThis paper presents a novel direct calculation method for matching network dynamic control utilizing an analytic algorithm based on an integrated measurement of load impedance. In principle, this approach has no limitations on the match tuned load impedance and the operating frequency, but in practice its operation is restricted by the matching network tolerances and the accuracy and dynamic range of the load impedance measurement circuitry.

WE2J-4 1110 – 1130CMOS Enabled Silicon Photonics for Data Center Packet SwitchingL. Chen, Y. Tang, J. E. Bowers, L. Theogarajan, University of California at Santa Barbara, Santa Barbara, United StatesA low-power high data rate optical packet switch integrating MZI based optical switch and 0.13um CMOS IC for data center switching. A novel truly diff. TIA w/high BW PSRR as frontend for 2.5Gbps packet header Rx is pre-sented. The TIA achieves 55.7dBOhm and 1.97GHz f3dB. We also demonstrate optical switch driver enabled by a compact supply-regulated driver that features variable output and for fast rise times. The driver chip is wirebonded to photonic IC, achieving 5ns switching time and 250uW.

WE2J-5 1130 – 1140A High Range Resolution 9.4/18.8 GHz Harmonic Radar for Bees SearchingZ. Tsai1, P. Jau2, N. Kuo2, J. Kao2, K. Lin2, F. Chang2, E. Yang2, H. Wang2, 1National Chung Cheng University, Taipei, Taiwan, 2National Taiwan University, Taipei, TaiwanThis paper demonstrates a 9.4/18.8 GHz harmonic radar to investigate the phenomenon of colony collapse disorder (CCD). Using the technique of C/A code positioning, a distance resolution of 0.15 m is achieved. The transponders are designed to minimize the effect from the bodies of bees. The outdoor experiments indicate the sensitivity of the radar system to be -95 dBm and with the distance of 65 m with 6-W peak power. With 3-kW peak power, the distance range are expected to be 450 m.

WE2J-6 1140 – 1150Results of Field Trials with Wide-Area Ubiquitous NetworkH. Hayashi1, O. Kagami2, M. Harada3, 1NTT , Yokosuka, Japan, 2NTT, Yokosuka, Japan, 3NTT , Atsugi, JapanFor the “Internet of Things,” wireless devices are required that have small size, long life, and long range communica-tion capability. One technology that meets these requirements is the wide-area ubiquitous network. This paper reports the field trials conducted over three years; services were remote reading / remote control of gas meters and bicycle management (location estimation / radio reachability). The test results confirm that the network can well support remote monitoring service.

WEDNESDAY TECHNICAL SESSIONS 1010 – 1150

St. Joseph’s Oratory

Construction works on Saint Joseph’s Oratory Basilica started in 1904 as a small chapel. The Oratory’s dome is the third-largest of its kind in the world after the Basilica of Our Lady of Peace of Yamoussoukro in the Ivory Coast and Saint Peter’s Basilica in Rome. More than 2 million visitors and pilgrims visit the Oratory every year. Saint Joseph’s Oratory Basilica is memorable part in any visit to Montréal. It is located at 3800 Queen Mary Road, at Côte-des-Neiges near to Côte-des-Neiges metro station.

© André Charron

© Marie-Helene Moccia

Page 58: IMS2012 Program

IMS W

EDN

ESDA

Y SESSIO

NS

IMS

› 55

WEP Wednesday 20 June 2012 Room: 517CD

Chair: Mohamed Bakr, McMaster University Co-Chair: Shirook Ali, Research In Motion (RIM)

WEPAWEPA-1: High Electromechanical Coupling MEMS Resonators at 530MHz using Ion Sliced X-cut LiNbO3 Thin FilmS. Gong1, L. Shi1, G. Piazza2, 1University of Pennsylvania, Philadelphia, United States, 2Carnegie Mellon Univeristy, Pittsburg, United StatesThis paper reports on a new type of micro-resonators enabled by micromachining of ion sliced X-cut LiNbO3 thin films. The demonstrated devices have shown a high electromechanical coupling (kt2) of 8.23%, the highest at-tained for laterally vibrating MEMS resonators. Device orientation was also varied to investigate its impact on kt2 and experimental data have shown good agreement with theoretical predictions.

WEPB-3: Laser Machined Microsystems for Active Frequency Selective Surfaces D. Robben1, S. F. Peik1, T. Henning1, M. Becker2, K. Froehner2, 1Bremen University of Applied Sciences, Bremen, Germany, 2NB Technologies GmbH, Bremen, GermanyPresented is the large scale integration of laser machined cantilever switches onto FSS for pass-band switching. A sheet of aluminum is patterned through laser cutting and attached by point laser welding to a frequency selective surface. The switch cantilever positions are adjusted by local thermal laser heating. As a result the response of the FSS can be shifted by electrostatic actuation of the switches. The design is verified by measurements on a 100 element active FSS at X-Band.

WEPA-2: A DC Voltage Dependent Switchable Acoustically Coupled BAW Filter Based on BST-on-Silicon Composite StructureS. A. Sis, V. Lee, J. D. Phillips, A. Mortazawi, University of Michigan, Ann Arbor, United StatesA DC voltage dependent switchable, bulk acoustic wave (BAW) filter is presented in this paper. The filter has a composite structure consisting of a barium strontium titanate (BST) layer sandwiched between top and bottom platinum (Pt) electrodes deposited on silicon (Si) and oxide (SiO2) layers. The electrostrictive property of ferroelec-tric BST allows for the filter to be turned on and off by applying an electric field across the BST layer.

WEPCWEPC-1: A 24 GHz CMOS Power Amplifier Using Reversed Body Bias Technique to Improve Linearity and Power Added EfficiencyJ. L. Kuo1, H. Wang2, 1National Taiwan University, Taipei, Taiwan, 2National Taiwan University, Taipei, TaiwanThe linearity and power added efficiency (PAE) of the power amplifier (PA) are improved by reversed body bias (RBB) using 0.18-μm CMOS technology and the bias dependence of the circuit performances is investigated. Nega-tive bias to the bulk and forward bias to the deep n-well of the MOSFET devices are used to reduce the effects of the parasitic diodes and change the threshold voltage (Vth), leading to enhanced linearity and power added efficiency for the PA.

WEPA-3: Bulk Acoustic Wave Resonators of Low Lateral Energy Leakage using Air Edge ReflectorJ. Shin1,2, I. Song1, M. Lee1, H. Park1, S. Son1, C. Kim1, D. Kim1, J. Cui1, S. Hwang1, J. Rieh2, 1Samsung Electronics Co. Ltd., Yongin, Republic of Korea, 2Korea University, Seoul, Republic of KoreaA novel bulk acoustic wave (BAW) resonator structure with air edge reflectors is proposed. The air reflectors pro-vided at the border of the resonator suppress the acoustic wave leakage travelling in lateral direction. As a result of optimized lateral structure, the Q-factor at anti-resonance frequency (Qa) is improved significantly to 2740. The effective electro-mechanical coupling coefficient (kt2) which is essential to achieve wide band-width of RF filters is increased considerably by 14%.

WEPC-2: A 24-GHz Low Power and High Isolation Active Quasi-CirculatorD. Huang, J. Kuo, H. Wang, National Taiwan University, Taipei, TaiwanA 24-GHz novel active quasi-circulator is developed in TSMC 0.18-um CMOS. We proposed a new architecture by us-ing the canceling mechanism to achieve high isolations and reduce the circuit area. The measured insertion losses |S32| and |S21| are 9 and 8.5 dB, respectively. The isolation |S31| is greater than 30 dB. The dc power consumption is only 9.12 mW with a chip size of 0.35 mm^2.

WEPA-4: Dielectric-less Quad-Ridge Adapters for Ferrite Faraday Rotators A. Morini, G. Venanzoni, Università Politecnica delle Marche, Ancona, ItalyThis paper presents an adapter between empty and ferrite filled circular waveguides, having quite different cross-sections, based on the use of empty quad-ridge waveguide sections. The absence of dielectrics improves the overall reliability of the device with respect to standard solutions, which employ partially filled waveguide transformers. Measurements of a built Faraday rotator are shown and discussed.

WEPC-3: Layout-Dependent Effects on High Frequency Performance and Noise in Sub-40nm Multi-Finger N-Channel and P-Channel MOSFETsK. Yeh, C. Chang, J. Guo, National Chiao Tung University, Hsinchu, TaiwanLayout dependent effects on high frequency performance parameters like fT, fMAX, and RF noise in sub-40nm multi-finger MOSFETs is investigated. Narrow-OD MOSFET with smaller finger width and larger finger number can achieve lower Rg and higher fMAX. However, these narrow-OD devices suffer fT degradation and higher noise fig-ure. The mechanisms responsible for the trade-off between different parameters provide an important guideline of device layout for nanoscale CMOS RF circuits design.

WEPA-5: Novel C-Band Tunable Bandpass Filter with Low Bias Magnetic Fields Using Partially Magnetized FerritesX. Yang, J. Wu, S. Beguhn, Z. Zhou, J. Lou, N. Sun, Northeastern University, Boston, United StatesA compact magnetically tunable bandpass filter on partially magnetized YIG substrate is presented. The bandpass filter led to a large frequency tunability of 7% (from 5.77 to 6.2GHz) under a low bias field of 0 to 100 Oe, while partially magnetized ferrites exhibit a tunable permeability μr range from 0.71 to 0.94. The bandpass filter also exhibited a good impedance match and a low insertion loss of about 1 dB.

WEPC-4: Copper Redistribution Layer Process for GaAs MMICsS. Takatani, T. Hsiao, K. Wu, Y. Chen, J. Wu, J. Chung, C. Lin, S. Tsai, WIN Semiconductors Corp., Kuei Shan Hsiang, TaiwanA new Cu metallization process is proposed that fabricates a redistribution layer on GaAs MMICs. This process en-ables the placement of Cu bonding pads and pillar bumps over an MMIC. PBO is used as the low-k polymer for isolation, and its thickness is designed to be 10 um to minimize the parasitic capacitance introduced by bonding pads placed on the active region of a HEMT. A HEMT switch fabricated by the proposed process exhibits good RF performance with negligible effect of the bonding pads.

WEPBWEPB-1: RF Burn-in of Dielectric-Charging Characteristics of Micro-Electromechanical Capacitive SwitchesD. Molinero1, C. Palego1, X. Luo1, J. C. Hwang1, C. L. Goldsmith2, 1Lehigh University, Bethlehem, United States, 2MEMtronics Co., Plano, United StatesWe report, for the first time, the benefit of RF burn-in at high power levels of MEMS capacitive switches. The switch, after burn-in, remain permanently much less vulnerable to dielectric charging and, presumably, more reliable. It was speculated that high levels changed the dielectric bond configuration, which prevented charge injection under DC bias. Obviously, more detailed study is needed, but this initial result is very encouraging and can facilitate the application in many RF systems.

WEPC-5: Design of Low Phase Noise LC VCO using Asymmetric Inductance Tank and HNFF Technology in InGaP/GaAs HBT ProcessC. Wang, N. Kim, Kwangwoon University, Seoul, Republic of KoreaA harmonic noise frequency filtering LC voltage-controlled oscillator is fabricated using asymmetric inductance tank in InGaP/GaAs heterojunction bipolar transistor MMIC technology. In order to optimize phase noise, the AIT and HNFF techniques are presented. The proposed VCO exhibited the phase noise of -117.3 dBc/Hz and -129.96 dBc/Hz at 100 kHz and 1 MHz offset frequencies and a tuning range from 1.46 GHz to 1.721 GHz. The total on-chip LC VCO is implanted in 0.85 × 0.85 mm2 chip area.

WEPB-2: Packaged BiCMOS Embedded RF-MEMS Switches with Integrated Inductive LoadsM. Kaynak1, M. Wietstruck1, W. Zhang1, J. Drews1, R. Barth1, D. Knoll1, F. Korndoerfer1, R. Scholz1, K. Schulz1, C. Wipf1, B. Tillack4, K. Kaletta2, K. Zoschke2, M. Wilke2, O. Ehrmann2, T. Purtova3, A. C. Ulusoy3, G. Liu3, H. Schum-acher3, M. Suchodoletz2, 1IHP GmbH, Frankfurt (Oder), Germany, 2Fraunhofer IZM , Berlin, Germany, 3Universität Ulm, Ulm, Germany, 4Technische Universität Berlin, Berlin, GermanyThis paper presents packaged BiCMOS embedded RF-MEMS switches with integrated inductive loads for frequency tuning at mm-wave frequencies. The developed technique provides easy optimization to maximize the RF per-formance at the desired frequency. Insertion loss less than 0.25 dB and isolation better than 20 dB are achieved from 30 to 100 GHz. SPDT switches for different frequency bands and a 24 – 77 GHz reconfigurable LNA are also demonstrated with excellent performance parameters.

WEPC-6: 40 Gb/s VCSEL Driver IC with a New Output Current and Pre-Emphasis Adjustment MethodB. Sedighi1, J. Scheyyt2, 1The University of Melbourne, Parkville, Australia, 2IHP, Frankfurt(Oder), GermanyDesign of a 40 Gb/s VCSEL driver IC capable of providing up to 10mA current to common-cathode VCSELs is pre-sented. Using low-power bandwidth enhancement techniques, a prototype IC is successfully developed in 180-GHz SiGe BiCMOS technology. Measured results show 34 GHz of bandwidth, open eye diagram with rise/fall time below 10 ps, and power dissipation of 130 mW.

WEDNESDAY INTERACTIVE FORUM 1330 – 1550

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Page 59: IMS2012 Program

IMS W

EDN

ESDA

Y SESSIO

NS

IMS

› 56

WEDNESDAY INTERACTIVE FORUM 1330 – 1550WEP Wednesday 20 June 2012

Room: 517CDChair: Mohamed Bakr, McMaster University

Co-Chair: Shirook Ali, Research In Motion (RIM)

WEPC-7: Development of a RF Waveform Stress Test Procedure for GaN HFETs Subjected to Infinite VSWR SweepsW. McGenn1, H. Choi1, J. Lees1, M. Uren2, J. Benedikt1, P. Tasker1, 1Cardiff University, Cardiff, United Kingdom, 2University of Bristol, Bristol, United KingdomAn RF waveform stress test has been developed in order to assess device degradation caused by the infinite VSWR conditions that could result from the removal of a protection isolator. The procedure was first applied with the device being stressed whilst driving into its optimum impedance and secondly with the device being stressed by one of the three potential failure regions that result from an infinite VSWR sweep.

WEPF-2: Direct Optimal Synthesis of Microwave Bandpass Filters with A General Loading Effect H. Meng, K. Wu, The Chinese University of Hong Kong, Hong Kong, Hong KongThis paper presents a direct synthesis method for a Chebyshev filter that is matched to a frequency variant load. By renormalizing the frequency variant load to the unity load, three necessary conditions for synthesizing the required polynomials are derived. The conditions can lead to a filter model cascaded by a piece of optimally designed trans-mission line. The method offers a deterministic yet flexible way for optimally designing a diplexer or a multiplexer with a realistic loading effect.

WEPDWEPD-1: A Modified Construction Method of Synthetic Basis Functions for Phased Antenna ArrayS. Xiang, G. Xiao, J. Mao, Shanghai Jiao Tong University, Shanghai, ChinaThis paper introduces a modified construction method of synthetic basis functions (SBFs) for analyzing the radia-tion problems of phased antenna arrays. The effect of the feeding sources and the responses of incident electro-magnetic fields are two relatively independent solution spaces. Singular value decomposition (SVD) method is used to extract the characteristic modes to the array from the two solution spaces separately. Numerical examples are given to validate the proposed method.

WEPF-3: Filter Tuning and Coupling Matrix Synthesis by Optimization with Cost Function Based on Zeros, Poles and Hausdorff Distance T. Kacmajor, J. Gulgowski, J. J. Michalski, TeleMobile Electronics Ltd., Gdynia, PolandThis elaboration proposes and investigates a new cost function used in filter tuning algorithm and coupling matrix synthesis. The cost function is defined based on the Hausdorff distance between the template sets (the sets of zeros and poles of template filter reflection and transmission characteristics) and the sets of zeros and poles described from optimized characteristics of a filter. The experiments showed the performance of the proposed cost function definition.

WEPD-2: Trapezoidal Envelope Pulse Dynamics in Debye-Model DielectricsK. E. Oughstun, C. L. Palombini, The University of Vermont, Burlington, United StatesThe evolution of a trapezoidal envelope microwave pulse as it penetrates into a Debye-type dielectric is described using asymptotic methods and numerical simulations. When the initial pulse rise time exceeds a critical value independent of the material relaxation time, the pulse evolution is dominated by a Brillouin precursor whose peak value decays algebraically with distance. The pulse then penetrates much farther into the material than that de-scribed by Beer’s law.

WEPF-4: A Ka-band Dual Mode Dielectric Resonator Loaded Cavity Filter for Satellite ApplicationsS. C. Holme, J. S. Fiedziuszko, S. D. Berry, Space Systems/Loral, Palo Alto, United StatesA high performance, Ka-Band, dielectrically loaded dual mode cavity filter has been developed. It utilizes higher order HE12δ modes to achieve high Q factors, wide tuning range, and a large range of bandwidths. Similarity of the design with a more conventional HE11δ design results in high commonality of parts with Ku-band designs and thus lower cost. Index Terms — Ceramics, dielectric resonators, microwave filters, miniaturization, dielectric-resonator filters.

WEPD-3: Method of Moments Modeling of Microstrip Patch Antennas with Automatic GPU Accelera-tionA. Cerjanic1, B. Sheikman2, I. Chatterjee1, 1University of Nevada, Reno, Reno, United States, 2GE, Minden, United StatesInterpreted languages such as MATLAB often provides efficiency at the cost of performance. To sidestep this trad-eoff, we demonstrate how a frequency domain method of moments routine written in MATLAB for microstrip patch antennas can be automatically accelerated on GPU hardware. The MATLAB code performance is compared with the accelerated code. Acceleration of the matrix filling routine was observed to be around 99 times the interpreted MATLAB code in the results described.

WEPGWEPG-1: Linear Amplification Apparatuses of Class-C Power Amplifier Using Partial Envelope Delta-Sigma ModulationJ. Kim1, C. Park2, 1ETRI, Daejeon, Republic of Korea, 2KAIST, Daejeon, Republic of KoreaA new signal processing method that partially encodes the envelope signal using a delta sigma modulator (EDSM) into a bi-level signal according to the magnitude of the envelope, has been introduced to utilize a high efficiency class-C amplifier for linear amplification.

WEPEWEPE-1: A Ka-Band Broadband Active Frequency Doubler Using a CB/CE Balanced Configuration in 0.18 um SiGe BiCMOS ProcessG. Chen, Y. Yeh, H. Chang, Y. Hsin, National Central University, Jhongli City, TaiwanA Ka-band broadband frequency doubler in 0.18 um SiGe BiCMOS technology is presented in this paper. The fre-quency doubler employs a configuration of a CB/CE pair to enhance the second harmonic. This frequency doubler features a conversion gain of higher than -7 dB between 26 and 40 GHz. The maximum output P1dB is 4.3 dBm and the output Psat is higher than 5 dBm at 31 GHz. This work demonstrates the first SiGe-based frequency doubler using CB-CE configuration covering the entire Ka band.

WEPG-2: 2-Watt Broadband GaN Power Amplifier RFIC Using the ft Doubling TechniqueA. El-Gabaly, C. E. Saavedra, Queen’s University, Kingston, CanadaA broadband power amplifier (PA) is reported using the ft doubling technique which delivers more than 2 W of saturated output power over a span of 6 GHz. The PA exhibits a power gain of 12.2 +/- 0.2 dB over its operating frequency range, yielding a gain-bandwidth product of more than 1.5 ft. The PA has an OP1dB and an OIP3 of more than 31 dBm and 40 dBm respectively. The IC was fabricated using a 0.8-um GaN process and the core circuit occupies an area of 925um X 895um.

WEPE-2: E-Band Active Frequency-Multiplier-by-Eight MMIC with > 20 dB Conversion Gain and Excel-lent Spurious SuppressionU. J. Lewark1, A. Tessmann2, H. Massler2, A. Leuther2, I. Kallfass1, 1Karlsruhe Institute of Technology, Karlsruhe, Germany, 2Fraunhofer IAF, Freiburg, GermanyWe present an active eight-fold frequency-multiplier with more than 20 dB conversion gain. The output 3-dB bandwidth is 72 to 85 GHz, forming a tunable frequency source within the 71 to 76 and 81 to 86 GHz communica-tion bands with a saturated output power of 10 dBm for LO generation with an input power of only -8 dBm. The suppression of unwanted harmonics is better than 37 dBc. The MMIC is realized in a metamorphic HEMT technology with 100 nm gate-length.

WEPG-3: Continuous-ClassF3 Power Amplifier Mode Varying Simultaneously First 3 Harmonic Imped-ancesV. Carrubba1, R. Quay1, M. Schlechtweg1, O. Ambacher1, M. Akmal2, J. Lees2, J. Benedikt2, P. J. Tasker2, S. C. Cripps2, 1Fraunhofer IAF, Freiburg, Germany, 2Cardiff University , Cardiff, United KingdomThis paper presents for the first time the broadband Continuous-ClassF3 mode power amplifier (PA) extended to include a variable reactance third harmonic impedance. It will be demonstrated that by proper manipulation of the voltage and current waveforms different optimum first three impedance solutions can be identified. This allows the design of high efficiency and broadband power amplifiers.

WEPFWEPF-1: Hemispherical and Aspheric WGM Dielectric Resonators with Conducting Plane: Radiation and Conductivity Losses in Millimeter Wavelength RangeN. T. Cherpak1, A. A. Barannik1, M. S. Kharchenko1, S. A. Vitusevich2, 1Usikov Institute of Radiophysics and Electron-ics, National Academy of Sciences of Ukraine, Kharkiv, Ukraine, 2Peter Gruenberg Institute, Juelich, GermanyElectromagnetic properties of a number of WGM dielectric resonators with conducting endplates are studied. They differ in the shape. For the hemispherical resonator the results of analytical calculation and Ka-band experimental measurements of radiation losses are correlated, although the experimental values are higher. A resonator in the form of aspheric+cylindrical disk is the most promising for surface impedance characterization of unconventional superconductors and other conductors.

WEPG-4: Envelope Tracking Power Amplifier with Dual-Mode Supply Modulator for LTE AppliciationsJ. Kim1, D. Kim1, Y. Cho2, D. Kang3, B. Park2, B. Kim1, 1POSTECH, Pohang, Republic of Korea, 2POSTECH, Pohang, Republic of Korea, 3Broadcom, Matawan, United StatesThis paper presents an envelope tracking power amplifier (ET PA) using a dual-mode supply modulator for handset applications. The dual-mode supply modulator operates in high power mode and low power mode by converting the supply voltage of the linear amplifier. The ET PA delivers a power-added efficiency of 39.8 / 22.6% at an average output power of 27 / 18 dBm with a 10-MHz LTE signal having a peak-to-average power ratio of 6.44 dB.

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Page 60: IMS2012 Program

IMS W

EDN

ESDA

Y SESSIO

NS

IMS

› 57

WEP Wednesday 20 June 2012 Room: 517CD

Chair: Mohamed Bakr, McMaster University Co-Chair: Shirook Ali, Research In Motion (RIM)

WEPG-5: Efficiency-Enhanced Doherty Amplifier with Extended Bandwidth Based on Asymmetrical Drain VoltageQ. Lei, S. He, N. Zhang, F. You, L. Dong, Z. Hu, University of Electronic Science and Technology of China, Chengdu, ChinaThe paper presents an asymmetrical Doherty power amplifier (A-DPA) improving back-off efficiency and operating bandwidth through considering knee-voltage and impedance-transformation-ratio of inverter. Within a band-width of 300MHz, measurements perform drain efficiency above 41% and Gain of 13.5~14.7dB over 7dB back-off. At average output 43 dBm and with linearization, the A-DPA shows ACLR less than -45.8dBc with drain efficiency above 41.4% in 2.25~2.55GHz under WCDMA signal with 7.8 dB PAPR.

WEPG-12: Overlapped Segment Piece-wise Polynomial Pre-distortion for the Linearisation of Power Amplifiers in the Presence of High PAPR OFDM SignalsS. Bensmida1, K. Mimis1, K. A. Morris1, M. A. Beach1, J. P. McGeehan1, J. Lees2, J. Benedikt2, P. J. Tasker2, 1University of Bristol, Bristol, United Kingdom, 2Cardiff University, Cardiff, United KingdomA modified piece-wise polynomial pre-distortion is proposed, investigated and compared against classic memory-less polynomial pre-distortion. The proposed method is shown to consistently outperform classical polynomial pre-distortion in terms of required coefficients and linearity improvement. The method is applied for the linearisation of an envelope tracking Class-J PA at 1.7GHz, under a 1.4MHz LTE signal with a 14.4dB PAPR.

WEPG-6: A Low/High-Mode Power Amplifier With Envelope-Tracking OperationY. Cho1, D. Kang3, J. Kim2, D. Kim2, B. Park1, B. Kim1, 1POSTECH, Pohang, Republic of Korea, 2Broadcom Corporation, Matawan, United StatesThis paper presents a low/high-mode power amplifier that improves the efficiency in low-power regions. This PA operates in two modes through path control by a shunt switched-capacitor. We use an long term evolution signal at 1.71 GHz. The dual-path PA with a boosted supply modulator for the envelope-tracking operation exhibits a power-added efficiency of 39.9% \ 30.3% and an adjacent channel leakage ratio of -35.7 dBc \ -32.8 dBc at an average output power of 27 dBm \ 15.5 dBm, respectively.

WEPG-13: First Demonstration of AlInN/GaN HEMTs Amplifiers at K Band O. Jardel1, G. Callet1, D. Lancereau1, J. Jacquet1, T. Reveyrand2, N. Sarazin1, R. Aubry1, S. Léger1, E. Chartier1, M. Oualli1, C. Dua1, S. Piotrowicz1, E. Morvan1, M. Di Forte Poisson1, S. Delage1, 1III-V Lab, Marcoussis CEDEX, France, 2XLIM, Brive-la-Gaillarde, FranceAlInN/GaN HEMTs have shown outstanding power performances for high frequency applications. In this paper, we present the first published power results of two K-band hybrid amplifier demonstrators at 20GHz and 26.5GHz using 0.25µm gate length devices. At these frequencies, respectively, cw RF output power of 4.5 Watts with 20% PAE and 1.65 W with 15.5 % of PAE were obtained. These state-of-the-art results confirm the potential of AlInN/GaN technology for high frequency applications.

WEPG-7: Effect of Input Second Harmonic Control for Saturated AmplifierJ. Moon2, S. Jee1, S. Kim1, J. Kim1, J. Son1, J. Lee 1, S. Kim1, B. Kim1, 1POSTECH, Pohang, Republic of Korea, 2Samsung Electronics Company Ltd., Suwon, Republic of KoreaEffect of input second harmonic control on saturated amplifier is investigated. With both input and output nonlin-ear capacitors, the output capacitor, which generates a lot of second harmonic with small higher harmonics, can be employed for the half-sinusoidal voltage shaping. Thus, the input second harmonic can be terminated to reduce the conduction angle for high efficiency.

WEPG-14: An 8W GaN-Based H-Bridge Class-D PA for the 900 MHz Band Enabling Ternary CodingA. Wentzel1, C. Meliani1, G. Fischer2, W. Heinrich1, 1FBH, Berlin, Germany, 2Universität Erlangen-Nürnberg, Erlangen, GermanyThis paper presents an H-bridge class-D power amplifier (PA) for the 900 MHz band based on GaN MMICs. For a classical BPDS modulated signal, a maximum output power of 34.5 dBm with a drain efficiency of 22% is obtained. Using a periodic square-wave input signal, the PA achieves a peak output power of 39 dBm and maximum drain efficiency of 48%. Investigating different binary and a ternary coding schemes, up to 30% drain efficiency at 6 dB power back-off is achieved.

WEPG-8: Design of Dual-Band Multi-Way Doherty Power AmplifiersX. Li1, W. Chen1, Z. Lu1, Z. Feng1, F. M. Ghannouchi2, Y. Chen1, 1Tsinghua University, Beijing, China, 2University of Calgary, Calgary, CanadaA design technique for dual-band multi-way Doherty power amplifier (PA) using dual-band networks is proposed in this paper. A T-network and a two-section line network are used to implement dual-band impedance trans-former. Experimentally, the proposed PA achieves a power added efficiency (PAE) of 33% at 7dB backoff and 29% at 8 dB backoff from the saturated output power at 0.92 GHz and 1.99 GHz. Compared to other dual-band Doherty PAs, the back-off range of the proposed Doherty PA is much wider.

WEPG-15: RF Switch-Mode Power Amplifier with an Integrated Diplexer for Signal Reconstruction and Energy RecoveryS. Nijam Ali, T. Johnson, The University of British Columbia, Kelowna, CanadaA new RF switch-mode amplifier circuit topology is proposed that is motivated by implementation challenges us-ing class D and class S circuit topologies. The amplifier concept can be implemented with a single power device (switch) with a broadband output match and diplexer load. The diplexer simultaneously provides signal reconstruc-tion filtering to extract the source signal from the encoded pulse train and a bandstop output port that is configured to recover out-of-band energy.

WEPG-9: A 40W Push-Pull Power Amplifier for High Efficiency, Decade Bandwidth Applications at Microwave FrequenciesR. M. Smith, J. Lees, P. J. Tasker, J. Benedikt, S. C. Cripps, Cardiff University, Cardiff, United KingdomA high-efficiency push-pull power amplifier has been developed across a bandwidth of 250MHz to 3.1GHz. The output power was 46dBm with drain efficiency above 45% between 700MHz and 2GHz, with a minimum output power of 43dBm across the entire band. The design was realized using a transmission line balun, which provides a broadband 2:1 impedance transformation ratio and reduces the need for conventional matching. The performance is believed to be the best reported to date at these frequencies.

WEPG-16: Kahn Envelope Elimination and Restoration Technique Using Injection-Locked OscillatorsC. Chen1, Y. Lin1, T. Horng1, K. Peng2, C. Li3, 1National Sun Yat-Sen University, Kaohsiung, Taiwan, 2National Kaohsiung First University of Science and Technology, Kaohsiung, Taiwan, 3National Taipei University of Technology, Taipei, TaiwanThis paper presents a novel EER transmitter using injection-locked oscillators. In the proposed architecture, an injection-locked oscillator that is combined with a mixer and a low-pass filter generates a corresponding envelope signal and a phase-modulated RF carrier signal of the input-modulated RF signal. The constructed prototype of the EER transmitter achieves a 21-dB overall gain and a 36% power-added efficiency at an average output power of 23 dBm for EDGE signals.

WEPG-10: Low Frequency Dithering Technique for Linearization of Current Mode Class D AmplifiersF. Arfaei Malekzadeh, A. van Roermund, R. Mahmoudi, Eindhoven University of Technology, Eindhoven, NetherlandsCombination of a band-pass signal with a low frequency sinusoid signal, also known as dithering, will linearize the performance and reduce the reactive power loss of class D amplifiers. The expectations are verified through realiza-tion and measurement of a 2 watt current mode LDMOS class D amplifier operating at 2.014 GHz. The drain effi-ciency is enhanced from 55 to 59 percent, while giving ACPR levels below -33dBc for first WCDMA adjacent channel.

WEPHWEPH-1: L-band Wideband Filter in 0.13 µm CMOS with High Common-Mode Rejection A. Taslimi, K. Mouthaan, National University of Singapore, Singapore, SingaporeAn L-band filter in 0.13 µm CMOS with high common-mode rejection over a wide bandwidth range is presented. The high common-mode rejection in the passband is realized by shifting the common-mode transmission zeros into the passband while maintaining the differential-mode bandpass response. The measured differential-mode insertion loss and return loss of the filter with 62% bandwidth from 1 GHz to 1.9 GHz and the common-mode rejection are better than 3.2 dB, 12.3 dB and 23.5 respectively.

WEPG-11: Ultra Broad Band CMOS Balanced Amplifiers Using Quadrature Power Splitters on Glass Integrated Passive Device (GIPD) and Low Temperature Cofired Ceramic (LTCC) with Flip Chip Inter-connects for SiP IntegrationH. Lu1, C. Kuo2, S. Wei1, P. Huang1, H. Wang2, 1National Taiwan University, Taipei, Taiwan, 2National Taiwan University, Taipei, TaiwanTwo balance amplifiers at 2.5~12GHz with 1dB gain flatness and under 10dB return loss are presented. Low loss broadband quadrature power splitters for BAs are realized on GIPD and LTCC. 3rd order bandpass filter is used for output matching network of 0.18um CMOS unit amplifier in BA for good output power and S22. These BAs have widest bandwidth with smallest gain variation among power amplifiers under 15 GHz. Highest ratio of OP1dB to power stage transistor size is achieved on CMOS process.

WEPH-2: Power Handling of High-Q Evanescent-Mode Tunable Filter with Integrated Piezoelectric ActuatorsK. Chen1, H. H. Sigmarsson2, D. Peroulis1, 1Purdue University, West Lafayette, United States, 2The University of Oklahoma, Norman, United StatesThis paper investigates for the first time the power handling versus tuning ratio trade-off for high-Q evanescent-mode tunable cavity bandpass filters with piezoelectric actuators. A non-linear circuit model is proposed to pre-scribe the high-power effects on the filter performance. A new parameter, distortion ratio (DR), is utilized to quan-tify the non-linear frequency-response distortion induced by unwanted displacements of the filter’s piezoelectric actuators due to high RF signals.

WEDNESDAY INTERACTIVE FORUM 1330 – 1550

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Page 61: IMS2012 Program

IMS W

EDN

ESDA

Y SESSIO

NS

IMS

› 58

WEDNESDAY INTERACTIVE FORUM 1330 – 1550WEP Wednesday 20 June 2012

Room: 517CDChair: Mohamed Bakr, McMaster University

Co-Chair: Shirook Ali, Research In Motion (RIM)

WEPH-3: A Tunable Low-Pass Filter Using a Liquid-Metal Reconfigurable Periodic Defected Ground StructureS. Guo, B. Lei, W. Hu, W. A. Shiroma, A. T. Ohta, University of Hawaii at Manoa, Honolulu, United StatesA new type of tunable low-pass filter is demonstrated that uses liquid metal to reconfigure a defected ground structure (DGS). By filling in different DGS lattices with Galinstan liquid metal, the tunable low-pass filter provides tuning of up to eight cutoff frequencies. Measurements of four of the cutoff frequencies show a 62% tuning range while maintaining a stopband of more than 5 GHz.

WEPJ-5: Efficiency and Linearity of Power Amplifiers with External Harmonic InjectionA. R. Dani, M. D. Roberg, Z. Popovic, University of Colorado Boulder , Boulder, United StatesThis paper discusses a method for improving the efficiency of linear power amplifiers by externally injecting power into the output at the second harmonic frequency. An experimental proof-of-concept PA based on class-A/AB mode with a 10-W GaN pHEMT at 2.45GHz is presented, and its efficiency improved from 58% to 75% with –6.5 dBc injected 2nd harmonic power. Two-tone measurements confirm improved linearity with simultaneous increase in efficiency and gain compression at higher input power.

WEPH-4: Novel Stretchable Electrically Conductive Composites for Tunable RF Devices F. Cai, Z. Li, J. C. Agar, C. P. Wong, J. Papapolymerou, Georgia Institute of Technology, Atlanta, United StatesStretchable RF devices that are flexible and tunable fabricated by Poly(dimethylsiloxane)(PDMS) electrically conductive composites (ECC)are presented. This type of composite allows to mechanically change the length of a device, thus, resulting in tuning of its frequency response. A tunable loop antenna and low-pass filter operating at 1.5 GHz are demonstrated. This technology opens the door to mechanically tunable RF devices integrated on flexible substrates.

WEPJ-6: Tunable Outphasing for Power Amplifier Efficiency Improvement under Load MismatchC. Sanchez-Perez1, D. Sardin2, M. Roberg2, J. de Mingo1, Z. Popovic2, 1Instituto de Investigacion de Inegnieria en Aragon, Zaragoza, Spain, 2University of Colorado at Boulder, Boulder, United StatesLoad mismatch in RF high power amplifier leads to efficiency reduction or amplifier failure. Instead of impedance tuning, in this paper an outphasing architecture with a tunable non-isolated combiner is used to reduce sensitivity to load variations. Experimental results with two GaN class AB amplifiers at 2.14GHz show it is possible to maintain the efficiency above 50% over a wide range of impedances, while maintaining the output power within a few dB around 20W.

WEPH-5: Varactor-Tuned Dual-Band Filter with Constant Absolute BandwidthY. Zhao, T. Liu, T. Xu, Q. Nie, Y. Xia, R. Wang, G. Jiang, Y. Ye, Ningbo University, Ningbo, ChinaThis paper proposed a novel varactor-tuned dual-band filter with constant absolute bandwidth. It is composed of a pair of half-wavelength open-ended resonators and a pair of quarter-wavelength short-ended resonators. The resonators utilized two different methods to control the electric and magnetic coupling so as to achieve constant absolute bandwidth. A dual-band tunable filter is designed, and the measured results of the filter illustrate the effectiveness of this design method.

WEPKWEPK-1: Broadband Leaking Carrier Cancellation for RFID SystemsG. Lasser, R. Langwieser, R. Dallinger, C. F. Mecklenbräuker, Vienna University of Technology, Vienna, AustriaReader systems for passive RFID tags suffer from a strong self generated interferer. This problem is often addressed by narrow-band leaking carrier cancelers. In this paper we present measurements of the leakage channel in an RFID system. We evaluate the leakage reduction that can be achieved by a novel analog broadband leakage canceller in the RF domain. Our proposed method allows for suppression of transmitter noise components and facilitates new broadband technologies.

WEPJWEPJ-1: Substrate Integrated Waveguide (SIW) Power Amplifier Using CBCPW-to-SIW Transition for Matching NetworkZ. Wang1, S. Adhikari2, D. Dousset2, C. Park1, K. Wu2, 1Université du Québec à Rimouski, Rimouski, Canada, 2Poly-Grames Research Center, Montreal, CanadaA novel substrate integrated waveguide (SIW)-based 10W power amplifier (PA), designed with conductor-backed coplanar waveguide (CBCPW)-to-SIW transition matching network (MN), is presented. Asymmetrical and sym-metrical types of CBCPW-to-SIW transition MN are proposed. Measured results show that the maximum PAE is 54.24 % with 39.74 dBm output power and the maximum gain is 13.31 dB. The size of the proposed SIW-based PA is comparable with other microstrip-based PAs.

WEPK-2: Design and Characterization of a Miniaturized Patch Antenna for Passive UHF RFID Applica-tionsA. E. Abdulhadi , H. Memarzadeh Tehran, R. Abhari, McGill University, Montreal, CanadaA low profile RFID tag consisting of a compact microstrip patch antenna for operation at UHF RFID band is proposed. The patch is backed by a mushroom-type Electromagnetic Band Gap (EBG) structure in order to achieve an area reduction of 29.11% in comparison with a conventional patch design. The frequency response of the assembled tag was obtained from measurement and compared with simulation. The maximum reading range of the tag was found to be around 11 m.

WEPJ-2: Digital Predistortion Using Non-Stationary Windowed Sequences for LTE Power Amplifier LinearizationL. Aladrén, P. García-Dúcar, J. de Mingo, P. . Carro, C. Sánchez-Pérez, University of Zaragoza, Zaragoza, SpainDigital PreDistortion (DPD) is a well-known method to reduce nonlinear distortion in RF power amplifiers. We pres-ent a study on the performance of this linearization technique using non-stationary windowed training sequences. In a Long Term Evolution uplink transmission, the modulation schemes are adaptive. Thus, the DPD behavior may change decreasing its linearity performance. This effect can be reduced using a suitable training signal, observing improvements in adjacent channel leakage ratio.

WEPK-3: Increasing Performance of SDR-based Collision-Free RFID SystemsD. De Donno1, V. Lakafosis2, L. Tarricone1, M. Tentzeris2, 1University of Salento, Lecce, Italy, 2Georgia Institute of Technology, Atlanta, United StatesIn this paper, we show that a significant reduction of 26% in the RFID inventory time with collision recovery is feasible in real time relying, for the first time, on actual measurements taken with an SDR RFID Reader and off-the-shelf programmable Tags. The advantages of deploying our working prototype testbed in conveyor belt systems are highlighted. We achieve a 26% belt speed increase maintaining the exact same reading reliability and up to 84% of RFID Reader power consumption savings.

WEPJ-3: Novel Wideband GaN HEMT Power Amplifier Using Microstrip Radial Stub to Suppress HarmonicsZ. Wang, C. Park, Université du Québec à Rimouski, Rimouski, CanadaIn this paper, a novel wideband GaN HEMT power amplifier (PA) using microstrip radial stub (MRS) in both input and output matching networks to suppress harmonic components of 2.14 GHz is presented. With the wideband harmonic suppressing charateristic of MRS, the measured maximum power added efficiency (PAE) is 80.52% with 40.53 dBm output power at 2.14 GHz. At least 50% PAE and 37 dBm output power over a 12% bandwidth from 2 GHz to 2.26 GHz is achieved. The maximum gain is 20.25 dB.

WEPLWEPL-1: Complex Frequency versus Complex Propagation Constant Modeling and Q-Balancing in Periodic StructuresS. Otto1, A. Rennings1, K. Solbach1, C. Caloz2, 1Universität Duisburg-Essen, Duisburg, Germany, 2École Polytechnique de Montréal, Montréal, CanadaThe paper compares the complex frequency modeling and the complex propagation constant modeling of pe-riodic structures based on a generalized unit cell model, and derives the mathematical relation between these two approaches. Moreover, it shows that only under the condition of Q-balancing, the phase-frequency responses calculated by the two approaches are identical. The Q-balancing condition corresponds to the Heaviside condition for distortionless uniform lossy transmission lines.

WEPJ-4: Highly Efficient GaN Doherty Power Amplifier with 100 MHz Signal Bandwidth for 3.5 GHz LTE-Advanced ApplicationJ. Xia1, X. Zhu1, L. Zhang1, J. Zhai1, J. Wang2, M. Yang1, Y. Sun1, 1Southeast University, Nanjing, China, 2Nanjing University of Science and Technology, Nanjing, ChinaThis paper presents highly efficient GaN Doherty power amplifier with 100MHz signal bandwidth for 3.5GHz LTE-A system. The carrier and peaking amplifiers are implemented with unequal saturation power to maintain high drain efficiency(DE) at large backed-off power(BOP). The highest DE at 6-dB BOP for continuous wave reaches 52.6%. The DE achieves 40.2% for 100MHz LTE-A signal with ACLR of -32dBc at 40dBm. With digital pre-distortion, the ACLR is improved to -50dBc for 50MHz signal with 40.5% DE.

WEPL-2: External Cloak with Axial Constitutive Parameter as ConstantK. Zhang, Q. Wu, F. Meng, J. Fu, Harbin Institute of Technology, Harbin, ChinaA type of external cloak with axial constitutive parameter as constant is proposed, and z component is a constant that could be adjusted by the radius of the virtual air region, which make it possible to construct this kind of cloak with 2D metamaterials. The effects of loss and perturbations of parameters on the performance of the cloak are also investigated. This study highly improves the flexibilities for 2D cloak design.

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Page 62: IMS2012 Program

IMS W

EDN

ESDA

Y SESSIO

NS

IMS

› 59

WEP Wednesday 20 June 2012 Room: 517CD

Chair: Mohamed Bakr, McMaster University Co-Chair: Shirook Ali, Research In Motion (RIM)

WEPL-3: Analysis of Coupling Effects in Waveguides Using the BLT Equation and Numerical MethodsJ. Du1, J. Yook1, J. Ahn2, S. Hwang2, 1Yonsei University, Seoul, Republic of Korea, 2Hanwha Corporation, Gyeongbuk, Republic of KoreaThe BLT equation has been used as a useful technique for analyzing coupling effects inside the huge devices in the frequency domain. To expand its applications into further complicated systems, it has been applied with numerical solutions. In this paper, the combination techniques are used to investigate the coupling effects in a waveguide structure. Consequently, it shows excellent agreements with the results calculated using much more time consum-ing full-wave analysis.

WEPM-4: Efficient Large Electromagnetic Problem Solving by Hybrid TLM and Modal Approach on Grid ComputingM. Alexandru1, T. Monteil1, P. Lorenz2, F. Coccetti1, H. Aubert1, 1CNRS, Toulouse, France, 2Lorenz Solutions, Lenggries, GermanyThis paper deals with the electromagnetic modeling of large and complex electrical structures by means of exten-sive parallel modeling based on grid computing (GC). The numerical modeling is based on a hybrid approach which combines Transmission Line Matrix (TLM) and the mode matching methods. The former is applied to homogeneous volumes while the latter is used to describe planar structures presented within the entire simulation domain. The results prove the benefits of the GC.

WEPL-4: Direction of Arrival Estimation using Luneburg LensM. Liang, X. Yu, R. A. Sabory-Garcia, W. Ng, M. E. Gehm, H. Xin, University of Arizona, Tucson, United StatesIn this paper, a Luneburg Lens is employed for direction finding application. Using Luneburg lens property that every point on its surface is the focal point of a plane wave from the opposite side, a number of detectors are mounted around the lens to estimate the incident wave direction. To demonstrate the proposed direction finding (DF) system, a lens with 5 detectors mounted on its surface is measured. Initial DF results show that the error is smaller than 2º within ±15º incident angles.

WEPM-5: Stability of the Extended 3-D LOD-FDTD Including Lumped ElementsF. Xia, Q. Chu, South China University of Technology, Guangzhou, ChinaStability of the extended 3-D LOD-FDTD including lumped elements is analyzed in this paper, and the elements are inserted into the LOD-FDTD in the explicit, semi-implicit and implicit schemes. Stability analysis shows the extended LOD-FDTD methods are unconditionally stable in the semi-implicit and implicit schemes, whereas, it is conditionally stable in the explicit scheme. Finally, a microstrip circuit including an inductor is simulated to demon-strate the validity of the stability analysis.

WEPL-5: High-Frequency Characteristics of a Via ConnectionR. Rodreguez-Berral1, F. Mesa1, D. R. Jackson2, 1University of Seville , Seville , Spain, 2University of Houston, Houston, United StatesThe high-frequency characteristics of a via connection that connects two infinite microstrip lines on either side of a ground plane is examined. The assumption of infinite lines allows for a semi-analytical solution, where the lines are treated essentially in closed form. This results in an accurate solution that captures all of the physics of high-frequency wave excitation on the lines by the via. The high-frequency scattering parameters of the via are obtained from this solution.

WEPNWEPN-1: Characterization and Compensation of AM-AM and AM-PM Distortion in Mixed Polarization Radio over Fiber Systems B. Hraimel, X. Zhang, Concordia University, Montreal, CanadaWe experimentally characterize and compensate the amplitude and phase distortion of a radio over fiber link (RoF) using mixed polarization electro-optical modulator (EOM). The mixed polarization makes use of the polarization dependent electro-optic coefficients of the EOM to suppress nonlinear distortion. The AM-AM compression and AM-PM conversion are newly expressed and directly evaluated from static one tone measured S21. The P1dB com-pression is improved by more than 4-dB.

WEPL-6: An Efficient and Simple Approach to Suppressing the High-Frequency Power/Ground Plane NoiseG. Lei, GTG Research, Rochester, United StatesThis paper presents a new method based on modifying the conventional power/ground (P/G) cavity model by using an inhomogeneous medium in the P/G structure. The new model allows us to control the solution of the model equation such that the oscillatory property of the electrical eigenfunction can be changed, and thus the power/ground noise can be suppressed. The Finite-Difference Time-Domain (FDTD) simulation for the P/G structure demonstrates the effectiveness of the method.

WEPN-2: RF-Down and RF-Up Converting Optoelectronic Oscillator for Spurious SuppressionM. Mizuma, M. Tsuru, S. Kameyama, T. Ando, Y. Hirano, Mitsubishi Electric Corporation, Kamakura, Japan This paper describes a RF-down and RF-up converting optoelectronic oscillator (OEO) using a band-pass filter (BPF) for spurious suppression. This OEO is able to suppress the spurs with the BPF whose fractional bandwidth is wider than that of the BPF in a conventional OEO.This fractional bandwidth broadening of the BPF allows the OEO to achieve lower phase noise without the spurs.

WEPMWEPM-1: Time-Domain Electrothermal Circuit-Level Modeling of Microwave and RF PIN DiodesR. H. Caverly, Villanova University, Villanova, United StatesThis paper outlines a novel electrothermal model for the microwave and RF PIN diode suitable for use in time-domain simulators. The model builds on previous time-domain models and for the first time includes a thermal component that uses easily obtained datasheet parameters such as thermal resistance. The model is verified with resistance-temperature measurements. A link to a spreadsheet allowing calculation of the model parameters is included for the microwave engineer.

WEPN-3: 1 Gb/s Wireless Link at 200 GHz Using Heterodyne DetectionM. J. Fice, E. Rouvalis, C. C. Renaud, A. J. Seeds, University College London, London, United KingdomA wireless link operating at a frequency of 200 GHz and data rate of 1 Gb/s is demonstrated. Photonic carrier gen-eration and modulation was employed, with the optical signal converted to millimeter waves using a uni-traveling carrier photodiode. Heterodyne detection was used to demodulate the signal, the first time, to our knowledge, that this approach has been used for Gb/s data on a carrier above 100 GHz. Baseband data was recovered from the intermediate frequency signal by offline processing.

WEPM-2: Implementing Voltage Controlled Current Source in Electromagnetic Full-Wave Simulation using the FDTD MethodK. ElMahgoub, A. Z. Elsherbeni, The University of Mississippi, University, United StatesThe implementation of a voltage controlled current source (VCCS) in full-wave electromagnetic simulation us-ing finite-difference time-domain (FDTD) is introduced. The VCCS is used to model a metal oxide semiconductor field effect transistor (MOSFET) commonly used in microwave circuits. This new approach is verified with several numerical examples including circuits with VCCS and MOSFET. Good agreement is obtained when the results are compared with those based on analytical solution and PSpice.

WEPN-4: A 1-20 GHz InP HBT Phase-Lock-Loop IC for Optical Wavelength SynthesisE. Bloch1, H. Park2, M. Lu2, T. B. Reed2, Z. Griffith3, L. A. Johansson2, L. A. Coldren2, D. Ritter1, M. J. Rodwell2, 1Technion - Israel Institute of Technology, Haifa, Israel, 2University of California Santa Barbara, Santa Barbara, United States, 3Teledyne Scientific and Imaging, Thousand Oaks, United StatesWe report a PLL IC for locking, at a controlled frequency offset between 1 and 20 GHz, the optical phase and optical frequency of a slave semiconductor laser to that of a reference semiconductor laser. The IC, implemented in a 500 nm InP HBT process, contains an ECL digital single-sideband mixer to provide phase-locking at a +/- 20 GHz offset frequency, and also contains a wideband phase-frequency detector to provide loop acquisition given up to +/-40 GHz initial frequency offset.

WEPM-3: Memory Efficient Adjoint Sensitivity Analysis Exploiting 3D Time Domain Transmission Line ModelingO. S. Ahmed, M. H. Bakr, X. Li, McMaster University, Hamilton, CanadaWe present a memory efficient implementation of transmission line modeling based adjoint sensitivities. In the original theory, an extensive storage is required especially for problems with dielectric discontinuities. We show that only 10% of this storage is required to estimate the adjoint sensitivities with the same accuracy. Our technique exploits a factorization of the scattering matrix that eliminates redundancies in the stored impulses. This technique is illustrated through a 3D example.

WEPN-5: High-Performance Photoconductive Terahertz Sources Based on Nanoscale Contact Electrode GratingsC. W. Berry, M. Jarrahi, University of Michigan, Ann Arbor, United StatesA photoconductive terahertz emitter based on nanoscale gratings is presented and experimentally demonstrated. The nanoscale grating enables efficient, ultrafast operation by reducing the carrier transport path to the contact electrodes. The photoconductor eliminates the need for a short-carrier lifetime substrate, which limits the efficien-cy of conventional emitters. The photoconductor is fabricated, and emitted radiation is characterized in a THz-TDS setup, showing a 670 fs FWHM response time.

WEDNESDAY INTERACTIVE FORUM 1330 – 1550

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Page 63: IMS2012 Program

IMS W

EDN

ESDA

Y SESSIO

NS

IMS

› 60

WEDNESDAY INTERACTIVE FORUM 1330 – 1550WEP Wednesday 20 June 2012

Room: 517CDChair: Mohamed Bakr, McMaster University

Co-Chair: Shirook Ali, Research In Motion (RIM)

WEPN-6: An Electronically Controlled Semiconductor Laser Phased ArrayF. Aflatouni1, H. Hashemi2, 1California Institute of Technology, Pasadena, United States, 2University of Southern California, Los Angeles, United StatesA two-element laser phased array is implemented where two commercially available DFB lasers are phase locked to a reference laser using two heterodyne Electro-Optical Phase Locked Loops with integrated CMOS electronics. The phase of each laser is controlled by phase shifting of the radio frequency signal outside the PLLs, eliminating optical phase shifters. By electronically adjusting the relative phase between lasers, beam steering over more than 1 mrad at the far field has been demonstrated.

WEPSWEPS-1: Overcoming Coil Misalignment using Magnetic Fields of Induced Currents in Wireless Power TransmissionR. Jegadeesan1,2, Y. Guo1, M. Je2, 1National University of Singapore, Singapore, Singapore, 2A*Star, Singapore, SingaporeCoil Misalignment in a inductively coupled wireless power transfer link reduces the power transfer efficiency sig-nificantly. We address the issue by boosting the flux linkage between the misaligned coils using a passive third coil positioned properly. By studying the flux sharing between three coupled coils, we proceed to boost the flux linkage between any two coils by positioning the third coil aptly. The significant improvement in power transfer efficiency is verified experimentally.

WEPPWEPP-1: A New Power Amplifier Behavioral Model for Simultaneous Linearity and Efficiency Calcula-tionsJ. C. Pedro, P. M. Cabral, T. R. Cunha, P. M. Lavrador, Universidade de Aveiro, Aveiro, PortugalThis paper proposes an innovative PA low pass equivalent behavioral model, BM, intended to enable the simulta-neous prediction of linearity and efficiency in modern wireless transmitter chains. Beyond predicting the device’s input-output power characteristics in the fundamental zone, this new model also provides predictions of the PA absorbed dc power. Beyond the model format, a model extraction methodology and the corresponding laboratory test bench are shown.

WEPS-2: Wireless Power Transfer by Inductive Coupling for Implantable Batteryless StimulatorsY. Seo1, M. Nguyen1, Z. Hughes1, S. Rao2, J. Chiao1, 1The University of Texas at Arlington, Arlington, United States, 2Med-Worx, Grand Prairie, United StatesThis study investigated wireless power transfer with inductive coupling at a distance addressing the power require-ment for chronic gastrostimulator implants. The system was designed to collect 10 to 20 mW of power to operate an implantable stimulator. The power transfer system efficiencies were investigated with different dimensions and turn numbers in coil antennas, distances between the two antennas, and variable loads. A maximum efficiency of 13.25% and working distance of 9 cm were achieved.

WEPP-2: Electrothermal Behavioral Model Identification and Validation from Time Domain Load Pull Measurement of a RF Power AmplifierF. Besombes1, E. Ngoya2, J. Mazeau1, R. Sommet2, S. Mons2, J. Martinaud1, 1THALES, Elancourt, France, 2XLIM, Limoges, FranceThis paper presents a behavioral model for RF power amplifiers including load-pull and self-heating effects for radar applications. The model topology combines nonlinear scattering functions with a thermal model. This work focuses on model identification from time domain load-pull measurements and thermal simulations of the power amplifier. Comparisons between model and pulsed measurements, demonstrate its ability to accurately reproduce the signals and temperature for arbitrary load impedances.

WEPS-3: Transmitter Illumination Taper as a Design Parameter for Wireless Power Transmission SystemsS. J. Blank1, M. F. Hutt2, 1New York Institute of Technology, Old Westbury, United States, 2Hutt Systems, Wantagh, United StatesWireless power transmission (WPT) is being studied for a variety of important applications. This paper presents a technique to compute the effect of varying transmitter aperture illumination tapers on beaming efficiency and on the power density variation incident on the receive aperture of a WPT system. Results are presented showing the effects on beaming efficiency and on incident power density variation. The optimal choice for transmit illumination taper is discussed.

WEPP-3: G-Functionals for Nonlinear Power Amplifier Digital PredistortionF. Mkadem, D. Y. Wu, S. Boumaiza, University of Waterloo, Waterloo, CanadaThis paper expounds on the pruning of Volterra series used to linearize PAs with memory effects. This pruning approach identify a minimum set of dominant kernels needed in a Volterra series model. The pruned model is then applied to synthesize a DPD function. The proposed DPD model achieved more than 50dBc ACPR and -38dB EVM when a 45W GaN PA was driven by a 20MHz signal. The pruning of the Volterra series was found to lead to reduced span of the kernels values and better numerical conditioning.

WEPQWEPQ-1: Nonlinear analysis of pulsed injection-locked oscillatorsF. Ramirez, A. Suarez, S. Sancho, E. Fernandez, Universidad de Cantabria, Santander, SpainPrevious works have demonstrated the advantages of pulsed-waveform injection-locked oscillators for the gen-eration of ultrawideband signals. Here an in-depth nonlinear analysis of these circuits is presented, clarifying the locking mechanism and enabling the determination of the synchronization band versus the pulse frequency. The response to small noise perturbations is analyzed and related to the phase noise spectrum. The analysis has been applied to an oscillator at 5 GHz.

WEPRWEPR-1: Experimental Visualization and Group Velocity Evaluation of Wave Packet on Left-Handed Transmission LineM. Tsuchiya1, T. Shiozawa2, 1NICT, Koganei, Japan, 2Kagawa National College of Technology, Mitoyo, JordanExperimental visualization of a wave packet traveling along a composite right/left-handed transmission line in its left-handed band is successfully demonstrated. The demonstration is based on the RF wave visualization scheme of live electrooptic imaging technique in conjunction with composition of Fourier component video images. Propa-gation speed of the wave packet was evaluated spatially on its propagation video. In addition, its group velocity dispersion was characterized.

WEPR-2: Design and Realization of Compact Folded Lange CouplerQ. Xu, Y. E. Wang, University of California at Los Angeles, Los Angeles, United StatesA compact folded Lange coupler is presented. To reduce the area, a single layer realization in a folded configura-tion is adopted. The measurement results indicate an insertion loss of 1.7dB, a phase difference of 93.5 degrees between coupled port and through port at 1.5GHz and an isolation better than 17dB from 1GHz to 2GHz. The proposed folded Lange coupler is well suited for MMIC technology and hybrid microwave integrated circuits.

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Page 64: IMS2012 Program

IMS W

EDN

ESDA

Y SESSIO

NS

IMS

› 61

WE3A: Unprecedented Microwave Devices Based on Nano-materialsWednesday 20 June 2012

Time: 1350 – 1530 Room: 513ABCChair: Luca Pierantoni

Co-Chair: Christophe Caloz

WE3A-1 1350 – 1410Towards Ubiquitous RF Electronics Based on GrapheneH. Wang, A. Hsu, B. Mailly, K. Kim, J. Kong, T. Palacios, MIT, Cambridge, United StatesGraphene electronics, with its extremely flexible surface and excellent transport properties, has the potential to play a key role in ubiquitous electronics applications, especially for building analog IC on highly flexible and trans-parent substrates. This paper discusses our recent progress in developing the basic device technology, the novel circuit design, and the new fabrication process towards making graphene flexible analog IC.

WE3A-2 1410 – 1430Carbon Based Multi-Functional Materials Towards 3D system integration. Application to Thermal and Interconnect ManagementW. Chow2, C. Yap2, D. Tan2, M. Shakerzadeh2, M. Samani2, C. Brun3, E. Teo4, D. Baillargeat1, B. Tay2, 1Nanyang Technological University, Singapore, Singapore, 2Nanyang Technological University, Singapore, Singapore, 3Université de Limoges, Limoges, France, 4National University of Singapore, Singapore, SingaporeIn order to meet the demands of increasing package density and miniaturization of devices without compromising performance, the most challenging issues to tackle are thermal and interconnect management. In this paper, we will first understand the interfacial transport between Si and Carbon for better system integration and discuss how novel carbon films can be used for thermal extraction. Second, we will show how carbon nanotubes can be used as RF interconnects using a flip chip approach.

WE3A-3 1430 – 1450Non-Reciprocal Gyrotropy in Graphene: New Phenomena and ApplicationsD. L. Sounas1, T. Szkopek2, C. Caloz1, 1École Polytechnique de Montreal, Montreal, Canada, 2McGill University, Montreal, CanadaThe non-reciprocal gyrotropic properties of magnetically biased graphene are presented and potential applications are proposed. Graphene exhibits strong Faraday rotation at microwave frequencies. As an application, we present a waveguide setup for the extraction of the conductivity tensor of graphene. Moreover, it is shown that a graphene strip supports surface magneto-plasmon modes at THz frequencies. Exploiting this effect, a non-reciprocal phase shifter is proposed.

WE3A-4 1450 – 1510Transmission-line Metamaterial Antennas for THz Quantum-Cascade LasersB. S. Williams1, A. Tavallaee1, P. W. Hon1, Z. Liu1, Q. Chen2, T. Itoh1, 1University of California at Los Angeles, Los Angeles, United States, 2Northrop Grumman, Redondo Beach, United StatesWe present a scheme for achieving active composite right/left handed (CRLH) transmission line metamaterial waveguides in the THz by loading THz QC-laser metal-metal waveguides with sub-wavelength capacitive and in-ductive structures. We discuss our progress in using transmission-line metamaterial concepts for the engineering of THz active leaky-wave antennas that provide amplification and exhibit beam steering.

WE3A-5 1510 – 1530Semiconductor- and Carbon-Devices for Innovative Nanoscale THz Sensors and ImagersY. Kawano, Tokyo Institute of Technology, Meguro-ku, JapanWe present THz sensors and imagers based on a carbon nanotube (CNT) and a two-dimensional electron gas in GaAs/AlGaAs. The unique properties of CNT quantum dots have led to the development of a highly sensitive and frequency-selective THz detector. We have further developed a THz near-field imager in which all the components: an aperture, a probe, and a THz detector are integrated on one GaAs/AlGaAs chip. This scheme allows highly sensi-tive, high-resolution detection of the evanescent field.

WE3B: High Power Wideband TechnologiesWednesday 20 June 2012

Time: 1350 – 1530 Room: 512ABEFChair: George Duh, BAE SystemsCo-Chair: PC Chao, BAE Systems

WE3B-1 1350 – 14108-42 GHz GaN Non-Uniform Distributed Power Amplifier MMICs in Microstrip TechnologyP. Dennler, D. Schwantuschke, R. Quay, O. Ambacher, Fraunhofer IAF, Freiburg, GermanyTwo 8-42 GHz monolithic non-uniform distributed power amplifiers with Pout 0.5 W have been designed and fab-ricated in MSL technology using AlGaN/GaN HEMTs. The first is a single-stage topology with an S21 of 6±1 dB, the second a dual-stage topology with an S21 of 14±2 dB, respectively, both measured over the entire frequency range. A large-signal methodology for the broadband design of the amplifiers given soft compression of the FETs and low PAE over large bandwidth is proposed and verified.

WE3B-2 1410 – 1430Effective Suppression of Current Collapse in Both E- and D-Mode AlGaN/GaN HEMTs on Si by [(NH4)2Sx] PassivationS. Vicknesh1, S. Arulkumaran1, G. Ng2, 1Nanyang Technological University, Singapore, Singapore, 2NOVITAS, Nano-electronics Centre of Excellence, Singapore, SingaporeAn effective suppression of drain current (Id) collapse was realized in both Enhancement (E)-mode and Depletion (D)-mode AlGaN/GaN High-electron-mobility-transistors (HEMTs) on 4-inch Silicon substrate by ammonium sul-fide [(NH4)2Sx] passivation. The current collapse was studied using the pulsed I-V characteristics. With reference to the AlGaN/GaN HEMTs without sulfur passivation, about 30% of the Id collapse was suppressed for drain quiescent biases of 25 to 30 V.

WE3B-3 1430 – 1440A Broadband Power-Reconfigurable Distributed AmplifierJ. Kim1, Y. Kim1, S. Lee1, J. Jeong2, Y. Kwon1, 1Seoul National University, Seoul , Republic of Korea, 2Sogang University, Seoul , Republic of KoreaThe output power is reconfigured by employing double gate-bias control scheme to the bottom and middle FET’s, which maintains the efficiency under power back-off without degrading input and output return losses. At high power mode, the DA shows output power of 26.7 ~ 18.3 dBm from 1 to 40 GHz. In the low power mode, the output power is reconfigured to 25.2 ~ 14.2 dBm with the same input power. The efficiency degradation was less than 2 %. degradation.

WE3B-4 1440 – 1500GaN Single-Chip Transceiver Frontend MMIC for X-Band ApplicationsS. Masuda, M. Yamada, Y. Kamada, T. Ohki, K. Makiyama, N. Okamoto, K. Imanishi, T. Kikkawa, H. Shigematsu, Fujitsu, Atsugi, JapanAn X-band transceiver frontend MMIC has been successfully developed by using GaN HEMT technology. The MMIC contains a power amplifier (PA) with output power higher than 19 W at 10.5 GHz, a low-noise amplifier (LNA) with a gain of 18.5 dB and noise figure of 2.3 dB at 10 GHz, and an SPDT switch. The fabricated transceiver MMIC occupying only 3.6 × 3.3 mm2 delivers an output power of 6.3 W. To the authors’ knowledge, this is the first GaN single-chip transceiver frontend MMIC in the X-band.

WE3B-5 1500 – 1520Comprehensive Thermal Analysis of Pulsed GaAs HPAs for Lifetime EstimationJ. W. Pomeroy1, M. Kuball1, G. D. Morrison2, D. M. Craig2, B. Wilkinson2, M. Bernardoni1, 1University of Bristol, Bristol, United Kingdom, 2SELEX Galileo, Edinburgh, United KingdomIn pulsed applications, such as radar, estimates of FET channel temperature based on time-averaged modeling are inaccurate; the analysis must be done dynamically. A thermal model of an X-band power amplifier is con-structed and validated against direct measurements by time-resolved Raman thermography, complemented by laser thermo-reflectance and infrared imaging. The consequences for radar system design are discussed, including the impact of RF drive on channel temperatures.

WE3B-6 1520 – 1530Novel 4-Way Combiner for Ka-Band AlGaN/GaN Power MMICA. M. Darwish, J. X. Qiu, E. A. Viveiros, H. A. Hung, Army Research Laboratory, Adelphi, United StatesA Ka-band GaN MMIC power amplifier (PA) with a novel 4-way combiner/divider is presented. The on-chip bal-anced 4-way combiner results in improved input and output return loss, and increased bandwidth. The 32 – 38 GHz two-stage PA produces a maximum power of 6 watts under class-A operation. This is the first report of this novel compact, combiner concept.

WEDNESDAY TECHNICAL SESSIONS 1350 – 1530

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Page 65: IMS2012 Program

IMS W

EDN

ESDA

Y SESSIO

NS

IMS

› 62

WE3C: Advances in CAD AlgorithmsWednesday 20 June 2012

Time: 1350 – 1530 Room: 512CDGHChair: Roni Khazaka, McGill University

Co-Chair: Peter H. Aaen, Freescale Semiconductor

WE3C-1 1350 – 1410Fast Electromagnetic Interference Analysis of Distributed Networks using Longitudinal Partitioning Based Waveform RelaxationS. Roy, A. Beygi, A. Dounavis, The University of Western Ontario, London, CanadaThis paper presents a waveform relaxation algorithm for the fast incident field analysis of transmission lines. The proposed work realizes transmission lines using a delay extraction based model where the incident field coupling with the line is added as lumped sources distributed over the length of the line. A longitudinal partitioning scheme which ensures that the subcircuits are weakly coupled using delayed linear equations is presented for the fast convergence of the relaxation iterations.

WE3C-2 1410 – 1430Efficient Transient Simulation of Transmission Lines and Distributed Circuits Using High-Order Stable MethodsM. A. Farhan1, E. Gad2, M. Nakhla1, R. Achar1, 1Carleton University, Ottawa, Canada, 2University of Ottawa, Ottawa, CanadaThis paper describes an efficient method for simulating large linear circuits in the time-domain using high-order stable methods. The target area of the proposed method is in circuit-based simulation of the large linear circuits that arise from full-wave modeling of distributed passive structures such as transmission-lines and microstrip ele-ments. In these applications, the cost of linear system solution typically dominates the computational effort at each time-step.

WE3C-3 1430 – 1450Macromodeling of Interconnect Networks from Frequency Domain Data using the Loewner Matrix ApproachM. Kabir, R. Khazaka, McGill University, Montreal, CanadaRecently, Loewner Matrix based methods were introduced for generating time-domain macromodels based on frequency domain measured parameters. These methods were shown to be very efficient and accurate for systems with a very large number of ports, however they were not suitable for distributed transmission line networks. In this paper, an LM based approach is proposed for modeling distributed networks. The new method was shown to be efficient and accurate for large-scale distributed networks.

WE3C-4 1450 – 1510Statistical Prediction of Temperature Effects Inside Through-Silicon Vias by Means of Orthogonal PolynomialsP. Manfredi, F. G. Canavero, Politecnico di Torino, Torino, ItalyThis paper presents a stochastic SPICE model for through-silicon vias (TSVs). The model is based on recent state-of-the-art equivalent-circuit models for TSVs and allows to inherently include possible random variations of physical parameters. The underlying idea is the description of the stochastic circuit equations in terms of orthogonal poly-nomials, whose unknown coefficients are obtained from the deterministic solution of a modified system.

WE3C-5 1510 – 1530Second-Order Time-Domain Adjoint Sensitivity Analysis Exploiting TLMM. H. Negm, M. H. Bakr, N. Nikolova, McMaster University, Hamilton, CanadaA novel adjoint variable method for estimating second-order sensitivities with time-domain TLM is introduced. For a structure with n designable parameters, the complete Hessian matrix is estimated using O(n) extra simulations as compared to O(n^2) using finite difference. Our approach is illustrated through estimating the second-order sensitivities with respect to dimensions and material properties of a dielectric discontinuity. A very good match is achieved compared with finite difference.

WE3D: Unconventional Measurement TechniquesWednesday 20 June 2012

Time: 1350 – 1530 Room: 510BDChair: Ken Wong, Agilent Technologies

Co-Chair: Jon Martens, Anritsu

WE3D-1 1350 – 1410Contactless Measurement of In-circuit Reflection CoefficientsR. Hou1, M. Spirito1, B. Kooij1, F. van Rijs2, L. C. de Vreede1, 1Delft University of Technology, Delft, Netherlands, 2NXP Semiconductors, Nijmegen, NetherlandsA new contactless method to measure in-circuit reflection-coefficients is proposed. By local scanning of the EM field induced by a known structure embedded in a normally operating circuit, the reflection coefficients at the boundaries of this structure can obtained without interfering the circuit operation. Measured results are verified by conventional techniques. Reflection coefficients offered by individual wires in an array as used in large-periphery power device are also measured.

WE3D-2 1410 – 1430Generalized Solving Scheme of Line-Series-Shunt Type Calibration for Broadband On-Wafer Scatter-ing Parameter MeasurementsC. Huang, Y. Chen, Yuan Ze University, Taoyuan, TaiwanThis paper presents a generalized solving scheme of line-series-shunt type calibration, instead of lossy transmis-sion line models on series/shunt standards in previous studies, for on-wafer S-parameter measurements. We utilize additional transmission lines for series/shunt standards to acquire parasitic elements directly, by two more deter-mining equations in self-calibration procedure. The proposed method is examined by GaAs microstrip structure with verifications of other calibration methods.

WE3D-3 1430 – 1450A 1-to-8 GHz Miniaturized Dielectric Spectroscopy System for Chemical SensingA. A. Helmy, K. Entesari, Texas A&M University, College Station, United StatesThis paper presents a miniaturized broadband dielectric spectroscopy system for dielectric characterization for 1-to-8 GHz frequency range. Sensor operation is based on detecting the phase shift of a signal passing through a true-time-delay cell at the presence of an organic chemical. Cascaded TTD cells are used to improve the detection accuracy and sensing bandwidth. Measurements show accurate detection of frequency dependent permittivity for organic chemicals with permittivities up to 20.

WE3D-4 1450 – 1510Interferometric Technique for Microwave Measurement of High Impedances K. Haddadi, T. Lasri, IEMN, Villeneuve d’Ascq, FranceAn interferometric technique for accurate and broadband measurement of microwave impedances is proposed. The method is based on the association of a vector network analyzer and a precise interferometer built from a power divider, a phase-shifter and an attenuator. The technique can be applied in a wide range of applications. In particu-lar, an experimental demonstration of a near-field microwave microscope operating in liquid media is proposed.

WE3D-5 1510 – 1530A New Approach on Broadband Calibration Methods for Free Space ApplicationsB. Will, I. Rolfes, Ruhr-Universität Bochum, Bochum, GermanyThis contribution deals with a calibration technique for free space applications, which uses the change of the elec-trical length by means of small frequency variations. Thus, this technique enables free space calibrations with-out the necessity of antenna displacements. Furthermore, the bandwidth of this procedure is not limited due to ambiguities of mechanical displacements. Additionally, symmetrical and reciprocal measurement objects can be characterized within the calibration procedure.

WEDNESDAY TECHNICAL SESSIONS 1350 – 1530

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Page 66: IMS2012 Program

IMS W

EDN

ESDA

Y SESSIO

NS

IMS

› 63

WE3E: Millimeter-wave CMOS signal sourcesWednesday 20 June 2012

Time: 1350 – 1530 Room: 510ACChair: John Papapolymerou, Georgia Tech

Co-Chair: Deuk Heo, Washington State University

WE3E-1 1350 – 1400A 100-GHz Varactorless CMOS VCO Using Source DegenerationY. Shiao1, G. Huang1, C. Chuang1, H. Hsieh2, C. Jou2, F. Hsueh2, 1National Nano Device Laboratories, Hsinchu, Taiwan, 2TMSC, Hsinchu, TaiwanWe present a new varactorless voltage-controlled oscillator (VCO) technique at 100GHz in 65nm CMOS technol-ogy. Using source degeneration, the new technique is able to change the output frequency of a VCO in a 100GHz fundamental mode with an at least 4GHz wide tuning range. The phase noise of the VCO is -85.1dBc/Hz at 1MHz offset from the 98.6GHz carrier frequency. The power consumption is 7.4mW when the supply voltage is 1.2V and the output frequency is 102.7GHz.

WE3E-2 1400 – 1410A 1.0V 31GHz Differentially Controlled CMOS VCO with 191.9 dBc/Hz FOMK. Miyashita, Asahi Kasei Microdevices, Atsugi, Japan0.18um CMOS technology for low cost MMIC is demonstrated. This novel differentially controlled LC-VCO is very stable versus power supply variation and offers wide frequency turning range. The proposed VCO exhibits a tuning range of -2.5GHz from 28.5GHz to 31.0GHz, a phase noise of -110.3dBc/Hz at 1MHz (less than 1%) with VDD chang-ing from 1.0~2.0[V] and FOM is -191.9[dBc/Hz]. This linear, low noise VCO is an ideal candidate for Frequency Modulated Continuous Wave (FMCW) radar application.

WE3E-3 1410 – 1430A 20.7% Locking Range W-band Fully Integrated Injection-Locked Oscillator Using 90 nm CMOS TechnologyY. Yeh, C. Huang, H. Chang, National Central University, Jhongli City, TaiwanA 20.7% locking range W-band injection-locked oscillator (ILO) using 90 nm CMOS technology is presented in this paper. The free-running oscillation frequency of the ILO is 97.6 GHz. The ILO demonstrates a locking range of from 88.1 to 108.5 GHz without bias tuning, a minimum conversion loss of 14.6 dB, and an output power flatness of within 2 dB. As compared to the previously reported ILOs in the MMW band, our proposed ILO has the widest lock-ing range, and good power flatness.

WE3E-4 1430 – 1450D-Band Frequency Synthesis Using a U-band PLL and Frequency Tripler in 65nm CMOS TechnologyA. Tang1, D. Murphy2, G. Virbila1, F. Hsiao1, S. Tam1, H. Yu2, H. Hsieh4, C. Jou4, Y. Kim1, A. Wong1, A. Wong1, Y. Wu3, M. F. Chang1, 1University of California at Los Angeles, Los Angeles, United States, 2Broadcom, Irvine, United States, 3Northrop Grumman, Redondo Beach, United States, 4TSMC, Roc, TaiwanThis paper presents a digitally controlled frequency synthesizer in 65nm CMOS technology for D-band transceiver applications. The synthesizer uses a low frequency U Band (44-48 GHz) phase-locked loop to track a 50 MHz refer-ence and then employs an injection locked frequency tripler (ILFT) to provide 130-133 GHz output. The proposed D-band synthesizer offers a phase noise of –82.5 dBc/Hz at 1 MHz offset and consumes 92mW of power. The entire synthesizer occupies 0.68mm2 of silicon area.

WE3E-5 1450 – 1510A W-Band Divider-less Cascading Frequency Synthesizer with Push-Push ×4 Frequency Multiplier and Sampling PLL in 65nm CMOSL. Ye, Y. Wang, C. Shi, H. Liao, R. Huang, Peking University, Beijing, ChinaA fully integrated 79-to-87GHz cascading frequency synthesizer, which combines a W-band push-push ×4 fre-quency multiplier and a K-band divider-less fundamental PLL with sampling phase detector, is implemented in a standard 65nm CMOS process. It consumes low power of 54mW, achieves as low as -100.1dBc/Hz @ 100kHz and -106.2dBc/Hz @ 1MHz phase noise performance at divide-by-2 frequency, covers 9.6% tuning range from 79 to 87GHz, and occupies smaller than 1.48×0.8 mm2 silicon area.

WE3E-6 1510 – 1530A 32GHz Delay Locked Loop with a Full Rate Sub-psec Phase Detector in 40nm CMOSF. Aryanfar1, E. Ho2, X. Shi2, K. Desai2, C. Werner2, 1Samsung, Richardson, United States, 2Rambus, Sunnyvale, United StatesA mm-wave DLL using a full rate sub-psec PD is presented. The PD employs a differential lumped hybrid coupler to create and combine quadrature phase components of the input signals and performs amplitude measurement in order to measure phase difference between the inputs. The DLL and PD were implemented using the TSMC 40nm CMOS. PD works from 20-41GHz requiring significantly less input power than a divider based approach. The DLL has an 800MHz locking range centered at 32.3GHz and consumes 11mW.

WE3F: Advances in Silicon-based Millimeter-wave and Terahertz Integrated Circuits and Systems

Wednesday 20 June 2012Time: 1350 – 1530 Room: 511AD

Chair: Dietmar KissingerCo-Chair: Robert Weigel

WE3F-1 1350 – 1410Development of W and D-band Si-based MMICs at National Taiwan UniversityH. Wang, National Taiwan University, Taipei, TaiwanThis paper summarizes the development of W and D-band Si-based MMICs at National Taiwan University. We have demonstrated many impressive results, including LNAs, PAs, VCOs, switches, and mixers. Both CMOS and SiGe BiC-MOS technologies were applied in these designs.

WE3F-2 1410 – 1430Millimeter-Wave and Terahertz Sources and Imaging Systems Based on 45nm CMOS TechnologyM. Uzunkol1, F. Golcuk1, B. Cetinoneri2, Y. A. Atesal3, O. D. Gurbuz1, J. M. Edwards1, G. M. Rebeiz1, 1University of California San Diego, La Jolla, United States, 2Qualcomm, San Diego, United States, 3Intel Corporation, Hillsboro, United StatesThis paper presents the recent advances in sources and imaging arrays for 100 GHz applications. For sources, mul-tiplier approach has recently demonstrated 1 mW of power at 200 GHz using 45 nm CMOS technology. For active imaging arrays, high-efficiency on-chip antennas coupled with low-noise CMOS SOI detectors are built at 300 GHz and 1 THz for low NEP systems.

WE3F-3 1430 – 1440Characterization of a 400-GHz SiGe HBT Technology for Low-Power D-Band Transceiver ApplicationsA. Balteanu1, I. Sarkas1, V. Adinolfi1, E. Dacquay1, A. Tomkins1, D. Celi2, P. Chevalier2, S. P. Voinigescu1, 1University of Toronto, Toronto, Canada, 2STMicroelectronics , Crolles, FranceThis paper describes a methodology for extracting the HICUM/L0 model of a 400-GHz SiGe HBT in the presence of strong self-heating. Good agreement is observed between measurements and simulations for DC characteristics, fT, fMAX, and Y parameters in a wide range of frequencies (DC to 170 GHz) and bias conditions. The low power capabil-ity of this process is demonstrated in a fundamental frequency 139-150 GHz VCO+16:1 prescaler consuming less than 99 mW when operated from a 1.5V supply.

WE3F-4 1440 – 1500SiGe BiCMOS Transceivers, Antennas, and Ultra-Low-Cost Packaging for the ISM Bands at 122 and 245 GHz J. C. Scheytt, Y. Sun, K. Schmalz, R. Wang, IHP, Frankfurt (Oder), GermanyA transmitter IC with 5 dBm output power and directional on-chip power measurement for built-in-self-test is presented. A 122 GHz passive HBT diode mixer design is discussed and results are shown. Furthermore a highly integrated 245 GHz transmitter with 1 dBm maximum output power was realized. A low-loss on-chip antenna for 130 GHz with 60% efficiency was implemented and measured. It uses localized backside etching techniques and allows for simplified and very cost-efficent mm-wave packaging.

WE3F-5 1500 – 1510A SiGe-Based 140-GHz Four-Channel Radar Sensor With Digital Beamforming CapabilityM. Jahn1, R. Feger1, C. Pfeffer1, T. Meister2, A. Stelzer1, 1Johannes Kepler University, Linz, Austria, 2Infineon Technolo-gies, Munich, GermanyWe present a multi-channel radar sensor operating at 140GHz that uses fundamental-wave SiGe-based chips which feature HBTs with 340GHz fmax. A separate voltage-controlled oscillator chip provides the LO signal with fre-quencies from 136 to 150GHz for four cascaded transceiver chips. The saturated transceiver output power is 4dBm. The maximum receiver gain is 19.5dB and the minimum double-sideband noise figure is 13.5 dB. The equivalent isotropically radiated power of a single channel is 5 dBm.

WE3F-6 1510 – 1530An Integrated 125 GHz Sensor with Read-Out Circuit for Permittivity Measurement of Liquids B. Laemmle1, K. Schmalz2, C. Scheytt3, R. Weigel1, D. Kissinger1, 1Universität Erlangen-Nürnberg, Erlangen, Germany, 2IHP, Frankfurt (Oder), Germany, 3University Paderborn, Paderborn, GermanyAn integrated dielectric sensor designed as a 500 μm shorted half-wave coplanar waveguide transmission line in the top metal layer with read-out circuit in SiGe BiCMOS technology at 125 GHz is presented. Read-out of the sensor is performed by measurement of its reflection coefficient with an integrated multiprobe reflectometer and a VCO. Functionality of the sensor is demonstrated with a stimulus frequency from 118 to 133 GHz with immersion of the sensor into a binary methanol-ethanol mixture.

WEDNESDAY TECHNICAL SESSIONS 1350 – 1530

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Page 67: IMS2012 Program

IMS W

EDN

ESDA

Y SESSIO

NS

IMS

› 64

WE3G: Short-Range Radar and Positioning SystemsWednesday 20 June 2012

Time: 1350 – 1530 Room: 511BEChair: Mohamed D. Abouzahra, MIT Lincoln Laboratory

Co-Chair: Gregory Lyons, MIT Lincoln Laboratory

WE3G-1 1350 – 1410A 77-GHz Cooperative Secondary Radar System for Local Positioning ApplicationsR. Feger1, C. Pfeffer1, W. Scheiblhofer2, C. M. Schmid1, M. J. Lang3, A. Stelzer2, 1Johannes Kepler University, Linz, Austria, 2Johannes Kepler University, Linz, Austria, 3DICE GmbH & Co KG, Linz, AustriaA cooperative radar system for local positioning applications operating at 77 GHz is presented. It is based on mul-tiple FMCW stations which transmit their measured signals to a processing unit. The required synchronization ac-curacy is relaxed due to the centralized processing. Phase noise and sweep non-linearity effects can be mitigated in the signal processing. Measurements show a performance improvement of approx. 6 dB compared to conventional methods.

WE3G-2 1410 – 1430Wireless Sensor Network with 24 GHz Local Positioning TransceiverD. Shmakov, R. Ebelt, M. Vossiek, Universitat Erlangen-Nurnberg, Erlangen, GermanyThis paper reports on an innovative cooperative wireless localization network layout and the design of its network nodes. Each node consists of a 24 GHz FMCW secondary radar for bilateral range measurements between all nodes. A novel concept to distributedly pre-synchronize all network nodes with an error of less than 125 ns was imple-mented and combined with the 24 GHz local positioning radar. With prototypes of the sensor nodes a ranging precision of about 1 cm is demonstrated experimentally.

WE3G-3 1430 – 1440A Six-Port Interferometer Based Micrometer-Accuracy Displacement and Vibration Measurement RadarF. Barbon, G. Vinci, S. Lindner, R. Weigel, A. Koelpin, Universität Erlangen-Nürnberg, Erlangen, GermanyA new six-port based vibration and displacement measurement system is introduced featuring an RF frontend based on a passive six-port interferometer working at 24 GHz. Displacement detection and vibration measurement are important requirements to detect position and diagnose mechanical stress in diverse industrial environments. Unlike laser based optical interferometers the proposed hardware works also in harsh environments when a direct optical line of sight is not present due to fog or dust.

WE3G-4 1440 – 1450An IQ-Modulator Based Heterodyne 77-GHz FMCW Colocated MIMO Radar SystemC. Pfeffer1, R. Feger1, C. M. Schmid1, C. Wagner2, A. Stelzer1, 1Johannes Kepler University, Linz, Austria, 2DICE, Linz, AustriaIn this work the realization of a 77-GHz FDMA-based FMCW MIMO radar with four TRX channels in conjunction with a non-uniform linear antenna array is presented. The radar system, consisting of an RF-frontend utilizes transceiver chips with integrated IQ-modulators to generate the frequency shifted TX signals and a baseband board, is used for measurements to verify the system performance and to demonstrate the beamforming capability as well as the accuracy of the digital-beamforming method.

WE3G-5 1450 – 1510Millimeter-Wave Broadband Positioning System for Indoor Applications M. Bocquet2, C. Loyez1, M. Fryziel1, N. Rolland1, 1IEMN, Villeneuve d’Ascq, France, 2IEMN, Valenciennes, FranceThis paper presents the performance of a MMW positioning system operating in indoor environment and using impulse signals. Our approach is based on a focusing technique to minimize the performance degradations due to the multipath propagation. Experimental results validate the ability of the topology to perform multi-laterations based on a cooperation between sensors. The used focusing technique is detailed and its impact on the positioning accuracy is validated by numerous measurements.

WE3G-6 1510 – 1530Improvements in Distance Measurement and SAR-Imaging Applications by Using Ultra-High Resolu-tion mm-Wave FMCW Radar SystemsT. Jaeschke, M. Vogt, C. Baer, C. Bredendiek, N. Pohl, Ruhr-Universität Bochum, Bochum, GermanyDue to advances in technology, resulting in coverage of higher frequency regions with lowcost semiconductors, ultra wideband radar is getting more feasible for several applications. In this contribution the effects of using radar systems with an ultra high spatial resolution for high precision distance measurements (also for bulk goods) and SAR imaging are discussed. Measurements with an 80 GHz wideband radar (24.5 GHz bandwidth) in these applica-tions demonstrate the achieved advantages.

WE3H: A Tribute to Rüdiger VahldieckWednesday 20 June 2012

Time: 1350 – 1530 Room: 511CFChair: Wolfgang J. R. Hoefer, Institute of High Performance Computing

Co-Chair: Jens Bornemann, University of Victoria

WE3H-1 1350 – 1400Substrate-Integrated Waveguide Transitions to Planar Transmission-Line Technologies F. Taringou1, D. Dousset2, J. Bornemann1, K. Wu2, 1Victoria University, Victoria, Canada, 2École Polytechnique de Montréal, Montreal, CanadaFor the purpose of integrating active, nonlinear and surface-mount components in substrate-integrated waveguide (SIW) technology, this paper presents a variety of new and modified transitions from SIW to other planar transmission lines. Typical performances are shown in-volving connections to microstrip, coplanar waveguide (both conductor-backed and regular), coplanar strip line and slot line technologies. Measurements and full-wave simulations validate the proposed designs.

WE3H-2 1400 – 1410TLM-based Time Domain Adjoint Sensitivities: State of the Art and Outlook M. Bakr, McMaster University, Hamilton, CanadaThe pioneering work of Johns, Hoefer, Vahdlieck, and others has paved the way for the most recent developments in TLM-based adjoint sen-sitivity analysis reviewed in this work. We show through two examples that with one extra TLM simulation, the sensitivities of an arbitrary objective function are obtained with respect to all parameters, regardless of their number.

WE3H-3 1410 – 1420Simulation of Automotive EMC Emission Test Procedures Based on Cable Bundle MeasurementsM. Gonser1, C. Keller1, J. Hansen1, V. Khillkevich2, A. Radchenko2, D. Pommerenke2, R. Weigel3, 1Bosch, Stuttgart, Germany, 2Missouri University of Science & Technology, Rolla, United States, 3University Erlangen-Nuremberg, Erlangen, GermanyTwo efficient simulation methods for predicting conducted and radiated automotive EMC emissions are presented. To verify the cable bundle model, a novel 32-port cable bundle test bench has been developed. The conducted emission is simulated in four parts connected on the circuit level. For the radiated emission we present a novel method using Huygens’ principle to partition the setup.

WE3H-4 1420 – 1430Concept of Plasmonic Waveguide Inspired by Half-Mode Substrate-Integrated WaveguideD. Baumann1, C. Fumeaux2, W. Withayachumnankul2, R. Vahldieck1, 1ETH Zurich, Zurich, Switzerland, 2The University of Adelaide, Adelaide, AustraliaA new plasmonic HMSIW concept for optical frequencies is introduced. The design accounts for the particularities of the materials in the optical regime, yielding design rules different from those at microwave frequencies. It is shown that a plasmonic HMSIW of very compact size can guide electromagnetic waves over a short distance. The design parameters are derived from FVTD simulations.

WE3H-5 1430 – 1440Domain and Modal Decomposition for Efficient Signal and Power Integrity Analysis of Multilayer Packages and PCBsE. P. Li1, E. X. Liu2, 1A*STAR, Singapore, Singapore, 2A*STAR, Singapore, SingaporeThis paper explores a special domain and modal decomposition approach that advances the modeling and simulation of multilayer electronic packages and boards for signal and power integrity analysis. Results obtained with this special decomposition approach are compared against measurements and full-wave simulations. The decomposition approach yields higher simulation speed with good accuracy.

WE3H-6 1440 – 1450On the Theory and Modeling of Dual-Mode Cavity Microwave Bandpass FiltersS. Amari, Royal Military College of Canada, Kingston, CanadaThe paper discusses the fundamentals of dual-mode cavity bandpass filters employing both field and circuit modeling. Fundamental con-straints imposed by mode orthogonality and boundary conditions are emphasized. The role of tuning elements, coupling elements and polarization is addressed. Examples which challenge some of these concepts are presented.

WE3H-7 1450 – 1500Sampling of Stochastic Electromagnetic FieldsJ. A. Russer1, T. Asenov2, P. Russer1, 1Technische Universität München, Munich,, Germany, 2University of Nis, Nis, YugoslaviaCharacterization of noisy electromagnetic fields by sampling the field values in pairs of sampling points is discussed. Sampling of the electric or magnetic field in all pairs of a set of sampling points yields the correlation matrix of the field samples. Sampling the near-field in a surface enclosing the stochastic field sources yields the radiated far-field by means of their correlation matrix.

WE3H-8 1500 – 1510Millimeter-Wave and Terahertz Transmission Loss of CMOS Process-Based Substrate Integrated WaveguideH. Tang, G. Yang, J. Chen, W. Hong, K. Wu, Southeast University, Nanjing, ChinaTransmission loss of substrate integrated waveguide (SIW) made with a CMOS process is investigated over millimetric and terahertz (THz) frequencies. The loss is dominated by the conductor loss. The extra loss is due to the periodically meshed metallic layers and the random surface roughness. An equivalent conductivity value of 8.6e7 S/m is found, which can be used for the design of SIW circuits and components made with a CMOS process.

WE3H-9 1510 – 1520Overview of the Research and Applications of the Space-Spectral Domain Approach (SSDA)M. Yu1, Y. Wang2, 1COM DEV, Cambridge, Canada, 2University of Ontario Institute of Technology, Oshawa, CanadaThis paper is dedicated to the memory of Dr. Ruediger Vahldieck. An overview of the SSDA is presented, which combines the advantages of the 1D SDM and 1D MoL. Besides calculation of resonance frequencies, SSDA has been extended to analyze equivalent circuit parameters and S-parameters of planar discontinuities using the deterministic quasi-static SSDA. The algorithms are highly efficient for the design of MMIC’s and MHMIC’s.

WE3H-10 1520 – 1530The Superlens as a Filter of the Spatial SpectrumW. J. Hoefer, A*SAR, Singapore, SingaporeRüdiger Vahldieck has been an early pioneer in microwave filter design and optimization. His perspective of any multi-port as a filter, evident in much of his later work, has led to new insights and innovative approaches in Computer-Aided Design of microwave and mm-wave compo-nents. Adopting Rüdiger’s view, this paper treats the Veselago/Pendry Superlens from the perspective of a filter in k-space.

WEDNESDAY TECHNICAL SESSIONS 1350 – 1530

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Page 68: IMS2012 Program

IMS W

EDN

ESDA

Y SESSIO

NS

IMS

› 65

WE3J: Multi-Mode Resonator and Wideband Planar FiltersWednesday 20 June 2012

Time: 1350 – 1530 Room: 513DEFChair: Magdalena Salazar-Palma, U. Carlos III de Madrid

Co-Chair: Sanghoon Shin, NRL

WE3J-1 1350 – 1410Bandpass Filter With Generalized Multiple-Mode Ring Resonator ConfigurationT. Lin1, J. Kuo2, S. Chung1, 1National Chiao Tung University, Hsinchu, Taiwan, 2Chang Gung University, Taoyuan, TaiwanA new multiple-mode ring resonator is proposed for filter design. The ring trace is designed to achieve size reduc-tion and wide stopband. A quintuple-mode resonator filter is realized for demonstration. Its area is only 72% of that of a conventional dual-mode ring. Coupling matrix is used for synthesis of the passband. In addition to a zero created due to inductive cross coupling, two zeros near the passband are generated by source-load coupling. Measured data match well with the simulation.

WE3J-2 1410 – 1430Synthesis and Design of Four Pole Ultra-Wide Band (UWB) Bandpass Filter (BPF) Employing Multi-Mode Resonators (MMR)S. Khalid, W. P. Wen, L. Y. Cheong, Universiti Teknologi PETRONAS, Tronoh, MalaysiaA complete synthesis and design of fourth order UWB BPF has been presented in this paper. Based on MMR, equiva-lent circuit is used to derive transfer function which is then used to extract the filtering function. For optimal solu-tion, mathematical formulation is used to calculate characteristic impedance of filter in order to have Chebyshev type frequency response. To validate the synthesis a prototype is designed and fabricated. Experimental results show good agreement with proposed synthesis.

WE3J-3 1430 – 1450A New Triple-Mode Microstrip Bandpass Filter Using a Patch-Loaded Cross ResonatorR. Zhang, L. Zhu, Nanyang Technological University, Singapore, SingaporeA microstrip triple-mode bandpass filter using a single patch-loaded cross resonator is reported. First, a square patch is added at the center of a cross resonator, which separates the first and second modes. Then, a pair of slots is etched into the square patch, which splits the first pair of the degenerate modes. Thus, these three resonant modes are individually controlled. Stub-loaded coupled lines are used to feed the resonator and to suppress the lowest harmonic passband.

WE3J-4 1450 – 1510Novel Multi-Mode Ring Resonator Transversal Array Bandpass Filter with Very High Skirt Selectivity Using Multiple Transmission ZerosM. Ohira, Z. Ma, Saitama University, Saitama, JapanThis paper proposes a novel transversal array bandpass filter (BPF) composed of two ring resonators, which are arranged in parallel connection with respect to input/output lines. The multi-mode filter is modeled with five-path circuit formed by four resonant modes and source/load coupling. The designed BPF realizes a very sharp roll-off response because of transmission zeros located very close to the passband edge. The validity of the BPF is proven by design, fabrication, and measurement.

WE3J-5 1510 – 1520UWB and WiLAN Microstrip Diplexer for Differential-Mode OperationH. Lobato-Morales1, J. S. Sun2, A. Corona-Chavez1, J. L. Olvera-Cervantes1, T. Itoh2, 1INAOE, Puebla, Mexico, 2Univer-sity of California at Los Angeles, Los Angeles, United StatesA balanced microstrip diplexer integrating directional filter and UWB structures for electronic communications is presented. The proposed structure channelizes UWB and WiLAN bands at different ports in its differential-mode. Good noise attenuation is obtained for the common-mode operation. The prototype presents low dimensions and ease of fabrication, which add important characteristics for integration into existing systems. Simulated and ex-perimental results are presented with good agreement.

WE3J-6 1520 – 1530Theoretical Design of Wideband Filters With Attenuation Poles Using Improved Parallel-Coupled Three-line UnitsC. Chen1, J. Oda1, T. Anada1, Z. Ma2, S. Takeda3, 1Kanagawa University, Yokohama-shi, Japan, 2Saitama University, Saitama-shi, Japan, 3Antenna Giken Co.,Ltd., Saitama-shi, JapanTo implement wideband bandpass filters with improved skirt-selectivity and out-band characteristics, a new parallel-coupled three-line unit is proposed. After revealing its work mechanism, an efficient filter-design-scheme is correspondingly proposed for the proposed structure. The simulated frequency responses of the filter agree well with the theoretical ones, which validates the effectiveness of the newly-proposed three-line unit and the cor-responding design scheme.

WEDNESDAY TECHNICAL SESSIONS 1350 – 1530

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Notre-Dame Basilica of Montréal

Located at only 8 minutes walking distance from the IMS convention center in downtown Montréal, this church was designed by James O’Donnell, a New Yorker Irish American, who was a strong proponent of the Gothic architecture and reflected his passion in the church architecture. The cornerstone was laid at Place d’Armes on September 1, 1824, and the final interior work was completed in 1879.

© Daniel Matte

© Tourisme Montréal, Stéphan Poulin

Page 69: IMS2012 Program

IMS W

EDN

ESDA

Y SESSIO

NS

IMS

› 66

WE4A: Terahertz Communication TechnologyWednesday 20 June 2012

Time: 1600 –1720 Room: 513ABCChair: Edward Niehenke, Niehenke Consulting

Co-Chair: Imran Mehdi, JPL

WE4A-1 1600 – 1620Efficient G-band Digital Communications Using Continuous Phase ModulationJ. X. Qiu, C. R. Dietlein, T. G. Ivanov, D. A. Wikner, A. S. Hedden, E. A. Viveiros, H. A. Hung, Army Research Laboratory, Adelphi, United StatesUse of continuous phase modulation (CPM) for digital communications at G-band (216GHz) has been investigated and demonstrated. The unique combination of constant envelope and multi-level symbol-maps of CPM offer ad-vantages of simultaneous high power-efficiency and spectral-efficiency. Waveforms with minimal distortion at full saturated power of the transmitter were demonstrated. The technique is ideal for upper millimeter-wave and terahertz communications where output power is limited.

WE4A-2 1620 – 1630215GHz CMOS Signal Source based on a Maximum-Gain Ring Oscillator TopologyJ. Sharma, H. Krishnaswamy, Columbia University, New York, United StatesWe introduce a Maximum Gain Ring Oscillator topology that maximizes power gain to maximize oscillation fre-quency while accounting for passive losses in a closed form. A 107.5GHz oscillator is implemented using 56-nm body-contacted devices of IBM’s 45nm SOI CMOS technology (~200GHz fmax). The 2nd harmonic is extracted us-ing a load-pull-optimized network. The oscillator generates -14.4dBm of power at 216.2GHz with 57.5mW of DC power. The modeling of 45nm SOI CMOS for mmWave design is described.

WE4A-3 1630 – 1650A Single-Chip 630 GHz Transmitter with 210 GHz Sub-Harmonic PLL Local Oscillator in 130nm InP HBTM. Seo1, M. Urteaga1, A. Young1, J. Hacker1, A. Skalare2, R. Lin2, M. Rodwell3, 1Teledyne Scientific & Imaging, Thousand Oaks, United States, 2NASA Jet Propulsion Lab., Pasadena, United States, 3University of California at Santa Barbara, Santa Barbara, United StatesWe present a 630 GHz transmitter IC based on a 210 GHz PLL and 3rd-order sub-harmonic transmit mixer in an 130nm InP HBT process. The transmitter output can be tuned across 15 GHz with -51 dBc and -74 dBc of phase noise at 100 Hz and 10 KHz offset, respectively. Measured saturated RF power was -30 to -33 dBm, while consuming 750 mW. The PLL occupies 1.37 mm2. To the authors’ knowledge, this work represents the first terahertz transmitter with on-chip (phase-locked) frequency generation.

WE4A-4 1650 – 1710A Subharmonic Chipset for Gigabit Communication around 240 GHzD. Lopez-Diaz1, I. Kallfass2, A. Tessmann1, A. Leuther1, S. Wagner1, M. Schlechtweg1, O. Ambacher1, 1Fraunhofer IAF, Freiburg, Germany, 2Karlsruhe Institute of Technology , Karlsruhe, GermanyIn this paper, we present monolithic integrated I-Q receive and transmit MMICs for wireless data transmission in the frequency range around 240 GHz. The chipset features an RF bandwidth of more than 80 GHz which cor-responds to an IF bandwidth of more than 40 GHz. This enables the direct up and down-conversion of broadband IF signals like On-Off Keyed modulations.

WE4A-5 1710 – 1720RTD-based THz-MIC by Film-Diode TechnologyO. Cojocari1, C. Sydlo2, M. Feiginov2, P. Meissner2, 1ACST GmbH, Darmstadt, Germany, 2Technisce Universitaet Darmstadt, Darmstadt, GermanyThis work aims at development of extremely compact and low-cost THz sources. Resonant-tunneling diode (RTD) is monolithically integrated with planar resonator and Vivaldi antenna on transferred membrane-substrate by Film-Diode (FD) process. The highest obtained oscillation-frequency is 1111GHz. This is the highest frequency RTD-based oscillator reported so far, and the highest-frequency Terahertz Monolithic Integrated Circuit (THz-MIC) realized so far by FD-technology.

WE4B: Advancements in Passive TechnologiesWednesday 20 June 2012

Time: 1600 –1720 Room: 512ABEFChair: George Shaker, University of WaterlooCo-Chair: Ramesh Abhari, McGill University

WE4B-1 1600 – 1620Isolator Utilizing Artificial Magnetic GyrotropyT. Kodera1, D. L. Sounas2, C. Caloz2, 1Yamaguchi University, Ube, Japan, 2École Polytechnique de Montréal, Montréal, CanadaA novel isolator, consisting of a raised microstrip line on a substrate supporting two periodic rows of resonant metal rings loaded by a unilateral semiconductor lumped element, is proposed, analyzed, and measured. As a result, symmetrically configured FETs allow/prohibit power propagation in the pass/stop directions by allowing/prohibiting the corresponding power flow along the rings. The prototype exhibits more than 30 dB of isolation at 3 GHz, in close agreement with the simulations.

WE4B-2 1620 – 1640Study of the Mach-Zehnder Interferometric Technique for Dielectric Resonator TuningH. J. De Los Santos1, C. Rusch2, Y. Chen3, 1NanoMEMS Research, Irvine, United States, 2Karlsruhe Institute of Technol-ogy, Karlsruhe, Germany, 3Christian-Albrechts-Universität zu Kiel, Kiel, GermanyThe modulation of a metallic microwave-scale Mach-Zehnder interferometer (MZI) coupled to a dielectric resona-tor (DR) may be used to tune the resonance frequency of the latter. In this paper, we report on a theoretical study to develop an understanding of the intrinsic tuning properties of an MZI Ring-DR-Microstrip line system and, for the first time, present an experimental verification of the concept.

WE4B-3 1640 – 1700Compact and Low Cost Substrate Integrated Waveguide Cavity and Bandpass Filter Using Surface Mount Shorting StubsA. Doghri, A. Ghiotto, T. Djerafi, K. Wu, Ecole Polytechnique de Montreal, Montreal, CanadaA compact and low cost substrate integrated waveguide (SIW) cavity and bandpass filter using Surface Mount (SM) shorting stubs is proposed in this paper. These cavity and filter allows a drastically reduction in Printed Circuit Board (PCB) footprint. They are compact and also low cost as there fabrication involves standard PCB process and SM technologies. For demonstration purpose, one cavity and one 7th order bandpass filter were designed and fabricated over Ka-band.

WE4B-4 1700 – 1710Design and Measurement of a Planar 9-Way Metamaterial Power Divider W. Lee, T. Chu, National Taiwan University, Taipei, TaiwanA planar 9-way metamaterial power divider composed of positive refractive index (PRI) material with right-handed unit cells and zero refractive index (ZRI) material with left-handed unit cells is presented. A semi-circular interface is between PRI and ZRI materials. The infinite wavelength phenomenon in the ZRI material with an equal magni-tude and phase distribution is experimentally verified via field and circuit measurements. They are shown in good agreement with simulation results.

WE4B-5 1710 – 1720Differential UWB Power-Distribution Network with Equal Power Ratio and Out-of-phase Single-ended OutputsS. Shi1, W. Choi1, K. Tam1, W. Che2, Q. Xue3, 1University of Macau, Macao SAR, China, 2Nanjing University of Science and Technology, Nanjing, China, 3City University of Hong Kong, Hong Kong SAR, ChinaA novel differential UWB power-distribution network is proposed. By converting 4-port balanced filter to 3-port balun filter, differential power-distribution network based on two balun filters is realized. Prototype centered at 4 GHz for lower UWB band is designed and fabricated. The selective differential-mode passband response with 45% bandwidth and wideband common-mode rejection greater than 20 dB is obtained. The phase and amplitude imbalances are 180 +/- 2 degrees and 0.1 dB +/- 0.2 dB.

WEDNESDAY TECHNICAL SESSIONS 1600 – 1720

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Page 70: IMS2012 Program

IMS W

EDN

ESDA

Y SESSIO

NS

IMS

› 67

WE4C: Tunable Filters II: Filters with Broad Tuning Bandwidth Wednesday 20 June 2012

Time: 1600 –1720 Room: 512CDGHChair: Ian Hunter, University of Leeds

Co-Chair: Andy Guyette, Naval Research Laboratory

WE4C-1 1600 – 1620A 6 to 24 GHz Continuously Tunable, Microfabricated, High-Q Cavity Resonator With Electrostatic MEMS ActuationM. S. Arif, D. Peroulis, Purdue University, West Lafayette, United StatesAn all-silicon capacitive post loaded cavity tunable resonator, continuously tunable from C to K frequency bands is presented for the first time. All parts of the resonator are fabricated using silicon microfabrication techniques. The presented device is tuned electrostatically from 6.1 to 24.4 GHz (4:1 tuning range) with a measured unloaded quality factor (Qu) from 300-1,000.

WE4C-2 1620 – 1630Tunable Differential-Mode Bandpass Filters with Wide Tuning Range and Constant BandwidthJ. Mao1, W. Che1, Y. Ma1, J. Chen2, 1Nanjing University of Science & Technology, Nanjing, China, 2Nantong University, Nantong, ChinaA tunable differential-mode filter with constant ABW is proposed. By introducing negative coupling, the band-width control is more flexible. Capacitors are introduced to adjust Qe. A tuning range of 74.5% can be achieved by controlling the coupling coefficient and Qe and the suitable choice of the varactor. A capacitor is loaded on the symmetry plane to separate the resonant frequencies of common modes, causing high common-mode suppres-sion. SIRs are utilized to shift the harmonic up to 3 GHz.

WE4C-3 1630 – 1650Tunable Bandstop Filter with a 17-to-1 Upper PassbandE. J. Naglich1, J. Lee2, D. Peroulis1, 1Purdue University, West Lafayette, United States, 2Korea University, Seoul, Republic of KoreaTunable bandstop filters with wide upper passbands are valuable in systems that operate over a wide frequency range in the presence of dynamic interference. This paper shows a tunable bandstop filter with a 17-to-1 ratio between its upper passband cutoff frequency and its lowest notch center frequency. The wide upper passband results from the combination of highly-loaded resonators and a new external coupling structure that is matched to the filter’s port impedance over a wide frequency range.

WE4C-4 1650 – 1700Octave Tunable Lumped-Element Notch FilterD. R. Jachowski, Naval Research Laboratory, Washington, United StatesA new lumped-element notch filter architecture with uniform attenuation characteristics over an octave tuning range is described. The approach is demonstrated by a 20 to 55 MHz varactor-tuned two-resonator notch filter with minimum notch attenuation of 40dB, 3dB bandwidths of ~2.5 MHz, tuning times of less than 3 microseconds, control voltages below 5V, and passband insertion loss less than 0.2dB from 18 to 60 MHz.

WE4C-5 1700 – 1720Multi-Band Tunable Bandpass Filter with Nested Switchable Inductive Subcircuit for Multi-Decade Tuning A. Abbaspour-Tamijani, Freeform Wave Technologies, Los Angeles, United StatesA method is introduced for implementing tunable bandpass filters with ultra-wide tuning range. This method relies on varactors for fine tuning and switchable inductive sub-circuits for course sub-band selection and is suit-able for implementing filters with constant fractional bandwidth. A two-pole tunable filter is reported that utilizes this technique to achieve tunability over the 21-460 MHz frequency range using standard diode varactors and PIN diode switches.

WE4D: RFID Technologies and ApplicationsWednesday 20 June 2012

Time: 1600 –1720 Room: 510BDChair: Apostolos Georgiadis, CTTC

Co-Chair: Kazuya Yamamoto, Mitsubishi Electric Corp.

WE4D-1 1600 – 1620A Passive UHF RFID Pressure Sensor Tag with a 7.27 bit and 5.47pJ Capacitive Sensor Interface.A. Beriain1, I. Rebollo2, I. Fernandez1, J. F. Sevillano1, R. Berenguer1, 1Universidad de Navarra, San Sebastian, Spain, 2FARSENS, San Sebastian, SpainA full passive UHF pressure RFID tag is presented. The characterization of the fabricated sensor interface connected to a MEMS pressure transducer shows a digital output with an ENOB of 7.27 bits and an FOM of 5.47pJ. The com-plete pressure sensor tag was also implemented and characterized inside a PVC pressure chamber. Successful ID and measurement communication with an EPC Gen 2 standard reader over a distance of 1.5m was achieved.

WE4D-2 1620 – 1630UHF Solar Powered Active Oscillator Antenna on Low Cost Flexible Substrates for Wireless Identifica-tion Applications A. Georgiadis1, A. Collado1, S. Kim2, H. Lee2, M. M. Tentzeris2, 1CTTC, Castelldefels, Spain, 2Georgia Institute of Technology, Atlanta, United StatesThe design of a 920 MHz active oscillator antenna using low cost flexible substrate materials is presented. Flexible amorphous silicon a-Si solar cells are properly integrated in the available area of the circuit substrate preserving the conformal nature of the circuit and providing operational autonomy by harvesting solar power without affecting the radiation properties of the active antenna.

WE4D-3 1630 – 1650Detection of Closely-spaced Objects by a Low-cost Reader at 2.45 GHz N. Arbizzani1, M. Del Prete2, D. Masotti1, A. Costanzo2, 1Università di Bologna, Bologna, Italy, 2University of Bologna, Cesena, ItalyA new microwave reading system is introduced, called RID (Remotely Identify and Detect), to locate and identify objects in indoor spaces. This is obtained by augmenting standard RFID reader activities with the electronic beam scanning capabilities. The technique is experimented at 2.45 GHz and is frequency-scalable: the higher the operat-ing frequency the closer can be the tags to be detected. The system operations are demonstrated locating and selecting closely-spaced objects, fixed or moving.

WE4D-4 1650 – 1700Wireless Impedance Measurement of UHF RFID Tag ChipsH. Chen, Y. Mak, S. Bae, A. Bhadkamkar, D. van der Weide, University of Wisconsin, Madison, United StatesWe present wireless impedance measurement for passive UHF RFID tag chips. The measurements are performed on the tag chip when it is connected to the tag antenna in the balanced mode as it operates; neither test fixture nor matching network is needed. The chip impedance measurement in absorbing state and reflecting state by using a time-domain vector reflectometer system. The experimental results are presented for a UHF RFID Gen2 device.

WE4D-5 1700 – 1720Enhanced Front-End to Extend Reading Range of Commercial RFID Readers Using Efficient Multisine SignalsA. S. Boaventura, N. B. Carvalho, Instituto de Telecomunicacoes, Aveiro, PortugalIn this paper, multisine excitation signals are used to extend the reading range of commercial RFID readers. To do so, a commercial reader is equiped with an external multisine front-end that implements previous mathematical proposals. A reading range improvement is achieved when compared with conventional single carrier, even with the same average power being transmitted. A reading range improvement of near 43% is obtained for a 8-tone multisine signal with 2MHz tone separation.

WEDNESDAY TECHNICAL SESSIONS 1600 – 1720

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Page 71: IMS2012 Program

IMS W

EDN

ESDA

Y SESSIO

NS

IMS

› 68

WE4E: High Power GaN AmplifiersWednesday 20 June 2012

Time: 1600 –1720 Room: 510ACChair: Jim Komiak, BAE Systems

Co-Chair: Joe Qiu, Army Research Laboratory

WE4E-1 1600 – 1620An X-Band Internally-Matched GaN HEMT Amplifier with Compact Quasi-Lumped-Element Harmonic-Terminating Network H. Uchida, H. Noto, K. Yamanaka, M. Nakayama, Y. Hirano, Mitsubishi Electric Corporation, Kamakura, JapanA compact quasi-lumped-element resonator is proposed as a harmonic terminating network for power ampli-fiers. It consists of an inter-digital capacitor and a transmission line, and its compactness is suitable for packaged internally-matched FET amplifiers. An X-band internally-matched GaN HEMT amplifier has been fabricated with the proposed network to boost its power-added efficiency (PAE). As a result, PAE of 50 % with output power of about 20 W has been obtained in 10 % relative bandwidth.

WE4E-2 1620 – 1640A 80 W Broadband GaN HEMT Envelope Tracking PA Harmonically Tuned for WCDMA and LTE with 50% Average EfficiencyN. Giovannelli1, A. Cidronali2, M. Mercanti1, R. Hernaman1, G. Wimpenny1, G. Manes2, 1Nujira, Cambridge, United Kingdom, 2Università degli Studi di Firenze, Florence, ItalyWe discuss the development of a balanced GaN ET PA with optimised peak power and efficiency over 720 MHz to 960 MHz; the design was enabled by a wideband second harmonic control. The PA achieved performance delivers greater than 60% average efficiency across band at 80 W average power supporting multi-standard operations with both 2 channel WCDMA and 10MHz LTE signals. The overall system efficiency, including the ET modulator, is 50% or greater with a target -50 dBc ACR across the bandwidth.

WE4E-3 1640 – 1700Highly Efficient Doherty Amplifier with Peaking Cell Controlled Using Optimized Shaped Gate VoltageJ. Kim1, C. Park2, 1ETRI, Daejeon, Republic of Korea, 2KAIST, Daejeon, Republic of KoreaThe optimum gate control for a peaking cell of a Doherty amplifier is proposed. The proposed gate voltage wave-form based on a variation in transcoductance provides a sufficient fundamental current for a peaking cell, which is relevant to the performance of a carrier cell. For further verification, a fabricated Doherty amplifier controlled by the proposed method provides a drain efficiency of 49.2% at an average output power of 45.6 dBm with an 8.5 dB PAPR signal maintaining an ACLR of -48 dBc.

WE4E-4 1700 – 1720A 2.6GHz Band 537W Peak Power GaN HEMT Asymmetric Doherty Amplifier with 48% Drain Efficiency at 7dB H. Deguchi, N. Watanabe, A. Kawano, N. Yoshimura, N. Ui, K. Ebihara, Sumitomo Electric Device Innovations, Inc., Yamanashi, JapanA 537W saturation output power GaN HEMT asymmetric Doherty amplifier for 2.6GHz band was developed. The main and peak amplifiers were implemented with 210W and 320W GaN HEMTs. The newly developed 320W GaN HEMT consists of a single GaN die, both input and output partial match networks and a compact package. The asymmetric Doherty amplifier exhibited 48% drain efficiency with -50.6dBc ACLR at 7dB backoff power using a W-CDMA 4-Carrier signal and commercially available DPD.

WE4F: Advances in Ohmic Switches Wednesday 20 June 2012

Time: 1600 –1720 Room: 511AChair: Dimitrios Peroulis, Purdue University

Co-Chair: Chuck Goldsmith, Memtronics

WE4F-1 1600 – 1620An RF MEMS Switch for High-Power ApplicationsC. D. Patel, G. M. Rebeiz, University of California at San Diego, La Jolla, United StatesThis paper presents a stress- and temperature-stable RF-MEMS ohmic switch exhibiting high power handling (10W) and high reliability (100 million cycles at 2W of RF power) for DC-40 GHz applications. The device, which em-ploys a Au/Ru contact, is fabricated with a surface micromachining process and achieves a contact force of 1.5mN per contact pair at Vp=90V, and a restoring force of 1.0mN. The on-resistance is 1-2 Ohms, the off-capacitance is 8fF, the Vp is 68V, and the switching time is 10us.

WE4F-2 1620 – 1640Low Temperature Superconducting DC-Contact RF MEMS Switch for Superconducting Tunable ResonatorsS. S. Attar1, S. Setoodeh1, R. R. Mansour1, D. Gupta2, 1University of Waterloo, Waterloo, Canada, 2HYPRES, Elmsford, United StatesA novel niobium-based superconducting DC-contact RF MEMS switch is presented. A comparison of the switch RF performance at room and cryogenic temperatures indicates a great improvement in the insertion loss of the switch. The mechanical characteristic of the switch at 4K is investigated. A niobium-based superconducting tun-able resonator is designed and fabricated employing the proposed switch as the tuning element. The concept can be extended to realize a high-Q switched capacitor bank.

WE4F-3 1640 – 1700A Compact, Low Loss Piezoelectric RF MEMS Relay with Sub 100-ns Switching TimesR. M. Proie Jr., T. Ivanov, J. S. Pulskamp, R. G. Polcawich, ARL, Adelphi, United StatesThis work reports on the effort to develop a low voltage, high performance RF MEMS relay within an existing MEMS process. The 54 x 43 µm2 relay achieved an insertion loss of under 0.2 dB from DC to 23.75 GHz at 5-V and an isola-tion from DC to 18-GHz of better than 30-dB. Additionally, the small, stiff structure achieved switching times of sub 100-ns with a 7-V actuation voltage and temperature measurements indicate stable operation from 0°C to 125°C.

WE4F-4 1700 – 1710Shunt RF MEMS Contact Switch based on PZT-on-SOI TechnologyT. G. Ivanov, J. S. Pulskamp, R. G. Polcawich, R. M. Proie, Army Research Laboratory, Adelphi, United StatesThis paper presents a novel RF MEMS contact switch based on PZT-on-SOI technology. PZT transducers provide 0.7 mN contact force at 16V bias voltage. Single crystal Si actuators, formed from the SOI device layer, ensure 0.7 mN restoring force. The switch has -0.1 dB insertion loss, -29.0 dB return loss and -27.4 dB isolation at 2 GHz. Unpackaged devices were tested in a single-cycle-resolution reliability test system and demonstrated lifetime of 100 million cycles.

WE4F-5 1710 – 1720A Miniature RF MEMS Metal-Contact Switch with High Biaxial and Stress-Gradient ToleranceC. Niu, G. Rebeiz, University of California at San Diego, La Jolla, United StatesThis paper presents a miniature RF MEMS (Micro- -Electro-Mechanical System) switch design optimized for the high residual stress and stress gradient available in a thin metal layer process. The switch demonstrates a stress gra-dient tolerance of ±100 MPa/µm, with 40% pull-down voltage change. The up-state capacitance is 9.4 fF and re-sults in 20 dB isolation at 20 GHz. The contact resistance is 3.6 Ω for an Au-Au contact under 30 V actuation voltage.

WEDNESDAY TECHNICAL SESSIONS 1600 – 1720

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Page 72: IMS2012 Program

IMS W

EDN

ESDA

Y SESSIO

NS

IMS

› 69

WE4G: Biomedical SensorsWednesday 20 June 2012

Time: 1600 –1720 Room: 511BEChair: Claude Weil, NIST Boulder

Co-Chair: Arnaud Pothier, XLIM Research Institute

WE4G-1 1600 – 1620Microwave Biosensors for Identifying Cancer Cell Aggresiveness GradeL. Zhang1, C. Dalmay1, A. Pothier1, P. Blondy1, C. Bounaix Morand du Puch2, C. Laurette2, A. Lacroix3, F. Lalloue3, S. Battu3, M. Jauberteau3, 1Xlim, Limoges, France, 2Oncomedics, Limoges, France, 3Université de Limoges, Limoges, FranceThis paper illustrates the potential of microwave frequencies for biological purpose analysis and demonstrates that cell cancer grades can be identified using microwave characterisations. Hence, based on permittivity measure-ments on 3 colon cancer cell lines loading RF resonators, the presented results show significant electromagnetic signature differences as function analyzed cell cancer grade.This sensing methode appears very promising to de-velop new powerful tools for early cancer diagnostic.

WE4G-2 1620 – 1640Micromachined 100GHz Near-Field Measurement Probe for High-Resolution Microwave Skin-Cancer Diagnosis F. Töpfer, S. Dodorov, J. Oberhammer, KTH Royal Institute of Technology, Stockholm, SwedenThis paper reports on a novel millimeter-wave measurement probe for high resolution skin-cancer diagnosis. A 18times smaller tip size than conventional probes was achieved by micromachining a silicon-core tapered dielectric waveguide. Furthermore, a unique concept of micromachined test samples of tailor-made permittivity for mimick-ing tissue is presented. Fabricated probes and test samples were successfully characterized, and multiple layers emulating skin anomalies were clearly distinguishable.

WE4G-3 1640 – 1700Characterization of a TEM Cell-based Setup for the Exposure of Biological Cell Suspensions to High-intensity Nanosecond Pulsed Electric Fields (nsPEFs)S. Kohler1, T. Vu1, P. Vernier2,3, P. Leveque1, D. Arnaud-Cormos1, 1XLIM, Limoges, France, 2MOSIS, Los Angeles, United States, 3University of South California, Los Angeles, United StatesIn this paper, we propose and characterize a setup based on a Transverse ElectroMagnetic (TEM) cell to expose a Petri dish filled with a biological suspension to nanosecond high-voltage pulsed electric fields. Monopolar and bipolar pulses of 1.2 ns duration and 1.6 kV amplitude are delivered to the TEM cell. Time domain measurements and numerical results show that the system is well suited to deliver high-intensity pulsed electric fields with 1.2 ns duration and amplitudes of at least 100 kV/m.

WE4G-4 1700 – 1710A 96 GHz Radar System for Respiration and Heart Rate MeasurementsS. Ayhan1, S. Diebold1, S. Scherr1, T. Zwick1, I. Kallfass1, A. Tessmann2, O. Ambacher2, 1Karlsruhe Institute of Technol-ogy (KIT), Karlsruhe, Germany, 2Fraunhofer IAF, Freiburg, GermanyStand-off detection of vital signs with radar based sensors is a highly promising approach for applications in the field of medical surveillance, emergency and security. A 96 GHz continuous wave (CW) radar system based on waveguide-packaged MMIC radar components is set-up for accurate determination of human chest displacements. The radar set-up is described and its boundaries and limitations are analyzed. Measurements using a person in 1 m distance are analyzed in time and frequency domain.

WE4G-5 1710 – 1720Design of a UWB Radar System for Remote Breath Activity MonitoringS. Pisa, E. Pittella, E. Piuzzi, M. Cavagnaro, P. Bernardi, Sapienza University of Rome, Rome, ItalyIn this paper a theoretical approach has been followed for designing a ultra wideband radar for breath activity monitoring. The designed radar complies with the Federal Communication Commission emission mask and is able to discriminate among breath activity phases. This radar has been implemented by using an indirect time domain reflectometry system and tested for pulmonary function monitoring. It has been able to follow the breath activity of a subject in agreement with spirometry results.

WE4H: Tunable Systems: Enabling Future Handset TechnologiesWednesday 20 June 2012

Time: 1600 –1720 Room: 511CFChair: Shirook M. Ali

Co-Chair: James Warden

WE4H-1 1600 – 1620Automatic Integrated Filter Tuning TechniquesN. Zahirovic, R. R. Mansour, University of Waterloo, Waterloo, CanadaMulti-band and multi-mode radios are becoming prevalent and necessary in order to provide optimal data rates across a network with a diverse landscape of coverage areas. As the number of required bands and modes in-creases, the aggregate cost of discrete RF signal chains justifies the adoption of tunable solutions. In-circuit filter tuning of tunable filters will be crucial in order to ensure that the absolute tuning accuracy can be achieved despite process, voltage and temperature variations.

WE4H-2 1620 – 1640Dynamic Measurement of Complex Impedance in Real-Time for Smart Handset ApplicationsS. M. Ali, M. E. Buckley, J. B. Deforge, J. P. Warden, Research In Motion, Waterloo, CanadaThe evolution of the mobile handset in support of 4G technology requirements continues to introduce significant challenges. Tunable systems promise improvements in performance and flexibility with the potential to relax limi-tations currently imposed on traditional RF components. This paper introduces techniques based on tunablity en-abling the handset knowledge in real-time of the complex impedance at any stage of its RF front-end. The method is presented through simulations and measurements.

WE4H-3 1640 – 1700Tunable BaSrTiO3 Applications for the RF Front EndA. H. Cardona, Agile RF, Santa Barbara, United StatesWith the proliferation of radio bands within the cellular spectrum, specifically Long Term Evolution (LTE), a tunable RF front end is becoming a necessity. This article presents the design and performance of tunable antenna con-figurations for mobile device antennas using the company’s barium strontium titanate (BST) thin film technology.

WE4H-4 1700 – 1720CMOS-MEMS Tuning and Impedance Matching Circuits for Reconfigurable RF Front-EndsS. Fouladi 1, F. Domingue2, R. Mansour1, 1University of Wateloo, Waterloo, Canada, 2Université du Québec à Trois-Rivières, Trois-Rivières, CanadaTunable radio-frequency microelectromechanical system (RF-MEMS) impedance matching networks for the com-pact implementation of reconfigurable RF front-ends for multistandard applications are presented in this paper. Different networks are presented which improve the overall performance in terms of power handling, size, inser-tion loss and DC power consumption by utilizing RF-MEMS technology. The integration of the RF-MEMS impedance matching networks in standard CMOS technologies is presented.

WEDNESDAY TECHNICAL SESSIONS 1600 – 1720

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Page 73: IMS2012 Program

IMS W

EDN

ESDA

Y SESSIO

NS

IMS

› 70

WE4J: Novel Periodic Structures and Metamaterials Wednesday 20 June 2012

Time: 1600 –1720 Room: 513DEFChair: David R. Jackson, University of Houston

Co-Chair: Paolo Lampariello, ‘La Sapienza’ Univ. of Rome

WE4J-1 1600 – 1620Artificial Surfaces of Intertwined Square Spirals: A CPW ModelA. Vallecchi1, A. Schuchinsky2, 1University of Siena, Siena, Italy, 2Queen’s University Belfast, Belfast, United KingdomIntertwining planar spirals arranged in doubly periodic arrays enables a strongly subwavelength response of the unit cell smaller than 1/40 of wavelength with large fractional bandwidths. A coplanar waveguide (CPW) model has been developed to analytically estimate the equivalent capacitance and inductance of intertwined spiral array elements in terms of their geometric parameters. This CPW model accurately predicts the fundamental resonance frequency and can be instrumental in the array design.

WE4J-2 1620 – 1630Development of Semi-Planar Chiral MetamaterialsD. Zarifi, M. Soleimani, V. Nayyeri, Iran University of Science and Technology, Tehran, IranDevelopment of chiral metamaterial (CMM) structures in order to achieve miniaturized CMMs and dual-band CMMs are aimed. for the first purpose, two general ideas are proposed: using dendritic fractal geometry, and using wide-band antenna designs. Afterwards, a novel dual-band CMM structure is designed and fabricated. The results show that the proposed structure exhibits giant optical activity and negative refractive indices for the RCP and LCP waves in two adjustable frequency bands.

WE4J-3 1630 – 1650Highly Dispersive Delay Structure Exploiting the Tight Coupling Property of the CRLH-CRLH Coupler for Enhanced Resolution Analog Signal ProcessingS. Gupta, C. Caloz, Ecole Polytechnique de Montreal, Montreal, CanadaA novel dispersive delay structure (DDS) based on a CRLH-CRLH coupler is proposed, analyzed using a quasi-TEM transmission line model, and demonstrated by both full-wave and experimental results. Compared to conventional all-pass C-sections, the proposed CRLH based DDS provides a much larger group delay swing, thereby leading to much higher resolution in analog signal processing (ASP) applications, due to its capability of providing extremely high coupling levels.

WE4J-4 1650 – 1710A Dual Band SIW Leaky Wave AntennaJ. Machac, M. Polivka, Czech Technical University in Prague, Prague, Czech RepublicThe results of an investigation of a new version of a leaky wave antenna based on a CRLH substrate integrated waveguide (SIW) are presented. The antenna radiates in two frequency bands. The radiation pattern main lobe can be steered by changing the frequency in both bands from backward to forward direction. The measured charac-teristics are in good agreement with those predicted by the simulation. The SIW structure based on standard PCB technology makes the antenna suitable for integration.

WE4J-5 1710 – 1720Mode Analysis of Nonreciprocal Metamaterials Using a Combination of Field Theory and Transmis-sion Line ModelA. Porokhnyuk1, T. Ueda1, Y. Kado1, T. Itoh2, 1Kyoto Institute of Technology, Kyoto, Japan, 2University of California at Los Angeles, Los Angeles, United StatesAn approach to describe transmission characteristics of nonreciprocal metamaterials is proposed by using a combi-nation of field theory and transmission line model. It is implemented into the analysis of nonreciprocal negative-epsilon transmission line composed of a normally magnetized ferrite-rod-embedded microstrip line periodically loaded with shunt stubs. The approach describes epsilon-negative characteristics below the cutoff, nonreciprocity in phase, and agrees well with FEM simulation.

WEDNESDAY TECHNICAL SESSIONS 1600 – 1720

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Dorchester Square - Place du Canada

Oases of greenery in the very heart of Montréal, Dorchester Square and Place du Canada are lined by churches and superb older buildings, as well as more recent ones. This Square was formerly the site of the Montréal Catholic Cemetery, between 1799 and 1854.

© Tourisme Montréal

© Tourisme Montréal

Page 74: IMS2012 Program

IMS TH

UR

SDA

Y SESSIO

NS

IMS

› 71

THURSDAY FOCUS, PANEL, AND SPECIAL SESSIONS

Thursday 0800 – 0940 Room 511CFTH1H: Focus Session - Tunable Film Bulk Acoustic Wave Resonators (FBARs)

Chair: S. Gevorgian, Chalmers University Co-Chair: A. Vorobiev, Chalmers University

Abstract: Film Bulk Acoustic Wave Resonators (FBARs) based on piezoelectric AlN are one of the success stories in recent years. The production yield of these devices may be increased by very tight processing tolerances and post fabrication adjustment processes. These costly processes may be eliminated if the resonant frequency of the FBAR is tunable. The tunability, in addition, offers extra flexibility especially in applications in agile microwave communication systems. The Session addresses different tuning mechanisms and methods being currently investigated. Both intrinsic and extrinsic tuning mechanisms will be discussed in this Session. The intrinsically tunable FBARs utilize electric field and temperature induced changes in the acoustic parameters of the piezoelectric films. The extrinsically tunable AlN and ZnO FBARs make use of external tuning capacitors and inductors. Tunable resonators based on polar (piezoelectric) and paraelectric phase ferroelectrics will be considered as well.

Thursday 1010 – 1150 Room 511CFTH2H: The Evolution of Some Key Active and Passive Microwave Components

Chair: Lawrence R. Whicker, LRW Associates

Abstract: This session reviews some key active and passive microwave component developments during the past 60 years and points out the importance of these activities. It covers passive and adaptive filters, ferrite components, vacuum tubes, low-noise devices and amplifiers, power amplifiers, and T/R modules for active arrays.

Thursday 1200 – 1320 Room 516 ACPanel Session: The Mathematics and the Physics of MIMO (Multi-input-multi-output) Systems

Organizer: Dr. Tapan Sarkar, Syracuse University

Panelists:1. Miguel Lagunas, The Centre Tecnològic de Telecomunicacions de Catalunya,

Spain2. Micheal Wicks, Sensors Directorate, Air Force Research Laboratory3. Magdalena Salazar Palma, Professor of Microwave and Electromagnetic Theory4. Magdy Iskander, Professor and Director of Hawaii Center for Advanced Communications5. Christos Christoudoulou, Professor of Electromagnetics, University of New Mexico

Panel Description: The basic principle of MIMO is similar to a simultaneous multimode propagation in an over moded waveguiding system. The philosophy is that simultaneous transmission in parallel using multiple modes is better than using a single mode. This is basically the principle in MIMO Radar, MIMO communication and so on. The objective of the panel session is to look at the scientific basis of such a system. Specifically, even though such a system may be unique form a philosophical standpoint, but does that still carry over to practical system implementations? The goal will be to delineate under what conditions this methodology will yield meaningful results and when it will not.

Thursday 1350 – 1530 Room 513ABCTH3A: Focus Session - Linearizability of GaN from Device, Circuit to System Levels

Chair: Joe Qiu, U.S. Army Research Laboratory Co-Chair: Ali Darwish, The American University in Cairo

Abstract: The Gallium Nitride (GaN) semiconductor is a recent breakthrough in ma-terials technology, which supersedes the performance of traditional technologies. In fact, due to its semiconducting properties, GaN has a wide band gap which enables high-power densities, high operating frequency, large voltage breakdown, and radia-tion hardness. The low output capacitance of the GaN high electron mobility transis-tors (HEMTs) enables fast switching speed and high-frequency operation. For these reasons, GaN devices will be the dominant enabling technology in the future for a wide variety of high-frequency systems over other semiconductor technologies such as Sili-con, Gallium Arsenide, and Indium Phosphide. On the other hand, the wide application of GaN power amplifiers has been hindered by several challenges at multiple levels of the GaN technology (device, circuit and system). This Session addresses the major chal-lenges in the wide adoption of GaN based power amplifiers by discussing the different device, circuit and system level design considerations for enhancing linearity.

Thursday 1350 – 1530 Room 511BETH3G: Focus Session - Multi-port Technology for Radio and Radar Applications

Chair: Serioja Ovidiu Tatu, INRS-EMTCo-Chair: Adriana Seban, ITN Linkoping University, Sweden

Abstract: The multi-port circuit theory was first developed in the 1970s for the accurate automated measurements of the complex scattering coefficients in microwave networks. Since 1994, the multi-port techniques were further developed for microwave and millimeter wave radios. Several multi-port architectures for specific applications such as communications receivers, automotive radar or imagery have been developed and implemented. Basically, the multi-port is a passive circuit, composed of several couplers, interconnected by transmission lines and phase shifters. The multi-port acts as an interferometer. By using appropriate devices connected to output ports, this circuit can provide specific functions such as quadrature down-conversion or direct modulation. The Session will highlight unconventional multi-port technology developed during the past decades and recently. It will also give the six-port community an opportunity to honor the Emeritus Professor Renato G. Bosisio, who dedicated a major part of his brilliant research carrier developing and promoting this technology.

Thursday 1350 – 1530 Room 511CF TH3H: Special Session - Remembering Roger Pollard

Chair: John Barr, Agilent Technologies (retired), Santa Rosa, United States

Abstract: Dr. Roger Pollard was a long time active volunteer for the IMS, MTTS, IEEE and ARFTG, and he was a distinguished educator, researcher, and administrator for the University of Leeds, UK.

Roger served as IEEE Vice President for Technical Activities, IEEE Board of Directors Secretary and MTTS President. His IEEE activities first started with UKRI chapter & section. While on the MTTS AdCom, he became a leader in electronic information access and as Chair of the TAB/PAB Products Committee, Roger provided the leadership for the creation of IEEE Xplore, which has evolved into a world-class resource for technical information.

Roger recently retired as Dean of the Faculty of Engineering for University of Leeds after a distinguished career which included supervising 56 successful Ph.D. candidates. His personal research interests were in microwave network measurements, calibration and error correction, microwave and millimeter-wave circuits, terahertz technology and large-signal & nonlinear device characterization. During this time he also worked as a consultant to Agilent Technologies contributing to new generations of Vector Network Analyzers for RF / microwave / millimeter frequencies.

In this memorial session, speakers will reflect on the many aspects of the microwave community that Roger touched.

Page 75: IMS2012 Program

IMS TH

UR

SDA

Y SESSIO

NS

IMS

› 72

TH1A: Rectifiers for Microwave Wireless Power TransmissionThursday 21 June 2012

Time: 0800 –0930 Room: 513ABCChair: Manos Tentzeris, Georgia Tech

Co-Chair: Zoya Popovic, University of Colorado

TH1A-2 0800 – 0810An Improved Analytical Model for RF-DC Conversion Efficiency in Microwave RectifiersJ. Guo, X. Zhu, Shanghai Jiao Tong University, Shanghai, ChinaThis paper presents an improved analytical model for diode efficiency in microwave rectifiers. This model provides a method to determine the input power at which the peak reverse voltage across the diode starts to exceed the diode breakdown voltage, resulting in efficiency drop. Closed-form equations are derived to calculate the diode efficien-cies at various input power levels. A 2.45 GHz microwave rectifier is designed and measured. The experimental results agree well with the proposed model.

TH1A-3 0810 – 0830A Class E Synchronous Rectifier based on an E-pHEMT Device for Wireless Powering ApplicationsM. N. Ruiz , R. Marante, J. A. García, University of Cantabria, Santander, SpainIn this paper, the design of a class E synchronous rectifier, working in the 900 MHz frequency band and based on an Enhancement-mode Pseudomorphic High Electron Mobility Transistor (E-PHEMT), is proposed. This type of device may offer an excellent performance when operated as a switch without biasing its gate terminal. An efficiency peak of 83% has been measured, staying above 70% for a 14 dB input power range, a distinguishing characteristic when compared to Schottky diode based alternatives.

TH1A-4 0830 – 0850High-Efficiency Harmonically-Terminated Rectifier for Wireless Powering ApplicationsM. D. Roberg, E. Falkenstein, Z. Popovic, University of Colorado at Boulder, Boulder, United StatesIn wireless powering, rectifier efficiency has a large effect on system efficiency. This paper presents an approach to efficient microwave rectifier design based on reduced conduction angle amplifier theory. A class-C 2.45 GHz Schottky-diode rectifier with short-circuit harmonic terminations is designed using source-pull measurements, and demonstrates an efficiency of 72.8% when matched to 50 Ohms. The approach is applied to integration of a rectifier with a dual-polarization patch antenna.

TH1A-5 0850 – 0900Compact Class-F RF-DC Converter with Antisymmetric Dual-Diode ConfigurationA. Noda, H. Shinoda, University of Tokyo, Tokyo, JapanA compact configuration of RF to dc power conversion circuits that approximately operates as a class-F rectifier is proposed. Stub filters for even harmonics are eliminated by using an antisymmetric configuration of two single-shunt-diode rectifiers. Although a fabricated circuit is composed of only a impedance matching network at funda-mental frequency and a third harmonic rejection filter, approximately short terminations for the second and the forth harmonics are also achieved.

TH1A-6 0900 – 0910RF to DC CMOS Rectifier with High Efficiency over a Wide Input Power Range for RFID ApplicationsS. Scorcioni, A. Bertacchini, L. Larcher, A. Ricciardi, D. Dondi, P. Pavan, Universita Degli Studi Di Modena E Reggio Emilia, Reggio Emilia, ItalyWe present a novel RF-DC rectifier that converts the energy received from a RFID reader into a regulated DC output voltage. Our design proposes a novel active load circuit that adjust the delivered output current as a function of the incoming RF energy. Circuit prototype fabricated in ST130nm CMOS technology is able to convert an -14÷+1dBm RF input power at 868MHz into an output voltage of 1.6÷1.8V. Rectifier efficiency remains above 30% in the -12÷+1dBm input power range, with a peak of 45%.

TH1A-7 0910 – 0930Spintronics-Based Devices for Microwave Power HarvestingS. Hemour1, D. Houssameddine2, R. Whig2, J. M. Slaughter2, K. Nagel2, S. Aggarwal2, Y. Gui3, C. M. Hu3, K. Wu1, 1École Polytechnique de Montréal, Montréal, Canada, 2Everspin Technologies, Chandler, United States, 3University of Manitoba, Winnipeg, CanadaSchottky diode rules today in most rectifying circuits. However, for µW power harvesting applications, the diodes fail to provide satisfying RF-to-DC conversion efficiency. This work introduces for the first time a spintronics-based nonlinear component for power rectification. Along with an analysis of the role of the nonlinearity and the zero bias resistance in the rectification process, it will be shown how the spindiode can provide 10 times more power than a Schottky diode.

TH1B: HF, VHF and UHF Power Amplifiers and ApplicationsThursday 21 June 2012

Time: 0800 –0920 Room: 512ABEFChair: Marc Franco, RFMD

Co-Chair: Frank Sullivan, Raytheon Company

TH1B-2 0800 – 0810Low-Cost 63% Efficient 2.5-kW UHF Power Amplifier for a Wind Profiler RadarB. Lindseth1, T. Kelly3, W. O. Brown1, T. Hock1, S. A. Cohn1, Z. Popovic2, 1NCAR, Boulder, United States, 2University of Colorado at Boulder, Boulder, United States, 3NXP Semiconductors, Smithfield, United StatesThis paper describes a low-cost 449-MHz 2.5-kW peak pulse amplifier for use in a wind profiling radar. New high-power LDMOS transistors are enabling the use of kilowatt level pulse power amplifiers for under US25¢/W in transistor costs. With pulse duty cycles of 10-20%, kilowatt modules with efficiencies greater than 60% can be combined to achieve multi-kilowatt transmitters, allowing higher transmit powers and improved radar signal to noise ratio.

TH1B-3 0810 – 0830A UHF Class E2 DC/DC Converter using GaN HEMTsR. Marante1, M. N. Ruiz1, L. Rizo1, L. Cabria2, J. A. Garcia1, 1University of Cantabria, Santander, Spain, 2TTI Norte, Santander, SpainIn this paper, the design of a class E2 resonant DC/DC converter, operating at UHF band, is proposed. Combining the use of GaN HEMT devices, both for the inverter and the synchronous rectifier, with high Q lumped-element multi-harmonic matching networks, a peak efficiency value of 72% has been obtained at 780 MHz with a 10.3 W output power. By means of a Pulse Width Modulation (PWM) over the gate driving envelope, a small-signal bandwidth and a slew rate of 11 MHz and 630 V/uS were estimated.

TH1B-4 0830 – 0840A 25.6 W 13.56 MHz Wireless Power Transfer System with a 94% Efficiency GaN Class-E Power Ampli-fierW. Chen1, R. A. Chinga2, S. Yoshida3, J. Lin2, C. Chen1, W. Lo1, 1ITRI, Hsinchu, Taiwan, 2University of Florida, Gaines-ville, United States, 3NEC Corporation, Tsukuba, JapanIn this work, we propose a 13.56 MHz GaN Class-E power amplifier, which takes into account transistor parasitic effects. The design uses the parasitic capacitance of the transistor to replace the charging capacitance, simplifying the circuit structure and obtaining a 93.6% efficiency at output power of 26.8 W. In addition, a wireless power transfer system using the proposed Class-E amplifier is demonstrated, achieving a 73.4% system efficiency when the power delivered to the load is 25.6 W.

TH1B-5 0840 – 0900A 25 dBm Parallel Class E Power Amplifier with Minimal Efficiency Degradation under 10 dB Back-offN. Singhal, R. Patel, S. Pamarti, University of California at Los Angeles, Los Angeles, United StatesThis paper implements a Zero Voltage Switching (ZVS) Contour based power amplifier previously proposed theo-retically by the authors. The proposed PA, implemented using discrete components on an FR4 PCB, achieves a peak power of 25dBm and a peak drain efficiency of 65% at about 6dB back-off from the peak output power at 100 MHz from a 3V supply.

TH1B-6 0900 – 0920Class-E RF Power Amplifier with a Flat-Top Transistor-Voltage WaveformA. Mediano1, N. O. Sokal2, 1University of Zaragoza, Zaragoza, Spain, 2Design Automation Inc., Auburndale, United StatesThis paper introduces a Class-E RF amplifier topology designed to obtain a flat-top transistor-voltage waveform whose peak value is 2.9 times the DC supply voltage instead of the 3.6 times (81%) associated with “Classical” Class-E amplifiers. A normalized design, simulation and verification with laboratory measurements are presented. The ratio of output power versus transistor peak voltage times peak current is 0.118 versus 0.098 in “Classical” designs.

THURSDAY TECHNICAL SESSIONS 0800 – 0940

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Page 76: IMS2012 Program

IMS TH

UR

SDA

Y SESSIO

NS

IMS

› 73

TH1C: High Performance Non-Planar Filters Technologies 1Thursday 21 June 2012

Time: 0800 –0940 Room: 512CDGHChair: Roberto Sorrentino, University of Perugia

Co-Chair: Richard Snyder, RS Microwave

TH1C-1 0800 – 0820In-Line Pseudoelliptic TE01δ Mode Dielectric Resonator Filters S. Bastioli, R. V. Snyder, RS Microwave Co. Inc., Butler, United StatesA new configuration for pseudoelliptic dielectric resonator filters with in-line structure is presented. The proposed configuration uses TE01δ mode resonators with different orientations. Thanks to a pair of orthogonal evanescent modes that can alternatively by-pass or excite the resonators, coupling between non-adjacent pucks can be estab-lished. Pseudoelliptic filters can be designed without using cumbersome cross-coupled architectures or reduced spurious performance dual-mode resonators.

TH1C-2 0820 – 0840A New Class of Pseudo-Elliptic Waveguide Filters using Resonant PostsC. Tomassoni, R. Sorrentino, Università di Perugia, Perugia, ItalyA new class of rectangular waveguide filters using resonators composed of two identical metal posts in antipodal position has been presented. Such filters exploit the non-resonating modes of double post resonators in order to obtain filtering functions with transmission zeros. The filter structure is simple as it is composed only of posts of the same diameter. The feasibility of the proposed structures has been demonstrated by the experimental results of a 4th order filter with 2 transm. zeros.

TH1C-3 0840 – 0900Dual-Resonance Combline Resonator for Dual-Band FiltersJ. A. Ruiz-Cruz1, M. M. Fahmi2, R. R. Mansour2, 1Universidad Autonoma de Madrid, Madrid, Spain, 2University of Waterloo, Waterloo, CanadaA novel combline resonator is introduced in this paper for realizing compact microwave dual-band filters. The basic resonator is based on the well-known combline topology, where an additional metallic conductor is introduced. The resonant frequencies of the two resonant modes are controlled by the length of the two concentric conductors and their spacing. The proposed structure is used for a dual-band filter, presenting simulations as well as measure-ments, showing excellent agreement.

TH1C-4 0900 – 0920Ku-Band High Power Dielectric Resonator Filters A. Panariello1, M. Yu1, C. Ernst2, 1Com Dev, Cambridge, Canada, 2European Space Agency, Noordwijk ZH , NetherlandsIn this paper, a novel dielectric-resonator filter configuration is presented. The new filter offers superior Q and wider spurious-mode free range at Ku-Band. Moreover it offers 70% mass savings over the TE114 dual mode design and over 50% foot print reduction compared with the TE113 dual-mode implementation. These characteristics make this novel technology extremely suitable for output multiplexer used in Ku-Band satellite application.

TH1C-5 0920 – 0930Compact Ku Band Filter based on BMT Dielectric Resonators Made in a Single Part using 3D Ceramic Stereolithography ProcessL. Carpentier1, N. Delhote1, S. Verdeyme1, L. Estagerie2, H. Leblond3, D. Pacaud3, 1XLIM, Limoges, France, 2CNES, Toulouse, France, 3Thales Alenia Space, Toulouse, FranceA Ku band 6 pole quasi-elliptic filter based on dielectric resonators is presented. To the best of our knowledge, this is the first time that temperature stable BMT ceramic material (er = 24.4 and tan δ = 0.95.10-5 at 11.1 GHz) is associ-ated with stereolithography process for the manufacturing of 3D parts. The proposed filter is composed of 6 dielec-tric resonators, their support and a dielectric negative coupling element all connected into one single ceramic part.

TH1C-6 0930 – 0940Coping with Spurious Effects in Full-Wave Electromagnetic Design of a Wide-Band Waveguide MultiplexerH. Hu, K. Wu, The Chinese University of Hong Kong, Hong Kong, Hong KongThe demands on wideband satellite services have imposed research on the full-wave EM design of wideband output multiplexer (OMUX). In this paper, three spurious mode effects affecting waveguide OMUX, namely cav-ity higher-order resonance, manifold higher-order resonance and the spurious dispersions of channel filers are investigated. The remedies to these effects are discussed. The dispersion problem facing the design of a wideband OMUX channel filters is also studied by full-wave EM models.

TH1D: Advanced Transceiver Architectures for Wireless Communication Systems

Thursday 21 June 2012Time: 0800 –0940 Room: 510BD

Chair: Shoichi Narahashi, NTT DOCOMO, INC.Co-Chair: Chang-Ho Lee, Qualcomm

TH1D-1 0800 – 0820Evaluation of Pulse Modulators for All-Digital Agile TransmittersN. V. Silva, A. S. Oliveira, N. B. Carvalho, Universidade de Aveiro, Aveiro, PortugalAll-digital transmitters are gaining increased access over the last years, mainly due to white space technology needs. In this paper a new FPGA-based multichannel multimode agile transmitter architecture is presented. The new configuration includes improvements in PWM and Σ∆ modulators, which are designed in order to optimize important figures of merit for RF transmitters, such as coding efficiency, usable bandwidth and SNR.

TH1D-2 0820 – 0840RF Sub-sampling Receiver Architecture based on Milieu Adapting TechniquesN. Behjou1, T. Larsen2, O. K. Jensen2, 1Radiocomp, Hilleroed, Denmark, 2Aalborg University, Aalborg, DenmarkA novel sub-sampling based architecture is proposed which has the ability of reducing the problem of image dis-tortion and improving the signal to noise ratio significantly. The technique is based on sensing the environment and adapting the sampling rate of the receiver to the best possible selection. The proposed technique is applied to an RF sub-sampling receiver and has revealed great improvements in the SNIR of the receiver.

TH1D-3 0840 – 0900Digital Pre-distortion for Improving Efficiency, Linearity and Average Output Power of Microwave Point-to-Point Power Amplifiers used in Cellular Backhaul Telecommunication SystemsR. A. Branson, C. Steinbeiser, K. Tran, B. Loran, D. Wohlert, TriQuint Semiconductor, Richardson, United StatesDigital Pre-distortion (DPD) has been demonstrated to significantly improve linearity while increasing efficiency and average output power in microwave Point-to-Point power amplifiers, such as those used in microwave back-haul for cellular communication systems. By applying Digital Pre-distortion to a three-stage 15 GHz amplifier, linearity was improved more than 20 dB, efficiency at back off was improved from 2.5% to 8.9%, and average output power increased from 22.5 dBm to 26.3 dBm.

TH1D-4 0900 – 0920Spectral Spike Reduction for Ultra-wideband Impulse Radio SystemA. Pearce1, H. Nie2, Z. Chen3, 1Cobham, Dartmouth, Canada, 2University of Northern Iowa, Cedar Falls, United States, 3Dalhousie University, Halifax, CanadaThe ultra-short impulse transmission technologies, such as the recently developed differential code-shifted-refer-ence system, provide a promising time-domain transceiving technology for new UWB designs. Unfortunately, such short impulse transmission often generates spectral spikes that force UWB transmit power back off of more than 10dB. In this paper, we present an application of a simple technique to reduce these spectral spikes. UWB impulse radio output power can then be maximized.

TH1D-5 0920 – 0930Optimization of Subsampling Dual Band Receivers Design in Nonlinear SystemsJ. G. Oya1, A. Kwan2, S. Bassam2, F. Muñoz Chavero1, F. Ghannouchi 2, 1University of Seville, Seville, Spain, 2University of Calgary, Calgary, CanadaThis paper presents an optimization of the noise performance for a dual band receiver based on subsampling in a nonlinear scenario. The focus is on designing a multiband receiver for software defined radio. Thus, another goal of the presented architecture is its flexibility, focusing on the jitter and folded noise optimization of the subsampling receiver and covering as many wireless standards as possible. To approach this, different architectures based on subsampling technique are analyzed.

TH1D-6 0930 – 0940Design of a High Performance RF Transceiver for TDD-LTE SystemK. Zhou, J. Zhou, Z. Xu, Southeast University, Nanjing, ChinaIn this paper, the development of a RF transceiver for TDD-LTE system is presented. The RF transceiver designed in this paper has 8 channels form 3.411Ghz to 3.551Ghz, steps by 200Mhz and shows a high performance, such as high output power, good linearity, low EVM.The experimental results show that the RF transceiver designed has a high performance for TDD-LTE system.

THURSDAY TECHNICAL SESSIONS 0800 – 0940

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Page 77: IMS2012 Program

IMS TH

UR

SDA

Y SESSIO

NS

IMS

› 74

TH1E: Unconventional RFIDsThursday 21 June 2012

Time: 0800 –0930 Room: 510ACChair: Atef Elsherbeni, University of Mississippi

Co-Chair: Luca Roselli, University of Perugia (DIEI)

TH1E-1 0800 – 0820A Compact Chipless RFID Tag with Environment Sensing CapabilityA. Vena1, E. Perret1, S. Tedjini1, D. Kaddour1, A. Potie2, T. Baron2, 1Grenoble-Inp, Valence, France, 2CNRS, Grenoble, FranceThis paper presents a chipless RFID tag having both identification and sensing capability. It is based on 5 resonant scatterers that behave as signal processing antennas in the band from 2.5 to 7.5 GHz. Only one scatterer is used to monitor a physical parameter variation, while the four others allow identifying the remote sensor with 13 bits. To make a resonator sensitive to the temperature or humidity, a material based on Silicon Nanowire is deposited on the tag surface using a simple process.

TH1E-2 0820 – 0840Temporal Multi-Frequency Encoding Technique for Chipless RFID ApplicationsR. Nair, E. Perret, S. Tedjini, Grenoble Institute of Technology, Valence Cedex 9, FranceA novel temporal multi-frequency encoding technique based on group delay for chipless Radio Frequency Iden-tification tag is presented. Cascaded microstrip transmission line sections coupled at alternative ends(C-sections) are utilized to generate the tag ID.The proposed device is designed, prototyped and experimentally verified for 2 bit coding.Furthermore the transformation of the prototype into chipless tag using simulation results is also incorporated.

TH1E-3 0840 – 0850UWB-IR-Based Detection For Frequency-Spectra Based Chipless RFIDP. Kalansuriya, N. C. Karmakar, Monash University, Clayton, AustraliaA novel approach that uses an ultra wideband impulsed radar (UWB-IR) technique to accurately estimate the reso-nant features of a multi-patch-backscatter based chipless RFID tag is presented. The backscatter is analyzed in the time domain and it is shown that the information carrying component is contained in the antenna mode of the backscatter through simulation. The proposed method does not rely on calibration tags for operation and has a greater degree of freedom in tag orientation.

TH1E-4 0850 – 0910Inkjet-Printable UHF RFID Tag Antenna on a Flexible Ceramic-Polymer Composite SubstrateA. Babar1, J. Virtanen1, V. A. Bhagavati2, L. Ukkonen1, A. Z. Elsherbeni3, P. Kallio2, L. Sydänheimo1, 1Tampere University of Technology, Rauma, Finland, 2Tampere University of Technology, Tampere, Finland, 3The University of Mississippi, Oxford, United StatesThe utilization of inkjet-printing technique to develop a printable UHF RFID tag antenna on flexible ceramic-polymer composite material is demonstrated. The substrate material is fabricated using high permittivity Barium Titanate (BaTiO3) ceramic powder mixed with polydimethylsiloxane (PDMS) polymer. A UHF RFID tag antenna is inkjet-printed using silver nano-particle to exploit the potential advantages of high dielectric flexible composite material when used as a tag substrate.

TH1E-5 0910 – 0930A Novel “Universal” Inkjet-Printed EBG-Backed Flexible RFID for Rugged On-Body and Metal Mounted ApplicationsH. Lee1, S. Kim1, D. D. Donno2, M. M. Tentzeris1, 1Georgia Institute of Technology, Atlanta, United States, 2Università del Salento, Lecce, ItalyA novel inkjet-printed electromagnetic bandgap-backed (EBG) RFID tag has been designed and tested for wear-able and metal mount applications. An array of split-ring resonators and a dipole antenna matched to an RFID chip at 915 MHz were designed and inkjet printed on paper substrate. Measurements of the tag in free space show that the range increases by nearly a factor of 2 for on-body and on-metal measurements.

TH1E-6 0930 – 0940Hybrid Time-Frequency RFID SystemB. Nikfal, C. Caloz, École Polytechnique de Montréal, Montreal, CanadaA novel hybrid time-frequency RFID system is proposed. The idea is to use an interrogating signal consisting of a sequence of pulses modulated by different frequencies. The resonators of the tags select out some of the pulses of the sequence corresponding to their resonant frequency, which produces the RFID code in the form of a modified sequence of time-domain pulses. The proposed system overcomes the complexity and loss issues of frequency-based and time-based RFID systems, respectively.

TH1F: Biomedical ImagingThursday 21 June 2012

Time: 0800 –0940 Room: 511ADChair: Abbas Omar, University of Magdeburg

Co-Chair: Shahed Reza, Raytheon

TH1F-1 0800 – 0820Time-Domain Microwave Cancer Screening: Optimized Pulse Shaping Applied to Realistically Shaped Breast PhantomsE. Porter, A. Santorelli, S. A. Winkler, M. Coates, M. Popović, McGill University, Montreal, CanadaWe compare the tumor detection ability of a time-domain microwave radar system for breast cancer screening fed with two different pulses. We conduct measurements on breast phantoms using as inputs to our system both a ge-neric pulse and a pulse reshaped with a synthesized broadband reflector (SBR) designed to have an advantageous frequency profile. Our results in both time and frequency domains demonstrate that this pulse shaping technique improves the tumor response and system efficiency.

TH1F-2 0820 – 0840Terahertz Imaging for Margin Assessment of Breast Cancer TumorsA. M. Hassan1, D. C. Hufnagle2, M. El-Shenawee1, G. E. Pacey3, 1University of Arkansas, Fayetteville, United States, 2Miami University, Oxford, United States, 3Ohio State University, Dayton, United StatesThis work presents experimental terahertz measurements of excised formalin fixed paraffin embedded (FFPE) hu-man breast cancer tissues. The data are collected using a terahertz pulsed system operating from 0.1 THz to 3THz. The results represent preliminary investigation of terahertz imaging technique for assessing the tumor margins. The direct imaging method will be compared with inverse scattering imaging methods using the experimental data along with histopathological images as references.

TH1F-3 0840 – 0900Sensitivity-based Microwave Imaging with Raster ScanningY. Zhang, S. Tu, R. K. Amineh, N. K. Nikolova, McMaster University, Hamilton, CanadaA recently proposed sensitivity-based microwave imaging algorithm shows good sensitivity and resolution in nu-merical experiments. Here, the algorithm is applied with measured data from the raster scanning of tissue phan-toms. The sensors are two dielectric-filled TEM horn antennas. Images of scatterer(s) embedded in lossy tissue phantoms of thickness 5 cm are successfully obtained using the transmission coefficients acquired in the frequency range from 3 GHz to 10 GHz.

TH1F-4 0900 – 0920RF Multi-Channel Head Coil Design with Improved B1+ Fields Uniformity for High-Field MRI SystemsS. Sohn, L. DelaBarre, J. T. Vaughan, A. Gopinath, University of Minnesota, Minneapolis, United StatesIn the high-fields MRI, the wavelength inside the body is short and smaller than the human anatomy. At these shorter wavelength, interference effects appear; the uniformity of the RF excitation B1+ field over the whole sub-ject becomes inhomogeneous. In this study, double trapezoid-like shape is proposed to obtain gradual impedance variation and flatten B1+ field profile along the coil length using microstrip transmission lines, the TEM coil.

TH1F-5 0920 – 0940Computational and Experimental Studies of Orthopedic Implants Heating under MRI RF CoilsY. Liu1, W. Kainz2, F. Shellock3, J. Chen1, 1University of Houston, Houston, United States, 2FDA, Silver Spring, United States, 3University of Southern California, Los Angeles, United StatesThe heating of orthopedic implants under MRI RF fields were investigated 1.5T and 3T systems. Modeling and experiments were performed on an orthopedic device at different sizes inside an ASTM phantom. It is observed that the induced energy deposition near the device is almost linearly related to the dimension of the orthopedic implants when the device is less than 10 cm in length. Higher temperature rises were found in 1.5T from both computational and experimental studies.

THURSDAY TECHNICAL SESSIONS 0800 – 0940

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Page 78: IMS2012 Program

IMS TH

UR

SDA

Y SESSIO

NS

IMS

› 75

TH1G: Advanced Low Noise CircuitsThursday 21 June 2012

Time: 0800 – 0940 Room: 511BEChair: James Sowers, Space Systems LORAL

Co-Chair: Marian Pospieszalski, NRAO

TH1G-1 0800 – 0820Highly Linear 1-3 GHz GaN HEMT Low Noise Amplifier P. Chehrenegar2, M. Abbasi1, J. Grahn1, K. Andersson1, 1Chalmers University of Technology, Gothenburg, Sweden, 2Ericsson AB, Gothenburg, SwedenA highly linear LNA based on commercial GaN HEMT technology is presented. The amplifier is designed to operate at three frequency bands of 1-3 GHz. The low noise amplifier shows a maximum gain of 31 dB at 1GHz. The OIP3 is measured to be constant for all three frequency bands and equals 41±1 dBm at a power consumption of 1.2W. A minimum NF of 0.5 dB is measured for the same bias point. The presented LNA shows outstanding linearity and low noise performance with reasonably low power consumption.

TH1G-2 0820 – 0840Commercial Wideband MMIC Low Noise Amplifier with 50nm Gate-Length E-Mode InGaAs PHEMTB. Ma, J. Bergman, D. Kim, P. Chen, Z. Griffith, J. Hacker, M. Urteaga, C. Huang, Q. Vo, N. Salam, Teledyne Technolo-gies, Thousand Oaks, United StatesThis paper reports a wideband MMIC low noise amplifier using a 50nm Lg E-mode InGaAs PHEMT technology. It demonstrates simultaneous 22dB S21 gain and 1.0dB noise figure at 24GHz operation. The MMIC was designed for 18-35GHz bandwidth; however, noise figure is low and gain is appreciable from 4-40GHz. The amplifier survived being separately subjected to high-power bursts and elevated temperature burn-in to verify its power handling capability and long-term reliability.

TH1G-3 0840 – 0900Cryogenic 0.5-13 GHz Low Noise Amplifier with 3 K Mid-band noise temperature J. Schleeh, N. Wadefalk, P. Nilsson, P. Starski, G. Alestig, J. Halonen, B. Nilsson, A. Malmros, H. Zirath, J. Grahn, Chalmers University of Technology, Göteborg, SwedenA 0.5-13 GHz cryogenic MMIC low-noise ampli¬fier (LNA) was designed and fabricated using a 130 nm InP HEMT process. A packaged LNA has been measured at both 300 K and 15 K. At 300 K the measured minimum noise tempera¬ture was 48 K at 7 GHz. At 15 K the measured minimum noise temperature was 3 K at 7 GHz and below 7 K within the entire 0.5-13 GHz band. The gain was between 34 dB and 40 dB at 300 K and between 38 dB and 44 dB at 4 K.

TH1G-4 0900 – 09204-12 GHz and 25-34 GHz Cryogenic MHEMT MMIC Low Noise Amplifiers for Radio AstronomyB. Aja1, M. Seelmann-Eggebert2, A. Leuther2, H. Massler2, M. Schlechtweg2, C. Diez3, J. D. Gallego3, I. Lopez-Fernandez3, I. Malo3, E. Artal1, E. Villa1, 1University of Cantabria, Santander, Spain, 2Fraunhofer IAF , Freiburg, Germany, 3Observatorio Astronomico Nacional, Yebes, SpainMMIC Broadband LNA for radio astronomy applications with 100 nm GaAs mHEMT process have been developed. Cryogenic performance of a 4-12 GHz and a 25-34 GHz LNAs is presented. The 4-12 GHz LNA cooled at 15 K exhibits an associated gain of 31.5 dB and average noise temperature of 5.3 K with a low power dissipation of 8 mW. Cooled to 15 K the 25-34 GHz amplifier has demonstrated a flat gain of 24.2 dB with 15.2 K average noise temperature, and a very low power dissipation of 2.8 mW on chip.

TH1G-5 0920 – 0940Microwave Stabilization of HEB Mixer by a Microchip ControllerA. Shurakov1, E. Tong1, R. Blundell1, G. Gol’tsman2, 1Harvard-Smithsonian Center for Astrophysics, Cambridge, United States, 2Moscow State Pedagogical University, Moscow, Russian FederationThe stability of a Hot Electron Bolometer (HEB) mixer can be improved by the use of microwave injection. In this article we report a refinement of this approach. We introduce a microchip controller to facilitate the implementa-tion of the stabilization scheme, and demonstrate that the feedback loop effectively suppresses drifts in the HEB bias current, leading to an improvement in the receiver stability. The measured Allan time of the mixer’s IF output power is increased to 10 s.

TH1H: Tunable Film Bulk Acoustic Wave Resonators (FBARs)Thursday 21 June 2012

Time: 0800 –0940 Room: 511CFChair: Andrei Vorobiev, Chalmers University

Co-Chair: Spartak Gevorgian, Chalmers University

TH1H-1 0800 – 0820Tunable Composite Piezoelectric Resonators: a Possible “Holy Grail” of RF Filters ?A. Reinhardt, E. Defaÿ, F. Perruchot, C. Billard, CEA, Leti, Grenoble Cedex, FranceWe develop the idea of tuning a composite Bulk Acoustic Wave resonator made of two piezoelectric thin films by modifying the external electrical boundary conditions applied to one of them. We show that an AlN/AlN composite is hardly suitable for the synthesis of a tunable channel selection filter while the use of piezoelectric materials with larger piezoelectric properties enable theoretically the synthesis of a front-end filter capable of being tuned over several communication standards.

TH1H-2 0820 – 0840Temperature Dependence of DC Voltage Activated Switchable Ba0.5Sr0.5TiO3 Solidly Mounted Resonator G. N. Saddik, R. A. York, University of California at Santa Barbara, Santa Barbara, United StatesTemperature dependent s-parameter data was collected on a 30x30µm2 voltage activated barium strontium tita-nate solidly mounted resonator. The frequency variation over the temperature range of -40oC to 120oC normalized to room temperature was as low as 0.41% and as high as 0.84%. The resonant frequency measurements also show a step at -10oC which is believed to be a structural phase transition of the ferroelectric material. The data was col-lected at a dc voltage range of 0V to 30V in 5V steps.

TH1H-3 0840 – 0900Intrinsically Switchable Thin Film Ferroelectric ResonatorsS. A. Sis, V. Lee, J. D. Phillips, A. Mortazawi, University of Michigan, Ann Arbor, United StatesThis paper presents DC voltage dependent thin film bulk acoustic wave resonators (FBARs) based on ferroelectric barium strontium titanate (BST). The electrostrictive effect in BST film that enables the resonances to switch on and off with dc bias is discussed. Composite BST FBARs that consist of BST, platinum (Pt), silicon (Si), and oxide (SiO2) layers are discussed by comparing with the conventional FBAR structure.

TH1H-4 0900 – 0920Tunable FBARs: Intrinsic vs. Extrinsic TunabilityS. Gevorgian, A. Vorobiev, Chalmers University of Technology, Gothenburg, SwedenThis paper reviews the methods used to make the Film Bulk Acoustic Resonators (FBAR) tunable. Intrinsically tun-able FBARs make use ferroelectrics in ferroelectric and paraelectric (non-polar) phases have tunabilities up to 5% and more. Extrinsically tunable resonators are based on traditional piezoelectric FBARs and make use of external varactors and inductors. The low tunability ( 2%) and low Q-factor (Q 100 due to tuning components) limits ap-plications of these resonators.

TH1H-5 0920 – 0940Microwave Characterization of Intrinsically Tunable FBARsA. Vorobiev, S. Gevorgian, Chalmers University of Technology, Gothenburg, SwedenUnlike the traditional piezoelectric film (AlN, ZnO etc.) based FBARs, the experimental characterization of the tun-able ferroelectric FBARs typically start with low frequency DC measurements. In this work wide band microwave measurements are used to generate DC dependent analytic approximations for the parameters of the BVD model. Mason’s model and curve fitting procedure is used to extract the DC bias dependent acousto-electric material pa-rameters of the ferroelectric filmsE

THURSDAY TECHNICAL SESSIONS 0800 – 0940

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Page 79: IMS2012 Program

IMS TH

UR

SDA

Y SESSIO

NS

IMS

› 76

TH2A: Nonlinear Measurement TechniquesThursday 21 June 2012

Time: 1010 –1130 Room: 513ABCChair: Nuno Borges Carvalho, IT-Universidade de AveiroCo-Chair: Alfred Riddle, MAcom Technology Solutions

TH2A-1 1010 – 1030Harmonics Induced Uncertainty in Phase Noise MeasurementsD. E. Calbaza, C. Gupta, U. L. Rohde, A. K. Poddar, Synergy Microwave Corp., Paterson, United StatesThis paper focuses on the relationship between the signal’s harmonic content and the precision of the phase noise measurement in test equipment. The phase noise measurement’s accuracy is degraded when the measured signal has high harmonics. We demonstrate a case in which a 3rd harmonic level of -7dBc results in a 12dB variation in the phase noise measurement’s accuracy. Based on our studies, the phase noise test setup should include filters to bring down the harmonic level to -20 dBc max.

TH2A-2 1030 – 1050A New Method to Measure Pulsed RF Time Domain Waveforms with a Sub-Sampling SystemT. Reveyrand1, Z. Popovic2, 1XLIM, Limoges, France, 2 University of Colorado, Boulder, United StatesThis paper describes a new method that enables time domain pulsed RF measurements with a sub-sampling sys-tem. It consists of replacing the FFT with a rectangular windowed short-time Fourier transform. The algorithm automatically extract Fourier coefficients within the pulses and the system does not need any trigger signal or clocking circuit. This minimal software modification enhances the standard Large Signal Network Analyzer, en-abling pulsed measurements without any hardware modifications.

TH2A-3 1050 – 1110New Thermometry and Trap Relaxation Characterization Techniques for AlGaN/GaN HEMTs using Pulsed-RF ExcitationsY. Ko1, P. Roblin1, C. Yang1, H. Jang1, B. Poling2, 1Ohio State University, Columbus, United States, 2AFRL, Dayton, United StatesThis paper presents characterization techniques to estimate the device temperature and extract the trap relax-ation time constants in AlGaN/GaN HEMTs. The temperature under CW/RF operation is obtained using pulsed-IV/RF measurements. The emission and capture times are measured by monitoring the transient bias drain current. Illumination is further verified to accelerate the emission process. It is also verified that high load impedances increase the trapping due to high peak drain voltages.

TH2A-4 1110 – 1130IMD Phase Analysis at mm-wave FrequenciesJ. Martens, K. Noujeim, Anritsu Company, Morgan Hill, United StatesIMD phase measurements have sometimes had accuracy issues at mm-wave frequencies due to limitations in dynamic range, stability, combiner behavior, and other items. A modified structure that relies on broadband VNA-based measurements can get stable phase data and uses a calibration process similar to those of other nonlinear techniques. Residual IMD floors below -120 dBm (for offsets ~ 5 MHz), 3 degree phase stability and 0.2 dB ampli-tude stability were observed at W-band.

TH2B: Novel Transmission-Line and Guided-Wave StructuresThursday 21 June 2012

Time: 1010 –1150 Room: 512ABEFChair: George Eleftheriades, U. Toronto

Co-Chair: Tatsuo Itoh, UCLA

TH2B-1 1010 – 1030On the Symmetry Properties of Coplanar Waveguides Loaded with Symmetric Resonators: Analysis and Potential ApplicationsJ. Naqui, M. Duran-Sindreu, F. Martin, Universitat Autònoma de Barcelona, Bellaterra, SpainThis paper is focused on coplanar waveguides loaded with resonators whose symmetry plane behaves as an elec-tric wall at the first resonance frequency. If the resonators are symmetrically etched in the back substrate side, signal propagation is allowed. If symmetry is truncated, signal propagation is inhibited in the vicinity of the first resonance. That can be of interest for the design of sensors or radiofrequency barcodes. The principle of operation is illustrated and experimentally validated.

TH2B-2 1030 – 1050A Single-Ended All-Pass Generalized Negative-Refractive-Index Transmission Line Using a Bridged-T CircuitC. G. Ryan, G. V. Eleftheriades, University of Toronto, Toronto, CanadaAn all-pass microstrip generalized negative-refractive-index transmission line is presented. A very wide return loss bandwidth (1GHz-8 GHz) is obtained while preserving the quad-band phase characteristics.

TH2B-3 1050 – 1110Dual-Band Phase Offset Line with Required Transmission Phases at two Operational FrequenciesK. Rawat1, M. Rawat1, M. S. Hashmi1, F. Falcone2, F. M. Ghannouchi1, 1University of Calgary, Calgary, Canada, 2Universidad Pública de Navarra, Pamplona, SpainAbstract — The paper presents analytical design methodology for realizing dual-band phase offset line using multi-section coupled lines. The circuit is designed to emulate a transmission line of 50 Ω characteristic impedance with two different arbitrary phases at the two frequencies. A prototype has been design at 1960 /3500 MHz that is used in dual-band Doherty power amplifier operating for CDMA and WiMAX applications.

TH2B-4 1110 – 1120A Liquid-Metal Reconfigurable Double-Stub TunerB. Lei, W. Hu, A. T. Ohta, W. A. Shiroma, University of Hawaii at Manoa, Honolulu, United StatesA liquid-metal reconfigurable double-stub tuner is presented. The double-stub tuner consists of two fluidic chan-nels whose stub lengths L1 and L2 can be tuned with Galinstan liquid metal using pressure-driven flow. The mea-sured input impedance of this tuner shows wide Smith Chart coverage.

TH2B-5 1120 – 1130Simultaneous Electric and Magnetic Two-Dimensional Tuning of Substrate Integrated Waveguide Cavity ResonatorS. Adhikari, A. Ghiotto, K. Wu, École Polytechnique de Montréal, Montreal, CanadaA concept of simultaneous electric and magnetic 2-D tuning of cavity resonator based on SIW technology is pre-sented and demonstrated. Magnetic tuning is achieved by loading a YIG ferrite slab and electric tuning is achieved by placing a varactor diode and capacitors in the cavity. Considering only electric tuning using varactor diodes 1.3% of total tuning range is measured, while for simultaneous electric and magnetic tuning it is 7.9% with unloaded Q-factor better than 130.

TH2B-6 1130 – 1150Low-Loss Millimeter-Wave Propagation of the E11x mode in a Synthesized Insulated Image GuideN. Dolatsha1, J. Hesselbarth2, 1ETH Zürich, Zurich, Switzerland, 2Universität Stuttgart, Stuttgart, GermanyAn insulated image guide operating in E11x mode is investigated experimentally and by simulations in the fre-quency range of 80 GHz to 100 GHz. The proposed waveguide is characterized by a thick low-permittivity gap layer sandwiched between the ground-plane and the main high permittivity dielectric slab. A resonator method is applied for accurate measurements. A waveguide based on alumina ceramic as a dielectric has an attenuation constant of 0.07 dB/mm at 90 GHz. A 60º bend adds 0.33 dB loss.

THURSDAY TECHNICAL SESSIONS 1010 – 1150

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Page 80: IMS2012 Program

IMS TH

UR

SDA

Y SESSIO

NS

IMS

› 77

TH2C: Novel Technologies and ComponentsThursday 21 June 2012

Time: 1010 – 1140 Room: 512CDGHChair: Telesphor Kamgaing, Intel Corporation

Co-Chair: Ramesh Gupta, LightSquared

TH2C-1 1010 – 1030Superlens Image Reconstruction using Deslauriers-Dubuc Interpolation WaveletsR. S. Hegde, E. Li, W. J. Hoefer, A*Star, Singapore , Singapore We demonstrate how the superlens image can be recovered by processing discrete samples taken in a plane other than the focal plane (a plane where perfect image appears). To achieve highly accurate image recovery we process these image field samples using Deslauriers-Dubuc interpolation wavelets as sampling functions. This approach lays the groundwork for the development of a 3D image reconstruction algorithm for sub-wavelength objects having finite depth normal to the superlens.

TH2C-2 1030 – 1050A Millimeter-Wave Elastomeric Microstrip Phase ShifterS. Hage-Ali1, Y. Orlic1, N. Tiercelin1, R. Sauleau2, P. Pernod1, V. Preobrazhensky3, P. Coquet1, 1Université Lille Nord de France, Villeneuve d’Asq, France, 2Université de Rennes 1, Rennes, France, 3Russian Academy of Sciences, Moscow, Russian FederationWe report a low-cost millimeter-wave microstrip phase shifter using the mechanical reconfiguration of a metal-lized polydimethylsiloxane (PDMS) elastomeric ground plane. The phase shifter is studied both numerically and experimentally in the 30-60 GHz band, and its fabrication using flexible electronics micromachining is detailed. The maximum experimental figure-of-merit is 118°/dB at 57.5 GHz and can be further improved.

TH2C-3 1050 – 1110 Directional Cloak Formed by Photonic Crystal WaveguidesN. Yogesh, V. Subramanian, Indian Institute of Technology Madras, Chennai, IndiaA method to cloak larger dimensional scattering objects against normal incident linearly polarized microwave is reported in this work. The cloaking device utilizes the principle of photonic crystal wave guiding mechanisms that mould and steer the wave path smoothly around the scattering objects. The proposed method is scalable at all length-scales from cm to nm band frequencies. This approach may be employed for the development of microwave devices capable of multiple e-m wave operations.

TH2C-4 1110 – 1130Tunable Terahertz Metamaterials based on Metal-Insulator Phase Transition of VO2 LayersA. Crunteanu1, J. Leroy1, G. Humbert1, D. Ferachou1, J. Orlianges2, C. Champeaux2, P. Blondy1, 1XLIM, Limoges, France, 2SPCTS, Limoges, FranceWe designed a tunable metamaterial in the terahertz frequency domain (0.1- 1 THz) based on periodical arrays of metallic resonators on top of vanadium dioxide thin films deposited on a sapphire substrate. We simulate and show experimentally that the frequency response of the fabricated metamaterial is drastically changing as the vanadium dioxide under layer performs a reversible temperature-driven phase transition from an insulating to a metallic state.

TH2C-5 1130 – 1140Paint On Metamaterial: Low Cost Fabrication of Absorbers at X Band FrequencesC. R. Mutzel, S. MacNaughton, S. Sonkusale, Tufts University, Medford, United StatesThis paper presents a new “paint-on” method for low cost metamaterials. Latex paint, silver conducting ink, and copy paper are used to create a perfect absorber. The metamaterial is symmetrical and arranged in a cross-dipole like structure, making it polarization insensitive. Results show absorbency depths of over 90% and near perfect reflection at all other frequencies. This new manufacturing method offers the ability to create low-cost, large-scale high-performance meta-materials.

TH2C-6 1140 – 1150Varactor-Tuned Substrate Integrated Waveguide Phase Shifter and ModulatorY. Ding, K. Wu, École Polytechnique de Montréal, Montreal, CanadaIn this paper, a 360o substrate integrated waveguide phase shifter is reported. With this phase shifter, an inte-grated phase modulator is thus implemented and demonstrated, whose control voltage is adjusted by an external encoder circuit. The performance of the proposed phase modulator is simulated in the framework of ADS package and then correlated to measurements. Simulated and measured results have validated the proposed structure and design techniques.

TH2D: GaN Based Power AmplifiersThursday 21 June 2012

Time: 1010 – 1130 Room: 510BDChair: Ruediger Quay, Fraunhofer IAF

Co-Chair: Wolfgang Heinrich, Ferdinand Braun Institute

TH2D-1 1010 – 1030A 72% PAE, 95-W, Single-Chip GaN FET S-Band Inverse Class-F Power Amplifier with a Harmonic Resonant CircuitK. Motoi, K. Matsunaga, S. Yamanouchi, K. Kunihiro, M. Fukaishi, NEC Corporation, Nakahara-Ku, Kawasaki, JapanThis paper describes a high-efficiency, highoutput- power GaN power amplifier for S-band radar applications. The amplifier uses an inverse class-F configuration for high efficiency. The matching circuit includes a 2nd harmonic resonant circuit to compensate for GaN FET parasitics. The developed GaN single-chip power amplifier delivers output power of 95 W with power added efficiency (PAE) of 72% and high linear gain of 19.8 dB at 2.6 GHz under 100-μs pulsed conditions.

TH2D-2 1030 – 1050Wideband 50W Packaged GaN HEMT With Over 60% PAE Through Internal Harmonic Control in S-BandJ. Chéron1, M. Campovecchio1, D. Barataud1, T. Reveyrand1, M. Stanislawiak2, P. Eudeline2, D. Floriot3, 1XLIM, Limoges, France, 2Thales, Boos, France, 3United Monolithic Semiconductors, Villebon sur Yvette, FranceThis paper presents an internally-matched packaged GaN HEMT for achieving not only high-efficiency and high-power performances but also wide bandwidth and insensitivity to harmonic terminations in S-band. The internal matching circuits of the optimized package enable to reach a wider bandwidth and to confine harmonic imped-ances seen by the GaN powerbar into high-efficiency regions. In a 50Ω environment, the packaged GaN HEMT delivers 45 W output power with more than 55% PAE from 2.9 to 3.7 GHz.

TH2D-3 1050 – 1110Continuous Harmonically Tuned Class-B Power Amplifier : A Closed Form Equation Design ApproachK. Mimis, S. Bensmida, K. A. Morris, J. P. McGeehan, University of Bristol, Bristol, United KingdomA set of closed form equations for the calculation of the impedances for the continuous harmonically tuned (HT) Class-B power amplifier is presented. The resulting impedances were verified in simulations using a large-signal GaN transistor model at three frequencies. Moreover, a PA was built and measured at 2.1GHz, based on a 10W GaN HEMT transistor, achieving a power added efficiency (PAE) of 72.8% at maximum output power confirming the proposed design approach.

TH2D-4 1110 – 1120Investigation of Class-B/J Continuous Modes in Broadband GaN Power AmplifiersS. Preis, D. Gruner, G. Boeck, Technische Universitat Berlin, Berlin, GermanyThe class-B/J mode continuum in PAs defined at the current source plane is discussed considering different parasitic elements. It is shown that the design flexibility predicted by the continuum decreases if the transistor package is taken into account. The investigations lead to the design of a broadband GaN power amplifier. At 0.9-1.8 GHz this PA achieves a saturated output power of higher than 70 W with a drain efficiency of 56-63 %. Up to 2.3 GHz still 60 W with 53 % efficiency are available.

TH2D-5 1120 – 1130Decade Bandwidth High Efficiency GaN HEMT Power Amplifier Designed With Resistive Harmonic LoadingC. M. Andersson1, J. Moon2, C. Fager1, B. Kim2, N. Rorsman1, 1Chalmers University of Technology, Gothenburg, Sweden, 2POSTECH, Pohang, Republic of KoreaThe use of resistive loading at higher harmonics in wideband power amplifier design is proposed. A decade band-width (0.4-4.1 GHz) GaN HEMT power amplifier was thereby designed, delivering more than 40 dBm output power with 10-15 dB gain and 40-62% drain efficiency. Linearized modulated signal amplification was successfully dem-onstrated at multiple frequencies (0.9 to 3.5 GHz), using various downlink signals (LTE, WCDMA, WiMAX).

THURSDAY TECHNICAL SESSIONS 1010 – 1150

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Page 81: IMS2012 Program

IMS TH

UR

SDA

Y SESSIO

NS

IMS

› 78

TH2E: 60 GHz CMOSThursday 21 June 2012

Time: 1010 –1150 Room: 510ACChair: Reynold Kagiwada, Northrop Grumman

Co-Chair: James Buckwalter, UCSD

TH2E-1 1010 – 1030A 44-to-60GHz, 9.7dBm P1dB, 7.1% PAE Power Amplifier with 2D Distributed Power Combining by Metamaterial-based Zero-Phase-Shifter in 65nm CMOSW. Fei1, H. Yu1, K. . Yeo1, X. Liu2, W. Lim1, 1Nanyang Technological University, Singapore, Singapore, 2Marvell, Santa Clara , United StatesWith the use of metamaterial based zero-phase-shifter, a 2D distributed power combining network is developed to provide distributed amplification and power combining simultaneously. Measured results show that the fabricated PA has 8.3dB gain, 7.1% PAE, and 9.7dBm P1dB with 16GHz bandwidth (44 to 60GHz).

TH2E-2 1030 – 1050A 60-GHz Fully Integrated CMOS Sub-Harmonic RF Receiver with MM-Wave On-Chip AMC-Antenna/Balun-Filter and On-Wafer Wireless Transmission TestH. Kuo1, H. Wang1, H. Yue1, Y. Ou2, C. Lin1, H. Chuang1, T. Huang1, 1National Cheng Kung University, Tainan, Taiwan, 2NARL, Hsinchu, TaiwanA first reported 60-GHz fully integrated CMOS RF sub-harmonic receiver with an AMC on-chip antenna and a balun-filter is presented. The AMC structure can reduce the substrate loss and increase the antenna efficiency. The balun-filter integrates the balun and RF BPF. To mitigate the DC offset, the sub-harmonic receiver is used. The on-wafer wireless transmission test (R=1m) is conducted. The measured total gain CGant+Rx of the integrated RF receiver (with the on-chip antenna and filter) are 16 dB.

TH2E-3 1050 – 1110Silicon Interposer with Integrated Antenna Array for Millimeter-Wave Short-Range CommunicationsL. Dussopt1, Y. Lamy1, S. Joblot1, J. Lantéri1, H. Salti1, P. Bar2, H. Sibuet1, B. Reig1, J. Carpentier2, C. Dehos1, P. Vincent1, 1CEA-Leti, Grenoble, France, 2STMicroelectronics, Crolles, FranceA 60 GHz cavity-backed antenna array integrated on high-resistivity silicon is demonstrated, making use of Through-Silicon-Vias (TSV), and silicon micromachining to meet the bandwidth and radiation gain requirements for short-range multi-Gbps communications. Several fixed-beam four-element antenna arrays demonstrate the capabilities for beam-steering across a range up to ±60°.

TH2E-4 1110 – 1130A 60GHz Digitally Controlled 4-bit Phase Shifter with 6-ps Group Delay DeviationY. Chiang1, W. Li1, J. Tsai2, T. Huang1, 1National Taiwan University, Taipei, Taiwan, 2National Taiwan Normal University, Taipei, TaiwanA 57–64 GHz passive 4-bit switch type phase shifter with low group delay deviation and low loss flatness using 90nm CMOS is presented. The proposed switched delay networks are using transmission lines instead of small-size capacitors. It is measured with excellent loss flatness of ±0.8dB for a specific phase shifting state, across 57–64 GHz. For all 16 states, the S21 is -12.5±2dB. The phase shifter has low group-delay deviation of +/-6 ps, which is important for a wideband phased array.

TH2E-5 1130 – 115060 GHz Active Phase Shifter using an Optimized Quadrature All-Pass Network in 45nm CMOSW. Shin, G. M. Rebeiz, University of California at San Diego, La Jolla, United StatesThis paper presents a differential 60 GHz phase shifter based on a vector modulator approach. The inclusion of a series resistor in the all-pass I/Q greatly reduces the effect of the capacitance loading change vs. bias current and results in a wideband phase shifter. The phase shifter achieves a gain of -6+/-2 dB with IP1dB of 0-3dBm at 55GHz, and an rms phase error of 11˚ at 40-70GHz (PDC=23 mW, VDD=1.5V). This is the first demonstration of a wideband 45nm 60 GHz phase shifter.

TH2F: RF-MEMS Capacitive Switches and CircuitsThursday 21 June 2012

Time: 1010 – 1150 Room: 511ADChair: Jeremy Muldavin, MIT Lincoln Lab.

Co-Chair: Ron Polcawich, Army Research Laboratory

TH2F-1 1010 – 1030Advances in RF MEMS Phase Shifters from 15 GHz to 35 GHzB. Pillans1, L. Coryell2, A. Malczewski1, C. Moody1, F. Morris1, A. Brown3, 1Raytheon Systems , Dallas, United States, 2CERDEC, Ft. Monmouth, United States, 3A.Brown Design, Plymouth, United StatesThis work reports progress towards building low-loss phase shifters using RF MEMS switch technology for use in phased array antennas. Four phase shifters centered at 15 GHz, 21 GHz, 30 GHz and 35 GHz were designed, built, packaged and tested. The average packaged insertion losses were -1.7 dB, -1.8 dB, -2.3 dB and -2.7 dB respectively with RMS phase errors less than 7 degrees. An innovative liquid crystal polymer packaging technique was used to package these parts at the wafer level.

TH2F-2 1030 – 1040A Very Low Loss 1.9-2.1 GHz RF-MEMS Phase ShifterC. Cheng, C. Ko, A. Morris, G. M. Rebeiz, University of California at San Diego, La Jolla, United StatesThis paper presents the design, fabrication and test of a very low loss phase shifter built using the wiSpry RF MEMS switched capacitor. The phase shifter covers 360o at 1.9- 2.1 GHz with an insertion loss of 1.1+/-0.6 dB over all phase states. The measured linearity shows an IIP3 46 dBm over all phase states. The phase shifter has a measured power handling of 1 W before any self-actuation occurs. To our knowledge, this is the lowest loss phase shifter at 2.0 GHz using any technology.

TH2F-3 1040 – 1050A Quasi Bistable RF-MEMS Switched CapacitorC. Guines, A. Crunteanu, M. Chatras, A. Pothier, P. Blondy, XLIM, Limoges, FranceThis paper presents a novel concept for the realization of RF-MEMS switched capacitors. The proposed device uses a tilting metal beam combined with a non-linear electrostatic force generated by DC voltage to maintain the device in two stable mechanical positions. Fabricated devices with very simple fabrication process exhibit very good RF performances, with very low loss and a capacitive contrast of 8.5. Actuation requires voltage pulses only, toggling the device from one position to the other.

TH2F-4 1050 – 1110High Power (> 10W) RF-MEMS Switched CapacitorH. Zareie, G. Rebeiz, The University of California San Diego, La Jolla, United StatesThis paper presents the design and characterization of a high power RF MEMS switched capacitor. The switch is based on a 4 micro meter thick metal plate and four symmetrical springs. The design has low sensitivity to residual stress and stress gradients. S-parameter measurements result in Cu=0.08 pF, Cd=0.55 pF (Cr=6.9), power han-dling 10 W, and a switching time of 12-15 micro second. The pull-down and release voltages are stable to +/-3 V over 20-120 C.

TH2F-5 1110 – 1130Intelligent CMOS Control of RF-MEMS Capacitive Switches G. Ding1, W. Wang1, S. Halder1, C. Palego1, D. Molinero1, J. C. Hwang1, C. L. Goldsmith2, 1Lehigh University, Bethle-hem, United States, 2MEMtronics Corporation, Plano, United StatesA CMOS control circuit capable of closed-loop capacitance sensing and control of RF MEMS switches was designed, fabricated, and tested. The control was based on fine-tuning the magnitude of the bias voltage of the switches ac-cording to the difference between sensed and targeted capacitances. The circuit can intelligently compensate C-V drifts caused by dielectric charging, process variation, temperature change, and RF power loading.

TH2F-6 1130 – 1140A Fast High-Q X-band RF-MEMS Reconfigurable Evanescent-Mode Cavity ResonatorJ. Small, W. Irshad, D. Peroulis, Purdue University, West Lafayette, United StatesThis paper presents the design, fabrication, and measurement of an X-band evanescent-mode tunable RF-MEMS cavity resonator with a fast response (84-112 us) and a high quality factor of 593-1,077 between 10.7-13 GHz. An array of MEMS fixed-fixed beams biased against their own substrate are used as the tuning mechanism. To the best of our knowledge, this is the fastest response for a tunable X-band cavity-resonator with this quality factor.

TH2F-7 1140 – 1150Nonlinear Effects in MEMS Tunable Bandstop FiltersX. Liu1, E. Naglich2, D. Peroulis2, 1University of California at Davis, Davis, United States, 2Purdue University , West Lafayette, United StatesThis paper provides the first study of electromechanical non-linearities of MEMS tunable bandstop filters. The studied non-linearity sets a limit on the power handling capability of such filters. Good agreement is observed be-tween measurement and modeling. The fabricated tunable bandstop filter exhibits more than 2 W power handling capability around 2 GHz. To the authors’ best knowledge this is the highest power handling reported for an L-band bandstop filter based on evanescent-mode resonators.

THURSDAY TECHNICAL SESSIONS 1010 – 1150

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Page 82: IMS2012 Program

IMS TH

UR

SDA

Y SESSIO

NS

IMS

› 79

TH2G: Advances in Sensors and Sensor Systems Thursday 21 June 2012

Time: 1010 – 1140 Room: 511BEChair: Ian Gresham, NXP Semiconductors

Co-Chair: Kiki Ikossi, DTRA

TH2G-1 1010 – 1030Microwave Chemical Sensing Using a 3-18 GHz Wideband Overmoded Coaxial CableY. Huang, K. M. Hotopp, B. C. Dian, W. J. Chappell, Purdue University, West Lafayette, United StatesA waveguide based room-temperature chirped pulse Fourier transform microwave spectrometer was recently demonstrated. The operation frequency range is limited by the waveguide, and the effective sensing area is small. This paper shows a new overmoded coaxial cable spectrometer design utilizing a Hamming function tapered trans-mission line method to increase the operation bandwidth. The successful chemical detection proves the application of using the overmoded coaxial cable as a chemical sensor.

TH2G-2 1030 – 1050On-chip Sensing and Actuation Methods for Integrated Self-Healing mm-Wave CMOS Power Ampli-fierK. Sengupta, K. Dasgupta, S. Bowers, A. Hajimiri, California Institute of Technology, Pasadena, United StatesThis paper presents various low power, compact, low insertion loss sensors with digitized ADC output and digitally controlled actuation methods for on-chip characterization and healing of a mm-Wave power amplifier. We demon-strate low insertion loss (0.4dB) RF sensors, very low-headroom (10-30mV) DC sensors with built-in regulators and thermal sensors, as ways of measuring PA efficiency. The paper also presents digitally controlled matching network tuning and PA bias actuation in 45 nm SOI CMOS.

TH2G-3 1050 – 1110Interferometric Radar Sensor with Active Transponders for Signal Boosting and Clutter Rejection in Structural Health MonitoringC. Gu1, G. Wang1, J. Rice2, C. Li1, 1Texas Tech University, Lubbock, United States, 2University of Florida, Gainesville, United StatesA CW radar sensor system with active transponders is designed for Structural Health Monitoring to accurately mea-sure the structures’ vibration while rejecting clutters reflected from the environment. The proposed radar system was tested in lab environment to successfully capture vibration movements from 4.1 m with SNR over 35 dB. Ex-periments also showed that the radar sensor can isolate the target motion from the interferences from surrounding shaking bodies and sinusoidal phantom motions.

TH2G-4 1110 – 1130Direction of Arrival Estimation Utilizing Incident Angle Dependent SpectraX. Yu, H. Xin, University of Arizona, Tucson, United StatesInspired by monaural (one ear) localization ability of human auditory system, a novel direction of arrival (DoA) technique for broadband microwave signals is proposed. A microstrip leaky wave antenna (LWA) as a receiving component is designed, fabricated and tested. By exploiting the incident angle dependent frequency response of the LWA, the DoA of a broadband signal can be estimated with high accuracy. Good DoA performance of this technique is demonstrated in both simulation and experiment.

TH2G-5 1130 – 1140Passive Wireless Permittivity Sensor Based on Frequency-Coded Chipless RFID TagsD. Girbau, A. Lázaro, R. Villarino, Universitat Rovira i Virgili, Tarragona, SpainThis paper proposes to integrate the functions of identification and sensing into a passive chipless RFID tag. A frequency-coded tag which contains a dual-band capacitive-loaded resonator is proposed. The first resonance is used for identification and the second one is used for sensing the permittivity of the material attached to the tag. A theoretical model is proposed and simulated and measured results are presented.

TH2H: The Evolution of Some Key Active and Passive Microwave Components

Thursday 21 June 2012Time: 1010 –1150 Room: 511CF

Chair: Lawrence R. Whicker, LRW Associates

TH2H-1 1010 – 1020Evolution of Passive and Active Microwave FiltersR. V. Snyder, RS Microwave, Butler, United StatesThe study of passive networks stemmed from the need to understand the performance issues associated with the early power grid at the beginning of the 20th century. The evolution of distributed elements as extensions of lumped descriptions led to significant developments in the area of synthesis.This paper will explore history, discuss the present, and try to look into the crystal ball to see what might be just around the corner.

TH2H-2 1020 – 1040The Evolution of Ferrite and Other Passive Control ComponentsL. R. Whicker, LRW Associates, Waxhaw, United StatesThe evolution of microwave Control Components from 1960 to the present is described. Early work on ferrite isola-tors and phase shifter are reviewed. In the mid 1960s work on toroidal non-reciprocal phase shifters are described. These phase shifters are optimized for use in microwave passive arrays. Later efforts on reciprocal dual mode phase shifters are described. The wide application in millitary systems of both types of phase shifters are described.

TH2H-3 1040 – 1100The Evolution of Microwave and Millimeter-Wave TubesR. B. True, L-3 Communications, San Carlos, United StatesThe evolution and importance of vacuum electron devices for applications that require high levels of microwave or millimeter wave power is the topic of this presentation. Klystrons, magnetrons, travelling wave tubes, fast-wave and other devices will be discussed as well as microwave and millimeter wave power modules that are vital build-ing blocks in many modern systems.

TH2H-4 1100 – 1110The Evolution of Low Noise Devices and AmplifiersE. C. Niehenke, Niehenke Consulting, Elkridge, United StatesThis paper traces the development of low noise devices and amplifiers. The device technology changed signifi-cantly over time starting with the vacuum tube, then varactor diode parametric amplifiers, and evolving to the three terminal solid state transistor. Technological transistor innovations will be presented that have lowered the low noise amplifier (LNA) noise figure and raised the frequency of operation.

TH2H-5 1110 – 1130The Evolution of Solid-State Power Devices and Power AmplifiersK. R. Varian1, J. J. Komiak2, J. Horton3, 1Raytheon Company, Dallas, United States, 2BAE Systems, Nashua, United States, 3Rancho Palos Verdes, United StatesThe paper will review the development of solid state power amplifiers that are used in active phase arrays. The paper covers from initial solid state arrays to the present with an emphasis on tools, techniques, and process that led to power amplifiers.

TH2H-6 1130 – 1150The Development of T/R Modules for Radar ApplicationsN. J. Kolias, M. T. Borkowski, Raytheon Company, Andover, United StatesThe last 40 years has seen the migration from mechanically steered radars to the AESAs of today. The key enabler for AESAs has been the development and improvement of the T/R modules that sit behind each radiating element of the array. This paper traces the evolution from the first hybrid silicon T/R modules through the development of the GaAs MMIC based modules that power today’s systems to the emerging GaN and silicon based modules of future active phased array radars.

THURSDAY TECHNICAL SESSIONS 1010 – 1150

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Page 83: IMS2012 Program

IMS TH

UR

SDA

Y SESSIO

NS

IMS

› 80

THP Thursday 21 June 2012 Room: 517CD

Chair: Mohamed Bakr, McMaster University Co-Chair: Daniel Gratton, Canadian Space Agency

THPATHPA-1: A New Vertical Transition for FR-4 Based Millimeter-Wave MCMsJ. Purden, D. Zimmerman, M. E. Miller, Delphi, Kokomo, United StatesA new vertical transition is presented that enables the use of epoxy-resin (FR-4) substrates for multi-chip modules (MCM) at millimeter-wave frequencies. A short microstrip trace on an FR-4 motherboard is connected to standard WR-10 waveguide for integration with other system components (e.g. antennas). The microwave transition is accomplished within a small (3 mm by 4 mm) three metal layer miniature PCB that is placed on the FR-4 moth-erboard.

THPC-4: Π(Pi)-maching Technique for RF Coil of MRI SystemsS. Sohn, L. DelaBarre, J. T. Vaughan, A. Gopinath, University of Minnesota, Minneapolis, United StatesRF coils are an essential parts in MRI systems. These RF coils based on microstrip transmission line has been widely used and most coils use the L-matching network consisting of two capacitors, in series and in parallel and the impedances matched 50 ohm under the various loading conditions. A series connection on the signal line, however, causes problems. In this study, Π-matching is proposed to overcome the loss and coupling issues for the implemen-tation of the auto-tuning unit.

THPA-2: Thermal Pyrolytic Graphite Composite with Coefficient of Thermal Expansion Matching for Advanced Thermal ManagementW. Fan, X. Liu, J. Mariner, Momentive Performance Materials Inc., Strongsville, United StatesAs demonstrated in this study, bonding TPG with CTE-matched alloys, such as MoCu, simultaneously achieves high TC ( 900 W/m-K) and low CTE ( 9x10^-6/K). The TC and CTE measurements as a function of TPG loadings on the TPG-MoCu composites match the theoretical calculation. Compared with the traditional 2-component architecture, heat spreaders made of this TPG composite not only increase the efficiency of thermal spreading, but also eliminate one thermal interface and reduce the integration cost.

THPDTHPD-1: Mixed Simulation Approach for Direct Connection between Power Amplifiers and Antenna Arrays without the Use of IsolatorsG. Zakka El Nashef, F. Torres, S. Mons, T. Reveyrand, E. Ngoya, T. Monédière, R. Quéré, M. Thevenot, XLIM, Limoges, FranceA mixed simulation approach of electromagnetic macromodel and nonlinear circuit bilateral model was developed to correct the output signal for a power amplifier and obtain an optimal radiation pattern for an active antenna array transmit chain. Various experimental results show the accuracy of EM, nonlinear PA models and mixed simu-lation approach as well. The originality of this work is the elimination of isolators between PAs and antennas.

THPA-3: A GPS/BT/WiFi Triple-Mode RF FEM Using Si- and LTCC-based Embedded TechnologiesB. C. Ham1, D. H. Kim1, J. M. Yook1, J. I. Ryu1, J. C. Kim1, J. C. Park1, Y. C. Park2, D. Kim1, 1Korea Electronics Technology Institute, Seongnam-si, Republic of Korea, 2Hankuk University of Foreign Studies, Yongin-si, Republic of KoreaThis paper presents a compact GPS/BT/WiFi triple-mode RF front-end module (FEM) with Si-based embedded ac-tives and LTCC-based embedded passives. The proposed RF FEM consists of a triplexer, baluns, matching circuits in the LTCC substrate, and a PA, an LNA, a SAW filter on the LTCC substrate. Furthermore, the proposed FEM has a silicon module on top of the LTCC substrate in which three active devices and several DC blocking capacitors are embedded using cavity structure and IPD process.

THPD-2: On the Feasibility of an Antenna in Package With Stacked DirectorsA. Hamidipour1, A. Fischer1, L. Maurer2, A. Stelzer1, 1University of Linz, Linz, Austria, 2DICE, Linz, AustriaThis paper investigates the feasibility of an antenna in package with stacked directors. A 77GHz antenna designed for automotive radar applications and a frequency multiplier were integrated in a 6x6mm2 embedded wafer level ball grid array package. Parasitic directors were etched on a low-loss 2.54mm thick RT duroid 5880LZ laminate by means of photolithography and were mounted on the eWLB package. Theoretical analysis and full electromagnetic simulations were verified against the experiments.

THPBTHPB-1: Complex Permittivity Multi-Frequency Measurements for Dielectric Sheets Using a Circular Disk ResonatorH. Suzuki, M. Inoue, Keycom, Tokyo, JapanAn improved complex permittivity measurement method from 5GHz to 30GHz is shown that uses a resonator com-posed of two circular disks of different radii, where (1) the effective radius of each disk due to the fringing field is estimated by comparing two resonance frequencies and (2) the disks of different radii are used to cancel out con-ductor loss. The method utilizes a balanced-type circular disk resonator excited at its center through coaxial cables.

THPD-3: Design and Performance of Log-Periodic Substrate Integrated Waveguide Slot AntennasL. Jin, R. M. Lee, I. D. Robertson, University of Leeds, Leeds, United KingdomSubstrate integrated waveguide (SIW) technology is combined with the classical theory of log-periodic antennas to realize SIW slot antennas with broadband performance. Based on the orientation of the slots, two variations are compared - the transverse and longitudinal slot antenna. Both techniques achieve much wider impedance bandwidth than a uniform slotted waveguide antenna and a useful radiation pattern is maintained over a 4 GHz bandwidth. Two prototypes have been fabricated and measured.

THPCTHPC-1: Biological Cells Proliferation in Microwave MicrosystemsF. Artis, D. Dubuc, M. Blatche, K. Grenier, LAAS, Toulouse Cedex 4, FranceThis paper presents the biological compatibility of microwave analyzing microsystems of living cells through the indicator of cells proliferation. The cells are Normal Rat Kidney (NRK). First, both their adhesion and proliferation into the high-frequency-based micro-device have been successfully obtained. Then, microwave signal have been applied at different power levels. Experimental studies demonstrate that cells proliferation is not impacted for microwave power levels up to +8,6 dBm.

THPD-4: Single-Layer Design of Microstrip Patch Electrically-Steerable Parasitic Array Radiator (ESPAR) with Integrated DC IsolationJ. J. Luther, S. Ebadi, X. Gong, University of Central Florida, Orlando, United StatesA single layer ESPAR antenna with reactive mutual coupling control is presented for the first time. A 3-element 1-GHz array without phase shifters and employing tunable reactive loading is fabricated and measured. The proto-type greatly simplifies the ESPAR design while with incorporating innovative DC biasing solutions while simultane-ously reducing losses. This allows extension of the microstrip ESPAR to conformal, high frequency designs while mitigating fabrication risks.

THPC-2: FDTD-based Microdosimetry for High-Intensity Nanosecond Pulsed Electric Fields (nsPEFs) ApplicationT. Vu1, S. Kohler1, C. Merla2, D. Arnaud-Cormos1, P. Leveque1, 1XLIM, Limoges, France, 2ENEA, Rome , ItalyIn this paper, we propose and characterize an FDTD-based method to numerically model biological cell structures. A particular focus is given to the cell membrane modeling which allows accounting, as realistically possible, the cell response under high-intensity nanosecond Pulsed Electric Fields (nsPEFs) application.

THPETHPE-1: Low Cost and Miniaturized Helicopter Near Field Obstacle Warning RadarV. Ziegler1, F. Schubert1, B. Schulte2, A. Giere2, R. Koerber2, T. Waanders3, 1EADS, Ottobrunn, Germany, 2Astyx GmbH, Ottobrunn, Germany, 3Eurocopter GmbH, Ottobrunn, GermanyThis paper reports for the first time on a novel development for a miniaturized and low cost near field obstacle warning sensor for helicopters based on an adapted automotive radar. All system components, from the RF-mod-ules, the baseband, signal processing algorithms and visualization were developed and a complete radar prototype was built. Ground-based system field trials were successfully performed during which typical obstacles like over-head power lines were detected and visualized.

THPC-3: Hyperthermia of Large Superficial Tumor with a Flat LHM LensY. H. Tao, G. Wang, University of Science and Technology of China, Hefei, ChinaFlat left-handed metamaterial (LHM) lens shows great potentials for superficial tumor hyperthermia. In clinic, superficial tumor may occur in a considerably large area, and take different shapes. For such superficial tumors, joint heating by using multiple sources are desired for effective hyperthermia. In this paper, it is demonstrated that by properly arranging the positions of the sources, the heat region in tissue characterized by heating temperature above 42°C can be enlarged properly.

THPE-2: A 79GHz UWB Pulse-Compression Vehicular Radar in 90nm CMOSK. Tan1, C. Lai1, P. Lu1, C. Tu1, G. Huang2, J. Wu1, S. S. Hsu1, T. Chu1, 1National Tsing Hua University, Hsinchu, Taiwan, 2National Nano Device Laboratories, Hsinchu, TaiwanA 79 GHz UWB pulse-compression (PC) vehicular radar system is presented. In this work, the internal modulation of the PC waveform is binary phase shift keying (BPSK) with a 31-bit length pseudo noise (PN) sequence. The modula-tion rate is 1 Gb/s and the maximum pulse width is 31 nsec. The CMOS chip presents a self-contained radar system with the transmitter, receiver, frequency synthesizer, and complete timing circuit fully integrated in a standard 90nm CMOS technology.

THURSDAY INTERACTIVE FORUM 1330 – 1550

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Page 84: IMS2012 Program

IMS TH

UR

SDA

Y SESSIO

NS

IMS

› 81

THURSDAY INTERACTIVE FORUM 1330 – 1550THP Thursday 21 June 2012

Room: 517CDChair: Mohamed Bakr, McMaster University

Co-Chair: Daniel Gratton, Canadian Space Agency

THPE-3: Thinned MIMO Frame-Arrays for Radar ImagingK. Rezer, C. Klickow, A. F. Jacob, Technische Universitat Hamburg-Harburg, Hamburg, GermanyThinned multiple-input multiple-output (MIMO) frame-arrays are proposed for 3-dimensional imaging radars. Their elements are placed on the perimeter of a square, thus allowing for simplified front-end architectures. A synthesis method for large arrays is described. A deconvolution algorithm is implemented for image enhancement. The principle is verified with a 12-transceiver array in a Ka-band radar.

THPG-4: Virtual Receiving Array Method for Direction of Arrival Estimation on Unmanned Aerial VehiclesE. Poliakov1, C. Wu2, Y. Antar1, 1Royal Military College of Canada, Kingston, Canada, 2Defence Research and Develop-ment Canada, Ottawa, CanadaUAV emitter geolocation systems force the development of small RF receivers with real-time direction of arrival (DOA) estimation capabilities. This paper introduces the Virtual Receiving Array method. A single element receiver samples an RF signal in time, while the UAV flies with a constant velocity. The data is manipulated and a Direct Data Domain algorithm is used to generate good quality DOA estimates at low SNR, making it ideal for dynamic environments.

THPE-4: Seeing Through Walls with a Self-Injection-Locked Radar to Detect Hidden PeopleF. Wang1, T. Horng1, K. Peng2, J. Jau3, J. Li3, C. Chen3, 1National Sun Yat-Sen University, Kaohsiung, Taiwan, 2National Kaohsiung First University of Science and Technology, Kaohsiung, Taiwan, 3ITRI, Hsinchu, TaiwanThis paper presents the use of a self-injection-locked (SIL) radar for seeing through walls to detect hidden people. This goal is achieved for a subject standing still, breathing normally, and located between a wood board and wood wall . In the experiment, the radar scans its carrier frequency to obtain position information about various objects based on the echo signals. As a result, the standing subject was identified owing to the respiration signal fast extracted from subtracted spectra.

THPHTHPH-1: Accurate Modeling of Microwave Structures Using Generalized Shape-Preserving Response PredictionS. Koziel, Reykjavik University, Reykjavik, IcelandA generalized shape-preserving response prediction (GSPRP) method for low-cost and accurate modeling of micro-wave structures is presented. Our approach exploits kriging interpolation to model a set of characteristic point of the response of interest, subsequently used to restore the entire response. As the locations of characteristic points are easy to model, GSPRP ensures good accuracy without using large amounts of training data, which is in contrast to conventional approximation techniques.

THPE-5: Adaptive Noise Cancellation for Two Frequency Radars Using Frequency Doubling Passive RF TagsA. Singh, V. M. Lubecke, University of Hawaii at Manoa, Honolulu, United StatesThe use of an adaptive noise cancellation technique is explored for Doppler radar measurements of one source of motion, in the presence of a tagged interfering source. A two frequency Doppler radar system (fundamental and harmonic) is used to measure motion for an untagged mechanical source, with a passive harmonic radar tag attached to a second, interfering source. Simulation and experiments are compared for a system with a 2.45 GHz fundamental frequency.

THPH-2: Reliable Low-Cost Co-Kriging Modeling of Microwave DevicesS. Koziel1, I. Couckuyt2, T. Dhaene2, 1Reykavik University, Reykjavik, Iceland, 2Ghent University - IBBT, Gent, BelgiumA reliable methodology for accurate modeling of microwave devices is presented. Our approach exploits co-kriging which utilizes low- and high-fidelity EM simulation data and combines them into a single surrogate model. Densely sampled low-fidelity data determines a trend function which is further corrected by sparsely sampled high-fidelity simulations. With our method, accurate models can be obtained at a fraction of cost required by conventional ap-proximation models.

THPFTHPF-1: A Smart Jamming System for UMTS/WCDMA Cellular Phone Networks for Search and Rescue ApplicationsS. Zorn, M. Gardill, R. Rose, A. Goetz, R. Weigel, A. Koelpin, Universität Erlangen-Nürnberg, Erlangen, GermanyThis paper introduces one part of the I-LOV project. This paper will introduce a new Field Programmable Gate Array (FPGA) based jamming system which disturbs only the necessary parts of the WCDMA spectrum but reliably cuts all connections between mobile stations (MS) and existing BTS. The whole system including the signal generator and the front end will be discussed. Also measurement results will be shown.

THPH-3: CAD Models of Losses for Elliptical and Circular Cylindrical CPW on Multilayered Dielectric SubstratesP. Majumdar, A. K. Verma, University of Delhi, South Campus, New Delhi, IndiaThe paper addresses a CAD oriented closed-form expressions for the losses based on stopping distance for different configurations of elliptical CPW (ECPW) and cylindrical CPW (CCPW) on a finite-thickness multilayered dielectric substrates. The analysis of the structures has been done using conformal mapping and SLR technique. Comparisons with 3D-EM simulators are presented to validate the expressions between 1 GHz – 60 GHz. The average deviation of the model against the comparison is 2.78%.

THPGTHPG-1: UWB Time Domain Transmission Sensor for Free-Space Moisture MeasurementsH. Mextorf, F. Daschner, M. Kent, R. Knöchel, Universität Kiel, Kiel, GermanyAn UWB time domain transmission system for free-space moisture measurements is presented. The system is ca-pable of transmitting UWB signals having a bandwidth of several gigahertz which illuminate the samples. The received signals can be sampled instantaneously with just one receiving channel and a special combiner. Multivari-ate calibration is applied and an accuracy for the determination of the moisture content of 0.61% is achieved while the range of moisture is varied from 1.7% to 20%.

THPJTHPJ-1: Methanol Decomposition Reaction using Pd/C as Solid Catalyst under Highly Precise Micro-wave IrradiationS. Fujii1, H. Kujirai2, D. Mochizuki2, M. Maitani2, E. Suzuki2, Y. Wada2, N. Mayama3, 1Chiba University, Chiba, Japan, 2Tokyo Institute of Technology, Tokyo, Japan, 3M2 Design, Takasaki, JapanIn order to obtain highly reproducibility of chemical reactions, a microwave high power amplifier module with an ultra precise oscillator and the ellipsoid shaped applicator have been successfully developed. We also demon-strated a methanol decomposition reaction as a model solid-gas reaction on Pd/C under microwave irradiation. The reaction rate under microwave irradiation was enhanced more than three-fold compared with that under electric furnace heating.

THPG-2: Correction of Frequency Uncertainty in Wide Field of View Interferometric Angular Velocity MeasurementsJ. A. Nanzer1, A. H. Zai2, 1Johns Hopkins University, Laurel, United States, 2University of Colorado at Boulder, Boulder, United StatesA method for correcting the frequency uncertainty in wide field of view interferometric angular velocity measure-ments is presented. At wide angles the frequency shift scales as the cosine of the angle resulting in measurement uncertainty. The correction method consists of estimating the angle using an interferometer with three frequencies spaced over a fractional bandwidth of 3.5%. The uncertainty of the measured frequency shift is shown to be less than 0.1 Hz over a ±72 degree field of view.

THPJ-2: Simulation for Microwave Heating of Catalysts for CO2 Reduction to COT. Kayser, A. Melcher, G. Link, J. Jelonnek, Karlsruhe Institute of Technology (KIT), Eggenstein-Leopoldshafen, GermanyUsing the reverse water gas shift reaction (RWGS) one can produce carbon monoxide from carbon dioxide with the help of a catalyst. As the reaction is endothermic external energy in form of heat has to be supplied. This paper describes an applicator in which the heating is done by microwaves at 2.45 GHz and shows the electromagnetic and thermal simulation of this applicator.

THPG-3: 77 GHz Lens-Based Multistatic MIMO Radar with Colocated Antennas for Automotive Ap-plicationsS. Lutz, K. Baur, T. Walter, Hochshule Ulm, Ulm, GermanyWith the fast development of highly integrated 77 GHz SiGe-MMICs, cost effective and reliable radar systems are feasible to significantly increase driving safety in all vehicle classes. In this paper we present a new lens based 77 GHz MIMO radar system with Sige MMICs. The center of attention in our investigations is the comparison between conventional lens based radar configurations and lens based MIMO radar configurations.

THPKTHPK-1: Low-Power 20-Gb/s SiGe BiCMOS Driver with 2.5 V Output SwingB. Sedighi1, P. Ostrovskyy2, J. C. Scheytt2, 1The University of Melbourne, Parkville, Australia, 2IHP, Frankfurt(Oder), GermanyThis paper investigates low-power design of high-speed and high-swing electronic driver circuits. A method to estimate and optimize the power consumption of such driver ICs is presented. A 20-Gb/s driver circuit is fabricated in 0.25 μm SiGe BiCMOS process and an output swing of 2.5 Vpp is measured. The driver consumes 0.75 W from 5 V supply.

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Page 85: IMS2012 Program

IMS TH

UR

SDA

Y SESSIO

NS

IMS

› 82

THP Thursday 21 June 2012 Room: 517CD

Chair: Mohamed Bakr, McMaster University Co-Chair: Daniel Gratton, Canadian Space Agency

THPLTHPL-1: Wideband 60 GHz Bandpass Filter Based on Flexible PerMX Polymer SubstrateS. Seok, J. Kim, N. Rolland, IEMN, Villeneuve d’Ascq, Francethis paper presents a wideband 60 GHz bandpass filter fabricated on flexible 50 µm-thick PerMX polymer substrate. A wideband filter has been achieved through optimization of the narrow gaps between the adjacent resonators. The implemented filter shows insertion loss of 4.2 dB at the center frequency of 63.5 GHz while its return loss is better than 14 dB including two microstrip to CPW transitions. In addition, 3 dB bandwidth of 19 % at the center frequency of 63.5 GHz is demonstrated.

THPL-8: Compact Wide-Passband and Wide-Stopband Microstrip Bandpass Filter Using Multi-Stub ResonatorM. Tsou, S. Chen, W. Tu, National Central University, Taoyuan, TaiwanThis paper presents a wideband microstrip bandpass filter with wide stopband. To achieve the wide stopband, a multi-stub resonator is introduced. The proposed resonator features high design freedom and can provide multiple transmission zeros for good near-passband selectivity and deep stopband rejection. The resonator is investigated via transmission-line model and a bandpass filter is fabricated to validate the design concept, which shows an improved performance in comparison to previous works.

THPL-2: Notch-band UWB Bandpass Filter Using Slot-Embedded Short-Circuited StubS. Wong, X. Wu, Q. Chu, South China University of Technology, GuangZhou, ChinaA notch band ultra-wideband bandpass filter with good out-of-band rejection, controllable and multiple transmis-sion zeros in notch band and good inband performance is presented in this paper. The 1st-order, 3rd-order and 5th-order bandpass filter is discussed to study the improvement of the selectivity and harmonic suppression. Finally, a notch-band filter prototype is fabricated to experimentally verify the predicted results.

THPL-9: A Compact Filtering Rat-Race Coupler Using Dual-Mode Stub-Loaded ResonatorsC. Chen2, T. Huang1, C. Chen1, W. Liu1, T. Shen1, R. Wu1, 1National Taiwan University, Taipei, Taiwan, 2Tunghai University, Taichung, TaiwanA compact rat-race coupler with fourth-order bandpass response has been proposed. In this study, the dual-mode stub-loaded resonators are used as the building blocks of the proposed structure. Thus, the proposed rat-race cou-pler with fourth-order bandpass response can be implemented with only four resonators, resulting in a small circuit size. As a consequence, the proposed filtering rat-race coupler not only has a small size, but also has high selectivity and good out-of-band response.

THPL-3: Miniaturized Dual-Band Microstrip Bandpass Filter with Wide StopbandS. Wu, Y. Tseng, W. Tu, National Central University, Taoyuan, TaiwanThis paper presents a compact, wide-stopband, and dual-band microstrip bandpass filter using stub-loaded stepped-impedance resonators. By adjusting the parameters of the resonator, one can control the frequencies of the resonant modes and the transmission zeros. When the transmission zero frequency is close to the spurious resonant mode frequency, one can have a wide-stopband bandpass filter without any external circuits or increas-ing the resonator to suppress the spurious passbands.

THPL-10: Miniaturized Dual-Band Bandstop Filter Using Defected Microstrip Structure and Defected Ground StructureJ. Wang1, H. Ning1, L. Mao2, M. Li3, 1Beihang University, Beijing, China, 2Soochow University, Suzhou, China, 3Anhui University, Hefei, ChinaA miniaturized dual-band bandstop filter (DBBSF) is proposed by using T-shaped defected microstrip structure (DMS) and U-shaped defected ground structure (DGS). Compared with other published filters, the proposed DBBSF occupies the minimal normalized area. Moreover, the center frequency of the first stopband and the second stop-band can be controlled separately due to the negligible mutual coupling between the T-shaped DMS and U-shaped DGS.

THPL-4: Miniaturized RF/Microwave Filters using FractalsJ. Beneat1, P. Jarry2, 1Norwich University, Northfield, United States, 2Université of Bordeaux, Bordeaux, FranceThe analysis and design of miniaturized fractal filters is presented in this paper. It is shown that fractal resonators can be significantly smaller than conventional planar resonators for the same resonant frequency requirements. It is seen that when increasing the number of fractal iterations, the size of the resonators decreases and the quality factor increases.

THPL-11: A Novel Quad-Band Filter using Centrally Shorted-Stub Loaded Resonator and Stepped Impedance Resonator S. Sun, B. Wu, S. Yang, K. Deng, C. Linag, Xidian University, Xian, ChinaThis paper presents a quad-band filter using centrally shorted-stub loaded resonators operated at the first and third passbands and stepped impedance resonators fixed at the second and fourth passbands. A special feed structure consisting of two stepped impedance lines is proposed, and a pair of parallel coupling lines are loaded at the center of the shorted-stub loaded resonators to enhance the couple of the first passband without affecting the third one.

THPL-5: Miniaturized High-Order UWB Bandpass Filter Using Third-Order E-Shape Microstrip StructureR. T. Hammed, D. Mirshekar-Syahkal, University of Essex, Colchester, United KingdomRecently a new systematic design rule was proposed to realize high-order UWB bandpass filters using cascaded E-shape microstrip structures. The responses of these miniaturized filters show one transmission zero at the upper stop-band. In order to improve the selectivity at the lower stop-band, this paper proposes a new generation of miniaturized high-order UWB bandpass filters having an attenuation pole in the lower stopband.

THPL-12: A Novel Wideband Stepped-Impedance Rectangular-Ring Resonator Bandpass Filter with Two Notched BandsA. Nakhlestani, M. Movahhedi, A. Hakimi, Shahid Bahonar University of Kerman, Kerman, IranA new configuration of wideband bandpass filter (BPF) with notched bands is presented. Proposed BPF is based on stepped-impedance resonator. By utilizing dual stepped-impedance resonators in folded topology a rectangular-ring resonator is formed. Two notched bands in the passband are achieved without utilizing asymmetrical coupled lines. In other words, the filter configuration is capable of producing notched bands.

THPL-6: Compact Wide-Stopband Quad-Band Bandpass Filter with Tunable Transmission ZerosK. Hsu, W. Tu, National Central University, Taoyuan, TaiwanA quad-band bandpass filter using double-layered structure is presented. The proposed filter is composed of four pairs of stepped impedance resonators on the top metal layer and middle metal layer. In comparison to the con-ventional quad-band bandpass filters, the proposed filter has the advantages of compact size and wide-stopband response. Besides, due to multi-path effect, transmission zeros beside the passbands are created to achieve sharp rejection.

THPMTHPM-1: A Novel Ka Band Sliding IF Transmitter for Satellite CommunicationK. Ma1, K. Yeo1, S. Mou1, C. Liang2, F. Chen2, R. Jayasuriya2, 1Nanyang Technological University, Singapore, Singapore, 2ST Electronics Pte. Ltd., Singapore, SingaporeA novel sliding IF transmitter scheme is proposed for Ka satellite transmitter. The proposed scheme requires a low LO synthesizer frequency of 11.22GHz, which make LO easily be implemented on board level and also provide the advantages on the spurious suppression by reduce the requirements on the RF filters. The system level design and simulation is carried to tradeoff among the power, noise, spectrum purity and linearity. A 2W transmitter is de-veloped.

THPL-7: Average Power Handling Capability of Quarter-Wavelength Microstrip Stepped-Impedance Resonator Bandpass FilterL. Wu, J. Mao, W. Yin, M. Tang, Shanghai Jiao Tong University, Shanghai, ChinaThe average power handling capability (APHC) of quarter-wavelength microstrip stepped-impedance resonator (SIR) bandpass filter (BPF) is fast predicted, according to the transmission line theory for heat conduction and the coupled resonator circuit model. The proposed procedure for determining APHC is validated with good agreement achieved between the temperature rise of an interdigital SIR BPF captured by our method and obtained from the 3D-FEM simulation.

THPM-2: Various Applications and Background of 10-200W 2.45GHz MicroplasmasH. Heuermann, S. Holtrup, A. Sadeghfam, M. Schmidt, R. Perkuhn, T. Finger, RWTH Aachen University, Aachen, GermanyThis paper presents a microplasma ignition at 2.45GHz for various applications as well as spark plugs, plasma beams, low and high pressure lamps by using a three stage impedance transformer. The transformation network generates a very high voltage. Using this network, plasma ignition and sustainment are possible under atmo-spheric pressure, low pressure or even under very high pressure conditions. This concept holds true for 10W to 200W, offering various advantages for a variety of applications.

THURSDAY INTERACTIVE FORUM 1330 – 1550

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Page 86: IMS2012 Program

IMS TH

UR

SDA

Y SESSIO

NS

IMS

› 83

THURSDAY INTERACTIVE FORUM 1330 – 1550THP Thursday 21 June 2012

Room: 517CDChair: Mohamed Bakr, McMaster University

Co-Chair: Daniel Gratton, Canadian Space Agency

THPNTHPN-1: Fabrication and Characterization of a Fully Integrated Biosensor Associating Microfluidic Device and RF Circuit S. Pinon1, D. L. Diedhiou3, A. Boukabache1, V. Conédéra1, A. Gué1, G. Prigent2, C. Quendo4, B. Potelon4, J. Favennec4, D. Bourrier1, E. Rius4, 1CNRS, Toulouse, France, 2Université de Toulouse ; UPS, INSA, INP, ISAE, Toulouse, France, 3Université Européenne de Bretagne, Brest, France, 4Université de Brest ; CNRS, UMR 3192 Lab-TICC,, Brest, FranceThis paper presents the first results of the fabrication and characterization of a biological sensor based on two complementary parts. A microfluidic channel along with a micromachined stop-band filter are used to detect the type of fluid which flows beneath the electronic circuit. The tridimensional structure of the microstrip technology is integrated using SU8 material. Changes to the cutoff frequency and attenuation allow us to differentiate three values of the salt in water concentration.

THPP-3: Novel Error Correction Memory Compression Technique of a DDS Using the Equi-Section Division MethodK. Tajima, K. Kawakami, Mitsubishi Electric Corporation, Kamakura, JapanThis paper presents a memory compression technique of a DDS using the equi-section division method. In the DDS, an error correction memory reduces error after the sine amplitude approximation. In order to reduce the memory size, we propose a phase adjustment technique to realize a shared memory. The proposed technique changes phase data which is equivalent to memory address to optimum value in each section, and reduces the memory size to approximately 1/2^S without affecting spurious levels.

THPN-2: A Parallel-Trace High-Q Planar Spiral Coil for Biomedical ImplantsH. Jiang1, S. Zhou1, D. Lan1, K. Goldman2, J. Zhang1, H. Shahnasser1, S. Roy3, 1San Francisco State University, San Francisco, United States, 2H-Cubed, Inc., Olmsted Falls, United States, 3University of California at San Francisco, San Francisco, United StatesMultiple parallel-connected metal traces, instead of a single trace, have been employed in PSC to achieve high Q. The parallel-trace PSC exhibits 38%~53% improvements in Q when it resonates with a capacitor at ~10 MHz. Measurements indicate that there is ~10% L reduction in the parallel-trace PSC compared to the single-trace PSC of the same design, and, the unbalance among parallel-connected traces can be neglected when the operating frequency is less than the PSC’s self-resonating frequency.

THPP-4: A Method to Lower VCO Phase Noise by Using HBT Darlington Pair S. Lai1, M. Bao2, D. Kuylenstierna1, H. Zirath1, 1Chalmers University of Technology, Gothenburg, Sweden, 2Ericsson, Mölndal, SwedenThe paper presents a novel method to enhance voltage swing in LC VCOs design using bipolar transistor. The meth-od is successfully demonstrated in an InGaP HBT MMIC process. A gm-boosted VCO and a modified version using Darlington-pair transistors are compared.The gm-boosted VCO has tuning range of 22.8% centered at 5.7GHz and phase noise ranging -103~-95 dBc/Hz@100kHz. The modified version has tuning range of 26% centered at 5.9 GHz and phase noise ranging -103.5~-98.5 dBc/Hz@100kHz.

THPN-3: Inductively Coupled Super-Regeneration Amplifier Based on Integrated Transmission Line for Transcutaneous Data Transfer A. Zahabi, L. Tianyi , M. Anis , M. Ortmanns, Universität Ulm, Ulm, GermanyInductively coupled super-regeneration amplifier is presented for near field transcutaneous data transfer with capacitively-loaded integrated transmission line. In transmitting mode, the SRA generates OOK modulated pulsed-sinusoids tuned at 2.4GHz. In receiving mode, the SRA switches in between filtering and oscillation modes to detect data pattern. The SRA consumes 1mA/1.2mA from 2.5V supply in Tx/Rx modes. Data rate of 100 Mb/s and 10Mb/s to detect -75dBm signals in Tx/Rx-mode.

THPP-5: IQ Signal Generator Using 2nd - Harmonic Injection Locked OscillatorsJ. Lopez-Villegas1, N. Vidal1, J. Sieiro1, J. Macias-Montero2, T. Carrasco-Carrillo1, J. Osorio-Martí1, 1Universitat de Barcelona, Barcelona, Spain, 2Universitat Autònoma de Barcelona, Bellaterra, SpainA new approach to generate quadrature signals is proposed. The method is based on the injection and locking of L-C VCOs at the 2nd harmonic through non-linear feedback. The procedure allows the generation of equal amplitude signals with well-defined phases of 0º, 90º, 180º and 270º, in the tuning range of the VCOs. A demonstrator was built using SiGe BiCMOS technology. The system operates in the frequency range from 1.66 to 2.68 GHz and dem-onstrates the reliability of the proposed method.

THPN-4: Vital-Sign Detection Doppler Radar Based on Phase Locked Self-Injection OscillatorP. Wu4, J. Jau1, C. Li2, T. Horng3, P. Hsu4, 1ITRI, Hsinchu, Taiwan, 2National Taipei University of Technology, Taipei, Taiwan, 3National Sun Yat-Sen University, Kaohsiung, Taiwan, 4National Taiwan University, Taipei, TaiwanA Doppler radar based on a phase locked self-injection oscillator is presented for vital-sign detection. The phase-locked loop (PLL) stabilizes the output frequency and serves as the demodulator. The architecture has a well defined operating frequency compared to a free-running self-injection oscillator. A frequency domain model is developed to calculate the circuit parameters for desired sensitivity requirements. Experiments demonstrate suc-cessful detections of the vital signs up to 3 m.

THPP-6: A Dispersion-tapered Reflection Soliton Oscillator.D. S. Ricketts, A. Hillenius, Carnegie Mellon University, Pittsburgh, United StatesThis paper presents the first demonstration of a dispersion-tapered reflection soliton oscillator (RS0). In this work we show that the stabilizing mechanisms for uniform NLTL soliton oscillators are sufficient to stabilize and self generate solitons using a dispersion-tapered NLTL. Moreover, we show experimentally that the dispersion-tapered NLTL provides a significant benefit in further sharpening the soliton pulses in the soliton oscillator.

THPN-5: A Microwave Sensing System for Aqueous Concentration Measurements based on a Micro-wave ReflectometerM. Hofmann, F. Trenz, G. Fischer, R. Weigel, D. Kissinger, Universität Erlangen-Nürnberg, Erlangen, GermanyThis paper presents a novel parameterized Debye relaxation model for aqueous glucose solutions up to 40 GHz. The influence on all Debye relaxation parameters is given by three equations. A compact microwave demonstrator for concentration measurements, consisting of two directional couplers, a voltage controlled oscillator (VCO), a six-port-junction, power detectors and a signal processing board is introduced.

THPP-7: Digitally Controlled CMOS Quadrature Ring Oscillator with Improved FoM for GHz Range All-Digital Phase-Locked Loop ApplicationsR. K. Pokharel, P. Nugroho, A. Anand, H. Kanaya, K. Yoshida, Kyushu University, Fukuoka, JapanThis paper presents a 14-bit digitally controlled ring oscilla¬tor (DCO) with operating frequency up to 3.4 GHz in 0.18 um CMOS technology. Digital control is employed to control the transis¬tor operation to obtain higher voltage swing in triod region and lower flicker (1/f) noise that in turn results in the lowest phase noise in a ring oscillator. The measured figure of merit (FoM) to be -169.9 dBc/Hz which is 7.7 dB improvement over its recently published analog counterpart.

THPPTHPP-1: High Power Solid-State Oscillator for Microwave Oven ApplicationsT. Shi, K. Li, Freescale Semiconductor , Tempe, United StatesA Solid-state oscillator design with Freescale LDMOS devices is presented. The power booster technique of oscillator with two devices is presented as well. The single device oscillator can deliver up to 157W CW power at 2.45GHz with better than 43% efficiency, the oscillator has a high Q feedback ring which provides stable resonating frequency. The 2UPs oscillator can deliver 310W CW power at 2.45GHz with 46% efficiency.

THPQTHPQ-1: State of the Art 200 GHz Power Measurements on SiGe:C HBT using an Innovative Load Pull Measurement SetupA. Pottrain2, T. Lacave2, D. Gloria2, P. Chevalier2, C. Gaquiere1, 1IEMN, Villeneuve D’ascq, France, 2STMicroelectronics, Crolles, FranceIn this paper we report for the first time power measurements on a single ended heterojonction bipolar transistor at 200 GHz with a variation of load impedance by means of an integrated tuner. Integrated impedance tuners are specially designed in order to characterize the device for various load impedance. This is the first report of a non linear measurement on a single ended device in this frequency range.

THPP-2: A V-Band Injection-Locked Frequency Tripler Module with Adaptive Free-Running Frequency TuningT. Yan, H. Lin, C. Kuo, National Chiao Tung University, Hsinchu, TaiwanA V-band injection-locked frequency tripler (ILFT) module features adaptive free-running frequency tuning for locking range enhancement. The ILFT free-running frequency is adaptively tuned to the third-order harmonic fre-quency of the input signal.The control voltage is obtained by frequency detection of the input signal. The realized system is a hybrid of customized ICs and off-the-shelf commercial components. The measured results show that the ILFT is applicable to V-band operation of 2.7 GHz.

THPQ-2: A Millimeter-Wave Cylindrical Modified Luneberg Lens AntennaC. Hua1, X. Wu2, W. Wu1, 1Nanjing University of Science and Technology, Nanjing, China, 2Zhejiang University, Hangzhou, ChinaA new millimeter-wave cylindrical modified Luneberg lens antenna is designed using parallel plate techniques. The lens consists of two air-filled parallel plates. The plates spacing is varied with the normalized radius to achieve a general Luneberg’s variation of the effective index of refraction. A planar ALTSA is placed between the parallel plates at the focal position of the lens as a feed antenna. A ray-tracing method and CST-MWS are used to analyze and design this antenna at 30 GHz.

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Page 87: IMS2012 Program

IMS TH

UR

SDA

Y SESSIO

NS

IMS

› 84

THP Thursday 21 June 2012 Room: 517CD

Chair: Mohamed Bakr, McMaster University Co-Chair: Daniel Gratton, Canadian Space Agency

THPQ-3: Fully Electronic Active E-Band Personnel Imager with 2 m^2 ApertureS. S. Ahmed1, A. Genghammer1, A. Schiessl1, L. Schmidt2, 1Rohde & Schwarz, Munich, Germany, 2University of Erlangen–Nuremberg, Erlangen, GermanyThe demand on advanced personnel screening systems led to the development of several active and passive imag-ers. Among them, active multistatic imaging ensures high image quality and allows fully electronic screening. An active E-band imager is introduced in this paper, which operates in real-time and delivers images of 30-dB dynamic range. Imaging of humans is achieved by optimizing the acquisition time using a dedicated digital signal processing solution.

THPR-3: Compact Waveguide Twist Design Fitting with Interfacing Waveguide Cross SectionsU. Rosenberg1, R. Beyer2, 1Mician, Bremen, Germany, 2Mician, Bremen, GermanyA novel waveguide twist solution is introduced. It is based on one central angular step for the complete offset rotation in combination with double ridge waveguide transformer sections towards the waveguide interfaces. The in- and output cross sections are directly fitting with the interface waveguides - thus accommodating easy manu-facturing in one piece by standard CNC milling techniques. A realized twist at 28GHz providing 32 dB return loss over 38% bandwidth validates the new concept.

THPQ-4: Generation of Steerable Continuous-Wave Terahertz Radiation Using Large-Area Photo-mixerA. Eshaghi1, M. Shahabadi1, L. Chrostowski2, 1University of Tehran, Tehran, Iran, 2The University of British Columbia, Vancouver, CanadaGeneration of CW-THz radiation with a power of 2 microwatts is demonstrated. Two CW laser beams are photo-mixed in a microstructured large-area photomixer to generate a THz radiation of 1.2THz. We show that the radiated beam is steerable by changing the incidence angles of the pump beams. In our setup, the emission direction of the photomixer can be changed by rotating the beam splitter used for beam combining. Using this setup, we are able to steer the THz beam in a range of -30 to +30 degrees.

THPR-4: Millimeter-wave Broadband Transition of Substrate Integrated Waveguide on High-to-Low Dielectric Constant Substrates N. Ghassemi, K. Wu, École Polytechnique de Montréal, Montreal, CanadaThis paper presents a novel wideband transition of substrate integrated waveguide (SIW) on high-to-low dielectric constant substrates. The transition has a single layer structure which consists of a tapered high dielectric constant substrate that connects the two SIWs. It has a self-shielded configuration, and its noise interference is minimum. Simulated and measurement results show that the bandwidth of the transition covers almost the entire W and E bands with low insertion loss.

THPQ-5: Wideband Submillimeter Receivers based on Series Distributed SIS JunctionsC. E. Tong1, P. K. Grimes1, R. Blundell1, M. Wang2, 1Harvard-Smithsonian Center for Astrophysics, Cambridge, United States, 2Academia Sinica Institute of Astronomy and Astrophysics, Taipei, TaiwanThe IF bandwidth of an SIS mixer is limited by its capacitance. A series distributed design reduces the effective junc-tion capacitance and the junctions can be reused as low impedance matching elements, limiting the capacitance of the RF matching network. In this paper, the analysis of this novel design is explored. Two receivers incorporating two types of series distributed SIS mixers were tested at 220 and 350 GHz. IF bandwidth of up to 16 GHz and low noise performance have been demonstrated.

THPR-5: Antipodal Fin-Line Waveguide to Substrate Integrated Waveguide TransitionT. Djerafi, A. Ghiotto, K. Wu, École Polytechnique de Montréal, Montréal, CanadaBased on a tapered fin-line, this transition is designed for dielectric substrate having a relative permittivity higher than 4. It is fabricated without modification to the waveguide dimensions. The robustness of the transition with reference to the relative position error is studied showing excellent stability. Measurement results show excellent performance in a bandwidth of 6% (33-35 GHz) with less than 1 dB of insertion loss.

THPQ-6: Micromachined-Silicon W-band Planar-Lens Antenna with Metamaterial Free-Space Match-ingS. Dudorov, F. Töpfer, J. Oberhammer, KTH Royal Institute of Technology, Stockholm, SwedenWe present a miniaturized planar W-band dielectric-lens antenna which is micromachined in a 300 micron silicon wafer. The antenna edge comprises a metamaterial anti-reflection geometry in order to reduce parasitic reflections between the free-space to high-permittivity dielectric interface. Furthermore, the dielectric lens is matched to a standard metal waveguide by an optimized tapered dielectric-wedge transition. Prototype lens-antennas were fabricated in a single-mask micromachining process.

THPR-6: A Compact Dual-Linear Polarized Dual-Band Bases Station AntennaH. Peng1, B. Zong2, W. Yin1, J. Mao1, 1Shanghai Jiao Tong University, Shanghai, China, 2ZTE, Shanghai, ChinaA novel compact dual-linearly polarized and dual-band (DPDB) antenna, operating B1 (0.8-1.0 GHz), B2 (1.70-1.88 GHz), B3 (1.91- 2.17 GHz) and B4 (2.3-2.4 GHz) bands, is presented in this paper. The EM simulated models for its radiation element and its feed network, are developed. The antenna can cover GSM, DCS and E-UMTS spectrums. Its 10 dB return loss and 30 dB port isolations are obtained and verified by measurements. It is shown that this antenna is very suitable for cellular communication.

THPQ-7: A 140-GHz Quad-Receivers IC and Sub-Assembly for Compact Passive Imaging Sensors T. Kosugi1, H. Sugiyama1, H. Matsuzaki1, M. Nakamura2, H. Satoh2, K. Throngnumchai2, K. Murata1, 1NTT, Atsugi, Japan, 2Nissan Motors, Atsugi, JapanA CPW-MMIC with quad-channel receiver IC for 140-GHz passive millimeter-wave imaging system was developed. The IC has four low-noise amplifiers (LNA), Dicke switches, and detectors using Schottoky barrier diode. The LNA has 40 dB gain and 5 dB noise figure. The IC was assembled with a four-antenna array fabricated on a polyimide film. The subassembly was finally implemented in 140-GHz imaging system and we confirmed human can be detected at 30 m apart from the imaging system.

THPR-7: Ultra-Compact Millimeter-wave Substrate Integrated Waveguide Crossover Structure Utiliz-ing Simultaneous Electric and Magnetic Coupling A. Guntupalli, T. Djerafi, K. Wu, École Polytechnique de Montréal, Montreal, CanadaAn ultra-compact wideband crossover is proposed and realized by using vertical coupling of both electric and mag-netic fields simultaneously. The magnetic field (H) is coupled through slots etched adjacent to the side walls of waveguide and the electric field (E) is coupled through slots etched on the middle of waveguide. Measured inser-tion loss is less than 0.9 dB over an operating bandwidth of 16.6% at 35 GHz.

THPRTHPR-1: A Fully Passive RF Switch Based on Nanometric Conductive BridgeA. Vena1, E. Perret1, S. Tedjini1, C. Vallée2, P. Gonon2, C. Mannequin2, 1Grenoble Institute of Technology, Valence, France, 2CNRS, Grenoble, FranceThis paper presents a novel fully passive and reversible RF switch based on resistive switching effect observed in CBRAM memory. To maintain the on state or the off state no power is required, since the on state is characterized by a real metallic bridge while the off state is related to the absence of this bridge. A characterisation of the non optimized transition is presented before introducing the design of a switch able to operate up to 10GHz.

THPR-8: A Ka-Band Broadband Traveling-Wave Power Divider/Combiner Based on Low-Loss Septum Unsymmetrical E-plane T-junction SeriesZ. Kang, Q. Chu, Q. Wu, South China University of Technology, Guangzhou, ChinaA Ka-band traveling-wave power divider based on septum unsymmetrical T-junction series has been designed and fabricated. The high isolation, which guarantees the graceful degradation of a modular solid-state device system, is realized. The proposed structure features easy fabrication and low loss. The simulated isolation is better than 20dB from 28 to 38GHz. The measured reflection of input port is better than 19dB and a maximum transmission coefficient amplitude imbalance of ± 1dB is achieved.

THPR-2: A Dual-Band Tandem Coupler with an Arbitrary Coupling CoefficientX. Wang1, K. Wu1, W. Yin2, 1The Chinese University of Hong Kong, Hong Kong, China, 2Shanghai Jiao Tong University, Shanghai, ChinaA novel dual-band tandem coupler with an arbitrary coupling coefficient is proposed. The coupler consists of a tandem coupler and a transmission line. The coupler can realize arbitrary coupling coefficients over two designated frequencies. Design equations are derived for designing the parameters. A prototype of the proposed coupler which operates at 0.9/1.5 GHz with -6dB coupling coefficient is designed, fabricated and measured for verification. The measured and the simulated agree very well.

THPR-11: A Planar Ultra Wide Band Single Layer Microstrip BALUN Operating from 200MHz to 10GHzM. Shahidzadeh Mahani, R. Abhari, McGill University, Montreal, CanadaIn this paper a new single layer BALUN is presented that covers the frequency range of 200MHz to 10GHz. The structure is composed of a two stage Wilkinson power divider followed by a wideband current flipping structure. Measurements show that an amplitude imbalance of below 0.2dB and a phase imbalance of less than 5 degrees is achieved by this BALUN from 1.5GHz to 10GHz. Maximum phase and amplitude imbalance are 12degrees and 2dB respectively.

THURSDAY INTERACTIVE FORUM 1330 – 1550

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Page 88: IMS2012 Program

IMS TH

UR

SDA

Y SESSIO

NS

IMS

› 85

THURSDAY INTERACTIVE FORUM 1330 – 1550THP Thursday 21 June 2012

Room: 517CDChair: Mohamed Bakr, McMaster University

Co-Chair: Daniel Gratton, Canadian Space Agency

THPR-12: Compact UHF 5th-Order Bandpass Filter with Sharp SkirtB. Lee, S. Kahng, D. Eom , S. Yoo, University of Incheon, Incheon, Republic of KoreaWe propose a bandpass filter miniaturized by the composite right-handed and left-handed zeroth order resonators. A 5th-order bandpass filter form a cascaded triplet to have a significantly sharp skirt for high frequency selectivity. The proposed filter has the insertion loss less than 1.5dB and the return loss less than -15dB. The simulation and measurement validate the proposed design method, and the CRLH ZOR properties are proven by the no-phase variation electric field and dispersion diagram.

THPTTHPT-1: A 200 GHz 16-pixel Focal Plane Array Imager using CMOS Super Regenerative Receivers with Quench Synchronization A. Tang1, G. Virbila1, Y. Wang1, Q. J. Gu2, Z. Xu3, L. Du1, N. Yan1, Y. Wu1, Y. Wu4, Y. Kuan1, M. F. Chang1, 1University of California at Los Angeles, Los Angeles, United States, 2University of Florida, Gainesville, United States, 3HRL, Malibu, United States, 4Notrhrop Grumman Corperation, Renondo Beach, United StatesA 200GHz 4x4 focal plane array (FPA) has been realized by using super-regenerative receiver (SRR) pixels in 65nm CMOS for mm-wave imaging applications. With 16 pixel elements constructed on PCB, the FPA consumes 215mA under 1V power supply and uses quench synchronization to eliminate super-regenerative interference.

THPR-13: A V-band Waveguide to Microstrip Inline TransitionK. Han, C. Pao, QuinStar Technology, INC., Torrance, United StatesA wideband, low loss inline transition from microstrip line to rectangular waveguide is presented. This transition ef-ficiently couples energy from a microstrip line to a waveguide ridge and subsequently to a regular TE10 waveguide. This unique structure requires no mechanical pressure for electrical contact for the transition because the substrate and ridge sections are placed on a single housing. The measured insertion loss for back-to-back transitions is 0.5 - 0.7 dB over a broad bandwidth.

THPUTHPU-1: Measurement-Based Effective Conductivity of Carbon Nanotube Networks for Microwave Design PurposesE. Decrossas1, M. A. EL Sabbagh2, V. Fouad Hanna3, S. M. El-Ghazaly1, 1University of Arkansas, Fayetteville, United States, 2Syracuse University, Syracuse, United States, 3Sorbonne Universites, Paris - Cedex 05, FranceA mathematical model based on the percolation theory is developed to be implemented in simulation software to design CNT-based high-frequency components. For this purpose, two independent measurement setups are used to extract the conductivity of carbon nanotubes in its dry powder form as furnished by the manufacturer. Moreover, the radio frequency-dependent properties of CNT networks are studied experimentally for different packing densities.

THPR-14: Rat-Race Based Microstrip Coupler With Differential Port to Realize Monostatic RF SystemsR. W. Jungmaier1, R. Feger1, B. Prammer1, H. Jäger2, A. Stelzer3, 1Johannes Kepler University, Linz, Austria, 2DICE, Linz, AustriaIn this work a rat-race based microstrip coupler, usable to realize monostatic RF systems, is presented. The coupler combines the two functions of separating the transmit (TX) and receive signal together with the conversion from a differential to a single-ended TX signal since two ports of the proposed five port coupler can be used as a dif-ferential port. Simulations and measurements at 79GHz confirm the function of the coupler.

THPU-2: Self-Actuating Scanning Microwave Microscopy ProbesN. Sarkar, M. Azizi, S. Fouladi, R. R. Mansour, University of Waterloo, Waterloo, CanadaWe present a scanning microwave microscopy (SMM) system that does not require the use of a conventional atomic force microscope (AFM). Microfabricated SMM probes are actuated in two degrees of freedom by integrated MEMS scanners that are fabricated in a commercially available multi-user process. The scanners can position the tips over a 10µm x 10µm scan range.

THPR-15: Multiple Coupling Inductors Model Based on Four-Port MeasurementZ. Zong, K. Kang, University of Electronic Science and Technology of China, Chengdu, ChinaThis paper analyzes the coupling effects among four on-chip spiral inductors and develops a multiple coupling inductors model based on four-port S-parameters up to 50 GHz. Each single inductor model is directly extracted from measurement based on a one-port extraction algorithm, as well as mutual coupling elements among induc-tors. The modeled results agree well with the measured results up to self-resonance frequency. Test structures are fabricated using a commercial 0.18μm RFCMOS process.

THPU-3: Graphene Modeling by a Dirac-Transmission Line Matrix SchemeD. Mencarelli, L. Pierantoni, T. Rozzi , Università Politecnica delle Marche, Ancona, ItalyWe introduce for the first time a condensed node scheme for solving the Dirac equation in 2D graphene. This scheme satisfies the standard charge conservation requirement and allows adopting boundary conditions for gra-phene circuits. The correlation between the graphene equations and its self-consistent symmetrical condensed node -transmission line matrix formulation is highlighted. This concept is related to the generalized Huygens prin-ciple for the Dirac equations.

THPSTHPS-1: Automated Design of Optimized Tunable Matching Networks in the UHF bandC. Sanchez-Perez, J. de Mingo, P. L. Carro, P. Garcia-Ducar, Universidad de Zaragoza, Zaragoza, SpainIn this paper a new methodology to design optimized digitally-controlled tunable matching networks is presented. Conceiving the TMN as a concatenation of basic switching cells, an optimization of the parameters can be carried out using a genetic algorithm. This method minimizes switching elements as well as ensures a near-optimum performance. We will present the design of a generic 300-800 MHz 7-cell TMN with 60% coverage and a specific 3-cell design based on an antenna characterization.

THPS-2: Using Near Field Probes to Observe Class E Waveforms in HF/VHF/UHF Power AmplifiersA. Mediano, University of Zaragoza, Zaragoza, SpainClass E RF amplifiers are one of the most used topologies when high efficiency is mandatory. The best approach to fine tuning this amplifier is to observe the drain/collector waveform. But observing this waveform in RF is difficult because the loading and parasitic effects of setup. In this work a technique to solve that limitation is described using near field probes typical from EMI/EMC fields. This work is interesting for HF/VHF/UHF designers and the technique can be extended to class D/F.

THPS-3: Highly Compact Lumped Element Resonators in LTCC for Avionic SystemsS. H. Mousavi, A. Elzayat, A. B. Kouki, École de technologie supérieure , Montreal, CanadaA new system level architecture for multi-standard receivers to cover all the VHF and UHF band avionic systems is proposed. The proposed architecture relies on special RF front-end filtering to separate different services. A dual band-pass filter is designed using only two resonators. The resonators are designed and fabricated in LTCC technol-ogy using a lumped element approach. Measured results show close agreement with simulations with very small form factor.

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Page 89: IMS2012 Program

IMS TH

UR

SDA

Y SESSIO

NS

IMS

› 86

TH3A: Linearizability of GaN from Device, Circuit to System LevelsThursday 21 June 2012

Time: 1350 –1530 Room: 513ABCChair: Joe Qiu, U.S. Army Research Laboratory

Co-Chair: Ali Darwish, The American University in Cairo

TH3A-1 1350 – 1410Linearity of GaN HEMT RF Power Amplifiers - A Circuit PerspectiveH. Sarbishaei, D. Y. Wu, S. Boumaiza, University of Waterloo, Waterloo, CanadaIn this paper, the nonlinearity generation mechanisms causing AM/AM and AM/PM in GaN power amplifier are analyzed from a circuit perspective. The nonlinear device transconductance is found to be the primary source of slow compression in GaN PA’s AM/AM characteristic, while the nonlinear input capacitance is the primary source of AM/PM distortion. Using two 800 MHz GaN PAs, we show that matching networks optimized for linearity can minimize a PA’s nonlinear distortions and memory effects.

TH3A-2 1410 – 1430Improved Linearity of Power Amplifier GaN MMIC For Ka-Band SATCOMA. M. Darwish, J. X. Qiu, E. A. Viveiros, H. A. Hung, Army Research Laboratory, Adelphi, United StatesThe linearity performance of a Ka-band power amplifier GaN MMIC with a novel balanced 4-way combiner is pre-sented. The 32–38 GHz two-stage PA produces a maximum power of 6 watts for class-A bias. Improved linearity is demonstrated by biasing the first and second stages in deep class AB, and class A, respectively. This improvement in overall linearity is achieved as the gain expansion of the first stage is balanced by the gain compression of the second stage. Measured performance is presented.

TH3A-3 1430 – 1450Linearizing High Power Amplifiers with Emphasis on GaNA. Katz2, R. Dorval1, 1Linearizer Technology Inc., Hamilton, United States, 2The College of New Jersey, Ewing, United StatesThe trades involved in the decision to include linearization in the design of a microwave high power amplifier will be discussed. Emphasis will be placed on the use of predistortion linearization, and particularly on its application with amplifiers employing recently available microwave GaN FET power devices.

TH3A-4 1450 – 1510On the Large-Signal Modeling of High Power AlGaN/GaN HEMTsI. M. Angelov1, M. Thorsell1, K. Andersson1, N. Rorsman1, E. Kuwata2, H. Ohtsuka2, K. Yamanaka2, 1Chalmers Univ, Goteborg, Sweden, 2Mitsubishi Electric Corporation, Kamakura, JapanIn this paper are given some recent results on modeling of High Power GaN HEMT devices.As we push device to the limits,many issues are becoming critical. Access resistances Rs,Rd are bias and temperature dependent-their extraction from cold FET measurements can lead to over optimistic prediction for output power. Models with-out dynamic self-heating, breakdown are not practical for GaN such models can easily predict world records for PAE,output power. Some examples are given using LSNA.

TH3A-5 1510 – 1530Nonlinearity Consideration of GaN Based Envelope Tracking Power AmplifiersP. J. Draxler1,3, D. F. Kimball2,3, P. M. Asbeck3, 1Qualcomm, San Diego, United States, 2MaXentric, La Jolla, United States, 3University of California at San Diego, La Jolla, United StatesGaN devices have led to PAs with exceptional efficiency over wide operating ranges. Most digital communication signals have a high peak to average ratio, resulting in excessive voltage biasing overhead most of the time. By modulating the power supply voltage to match the needs of the instantaneous RF signal, one can achieve greater efficiency, but this impacts the PA linearity. This paper presents behavioral modeling and digital predistortion tech-niques being used for envelope tracking GaN PA.

TH3B: Novel III-V MMIC TechniquesThursday 21 June 2012

Time: 1350 –1530 Room: 512ABEFChair: Ho Huang, AMCOM Communications Inc.

Co-Chair: Amin Ezzeddine, AMCOM Communications Inc.

TH3B-1 1350 – 1410A 480 GHz Active Frequency Multiplier-by-Four SMMICI. Kallfass1, A. Tessmann2, H. Massler2, S. Wagner2, A. Leuther2, 1Karlsruhe Institute of Technology, Karlsruhe, Germany, 2Fraunhofer IAF, Freiburg, GermanyThe submillimeter-wave frequency multiplier-by-four consists of the monolithic integrated cascade of a balanced doubler, a cascode buffer amplifier, and a single-ended frequency doubler. Without any post-amplification, an output power of -14.3 dBm is achieved in a 3-dB output frequency range from 435 to more than 480 GHz, resulting in a 45 GHz bandwidth. The balanced frequency doubler uses a coupled-line Marchand balun to drive a push-push transistor stage with cascode topology.

TH3B-2 1410 – 1430A W-Band Tunable Push-Push Oscillator with 128X Frequency Division for Frequency Synthesis ApplicationsM. Stuenkel, M. Feng, The University of Illinois at Urbana-Champaign, Urbana, United StatesA W-Band Push-Push VCO with a 64X static frequency divider, for a total frequency division of 128X, is designed in InP/InGaAs DHBT technology. It operates around a center frequency of 77.75 GHz with a measured phase noise of -94 dBc/Hz at a 1 MHz offset, and employs a unique tuning methodology that uses only the parasitics of the DHBTs to give a tuning range of 1.3 GHz. The oscillator dissipates 84 mW, one of the lowest power dissipations reported for a III-V DHBT based push-push oscillator.

TH3B-3 1430 – 1440A 100 Gb/s Ethernet Modulator Driver Module Based on Coplanar GaAs PHEMT Distributed MMIC Amplifier H. T. Morkner, A. N. Riddle, S. Mahon, W. Kennan, M/A-COM, Santa Clara, United StatesWe present a 100 Gb/s Ethernet modulator driver module based on a coplanar GaAs PHEMT 9 section coplanar integrated distributed amplifier. It has a 30 kHz to 67GHz bandwidth suitable for direct 100 Gb/s clocking. Process technology, MMIC design, and module design are described and are neither exotic nor expensive to produce. The MMIC in this module provides 12dB typical gain and 3.5Vpp output voltage. To the author’s knowledge this is the broadest bandwidth surface mount module made to date.

TH3B-4 1440 – 1500Linearized Low-noise Cascode RF Amplifiers Using a Novel Distortion-cancelling Bias SchemeY. H. Chow, Y. W. Eng, M. H. Mazlan-Huzairi, M. F. Ayob, W. C. Chen, Avago Technologies, Bayan Lepas, MalaysiaA distortion-cancelling bias scheme is presented that improves the linearity of RF amplifiers connected in cascode topology. The bias method involves stacking one bias circuit on top another bias circuit so that the same current flows through the bias circuit and distortion is cancelled. Bandwidth is wide and noise performance is also not impacted. Measured results from different broadband amplifiers and a GPS LNA confirm the scalability and band-width of the distortion-cancelling technique.

TH3B-5 1500 – 1520GaAs MMIC Tunable Directional CouplerR. Scheeler, Z. Popovic, University of Colorado at Boulder, Boulder, United StatesThis paper presents a tunable GaAs MMIC directional coupler. Design equations for a hybrid coupler with ideal tunable capacitances are derived to determine the range of capacitances for the desired coupling tuning range. The 2 GHz coupler is implemented in a 0.5 um GaAs process with Schottky varactor diodes, and occupies an area of 2.2 mm x 1.4 mm. Over a bias range of 0 V to -1.5 V the coupling coefficient ranges from 6.6 to 60 dB, or 53.4 dB of dynamic range at 2 GHz.

TH3B-6 1520 – 1530A K-Band Single-Chip Reconfigurable/Multi-Functional RF-MEMS Switched Dual-LNA MMIC R. Malmqvist1, C. Samuelsson1, A. Gustafsson1, H. Maher2, T. Vähä-Heikkilä3, R. Baggen4, 1FOI, Linköping, Sweden, 2OMMIC, Limeil-Brevannes, France, 3VTT, Espoo, Finland, 4IMST GmbH, Kamp-Lintfort, GermanyA K-band (18-26.5 GHz) single-chip reconfigurable/multi-functional RF-MEMS switched dual-LNA MMIC (op-timized for lowest/highest possible noise figure/linearity) is presented. The two MEMS switched low-NF/high-linearity LNA circuit functions present 18.6 dB/9.0 dB, 2.4 dB/3.5 dB and 22 dBm/29 dBm of gain, noise figure and OIP3 at 20 GHz, respectively. Compared with two fixed LNA circuits, the MEMS switched LNA circuits show 0.5-1.0 dB higher NF and similar values of linearity at 15-25 GHz.

THURSDAY TECHNICAL SESSIONS 1350 – 1530

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Page 90: IMS2012 Program

IMS TH

UR

SDA

Y SESSIO

NS

IMS

› 87

TH3C: High Performance non-planar Filters Technologies 2Thursday 21 June 2012

Time: 1350 –1530 Room: 512CDGHChair: Ming Yu, COM DEV

Co-Chair: Pino Macchiarella, Politecnico di Milano

TH3C-1 1350 – 1410Design of Narrowband Microwave Filters with Mixed-topologyG. Macchiarella1, M. Oldoni1, S. Tamiazzo2, 1Politecnico di Milano, Milano, Italy, 2Commscope, Agrate B., ItalyMicrowave filters exhibiting transmission zeroscan be typically designed with cross-coupled or extracted pole topologies.In some cases it is more convenient the choice of a mixed topology, i.e. part of the structure with extracted-pole and part with cross-coupled.However, nothing can be found in the literature on this subject. In this work we present two solutions for the synthesis of a low-pass prototype with a mixed topology,which increases the design flexibility of microwave filters.

TH3C-2 1410 – 1430Generalized Parallel Coupled Line and Interdigital Filters with Unusually Broad Upper Stop Band-widthW. M. Fathelbab, RS Microwave Company, Inc., Butler, United StatesThis paper presents the design of parallel coupled line and interdigital filters that realize broad upper stop band-width. Enhancement of the stopband is achieved due to the fact that the proposed filters comprise new bandpass networks each made of pairs of asymmetrically coupled stepped-impedance resonators. Several parallel coupled line and interdigital filters are simulated utilizing HFSS and demonstrate improvement in the upper stop band-width.

TH3C-3 1430 – 1450Ultra-Compact Filters using TM Dual-Mode Dielectric-Loaded Cavities with Asymmetric Transmission ZerosL. Pelliccia, F. Cacciamani, C. Tomassoni, R. Sorrentino, Universita di Perugia, Perugia, ItalyA solution for ultra-compact waveguide filters using TM dual-mode dielectric-loaded cavities has been introduced to achieve Nth-order filtering functions with asymmetric and arbitrary positioning of N transmission zeros. A di-electric-loaded cavity provides 2 transmission poles and zeros. Higher-order filters are realised by cascading several cavities. A 4th-order filter at 4.35 GHz has been realised. The unloaded Q is 1750. A 75% linear size reduction is obtained compared to an empty TM cavity.

TH3C-4 1450 – 1510An NRD Guide Excited Millimeter-Wave Narrow Bandpass Filter using Whispering Gallery Mode High-Q ResonatorsT. Shimizu, Y. Kogami, Utsunomiya University, Utsunomiya, JapanRecent years, millimeter wave applications have attracted much attention. We focused on an NRD guide and Whis-pering Gallery mode high Q sapphire resonator. In this paper, the NRD guide excited millimeter wave narrow bandpass filter using WG mode sapphire resonators was designed and fabricated. As a result, it was realized that the 3-pole bandpass filter with center frequency 58.64GHz and 3dB bandwidth 273MHz. Moreover, its insertion loss was found to be about 1.5dB.

TH3C-5 1510 – 1520Accurate Extraction of Coupling Matrix for Coupled Resonator FiltersX. Yin, China Academy of Space Technology (Xi’an), Xi’an, ChinaMore accurate and complete formulas are developed for extraction of coupling matrix of coupled resonator filters. It takes into account of coupling with frequency dependence and source/load phase loading. Impacts of resonator port impedance and position are also discussed. Examples, including a narrowband dielectric duplexer, are simu-lated. Results showed that the extracted coupling matrix (changes with frequency) has the same response with EM simulation, and is more efficient for filter design.

TH3C-6 1520 – 1530The Design of Parallel Connected Filter Networks with Non-uniform Q ResonatorsM. Meng, I. C. Hunter, University of Leeds, Leeds, United KingdomA method of designing parallel connected lossy filter networks is introduced. The dissipation of each resonator can be considered separately in a transversal array. Some of the resonators are more critical than the others in determining the response and have to be of high Qu, while the Qu of the others can be reduced. Gradient based op-timization is used for determining the Qu distribution. It is shown that high performance filters may be constructed with a minimum number of high Qu resonators.

TH3D: Efficiency Enhancing Techniques for Linear Power AmplifiersThursday 21 June 2012

Time: 1350 –1530 Room: 510BDChair: Raghu Mallavarpu, Raytheon Company

Co-Chair: Wayne Kennan, MA-COM

TH3D-1 1350 – 1410A Novel Wideband and Reconfigurable High Average Efficiency Power AmplifierD. Gustafsson, C. M. Andersson, C. Fager, Chalmers University of Technology, Göteborg, SwedenThis work presents theory and measurements of a new power amplifier based on the Doherty topology. The proposed amplifier can provide high efficiency over a much improved bandwidth compared to the conventional Doherty amplifier, as well as simple reconfiguration of the efficiency in back-off. Measurements show state-of-the-art efficiency bandwidth and reconfigurable efficiency, thus validating the theory and demonstrating the potential of the proposed amplifier for use in wireless applications.

TH3D-2 1410 – 1420A Hybrid Envelope Amplifier with Switching-Controlled Structure for EDGE/WCDMA/LTE Reconfigu-rable TransmittersH. Son, W. Kim, J. Jang, J. Kim, I. Oh, C. Park, KAIST, Daejeon, Republic of KoreaThis paper presents a new type of the hybrid envelope amplifier (HEA) using a switching-controlled structure for reconfigurable transmitters. The dual switching stage, controlled by an appropriate voltage with respects to the selected mode, is employed to obtain high efficiency. The maximum efficiency of 85%, 84% and 79%, which are approximately 9%, 6% and 5% higher than those of the conventional HEA, are achieved for EDGE, WCDMA, and LTE modes, respectively.

TH3D-3 1420 – 1430A Single Chip Multiband Power Amplifier using Active Load Modulation TechniquesG. Lee, J. Lee, J. Song, GIST, Gwangju, Republic of KoreaThis paper presents a single chip multiband power amplifier(MBPA) using a proposed active load modulation(ALM) technique. Based on Doherty PA’s concept, the single chip MBPA consists of a main amplifier, an auxiliary amplifier, and transmission lines to modulate the main amplifier’s load line over the frequency. MBPA shows over a 10dB gain, 35.5% of power added efficiency, and 25.5dBc of adjacent channel leakage ratio at 27dBm output power with the 10MHz BW 16QAM LTE signal from 1.6-2GHz.

TH3D-4 1430 – 1450A 350W, 2GHz, 44% Efficient LDMOS Power Amplifier Design with Capability to Handle a Wideband 65MHz Envelope SignalA. M. Ahmed, J. Babesku , J. Schultz, H. H. Ladhani, J. Jones, M. Bokatius, P. Hart, Freescale Semiconductor, Tempe, United StatesIn this paper we demonstrate high gain, high efficiency, single and balanced Doherty PAs that have the ability to transmit signals which occupy frequency band from 1930MHz-1995MHz. The PAs have been designed using a new generation LDMOS transistors. For the first time we will show the ability of the PA to handle wideband envelope signals ( 80MHz) with excellent nonlinearity correction. These RF Doherty PAs are targeted for use in next genera-tion wideband wireless communication systems.

TH3D-5 1450 – 1510A 34% PAE, 26-dBm Output Power Envelope-Tracking CMOS Power Amplifier for 10-MHz BW LTE ApplicationsD. Kang1, B. Park2, C. Zhao2, D. Kim2, J. Kim2, Y. Cho2, S. Jin2, H. Jin2, B. Kim2, 1Broadcom Corporation, Matawan, United States, 2POSTECH, Pohang, Republic of KoreaAn envelope tracking CMOS power amplifier is implemented in 0.18-m CMOS, and achieves a PAE of 34%, an EVM of 3.2%, and an ACLR of -32.5 dBc at an average output power of 26 dBm and a frequency of 1.8 GHz for a 10-MHz BW 16 QAM 7.5-dB PAPR LTE signal. The envelope tracking operation improves a PAE by 2% to 6.5% over the stand-alone PA for the LTE signal.

TH3D-6 1510 – 1530Wideband Harmonically-Tuned GaN Doherty Power AmplifierK. Bathich1, G. Boeck1,2, 1Technische Universität Berlin, Berlin, Germany, 2FBH, Berlin, GermanyThis paper presents the design of a wideband harmonically-tuned Doherty amplifier. The frequency-related back-off efficiency degradation was minimized by compensating the effect of the frequency-sensitive impedance in-verters over a given design band. Suitable choice of device size ratio as well as harmonic load tuning at back-off and maximum power operations were also considered, resulting in superior performance over the targeted design band of 1.7-2.25 GHz.

THURSDAY TECHNICAL SESSIONS 1350 – 1530

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Page 91: IMS2012 Program

IMS TH

UR

SDA

Y SESSIO

NS

IMS

› 88

TH3E: Microwave Photonic Systems and TechniquesThursday 21 June 2012

Time: 1350 –1530 Room: 510ACChair: Mona Jarrahi, University of Michigan

Co-Chair: Adil Karim, Johns Hopkins University Applied Physics Laboratory

TH3E-1 1350 – 1410Ka-Band RF Photonic Link with Optimized PerformanceM. Alemohammad, D. Novak, R. Waterhouse, Pharad, Glen Burnie, United StatesWe demonstrate a low biased RF photonic link architecture operating over 26–40 GHz with optimized link per-formance. A 27 dBm EDFA and a low drive voltage, 40 GHz GaAs modulator were used in the configuration. A spurious-free dynamic range in excess of 118 dB-Hz2/3 was measured across the entire Ka-band. The link gain was -15 dB and the noise figure estimated to be 17 dB. A dither-free bias controller was also developed to achieve long-term stability of Ka-band link.

TH3E-2 1410 – 1420A Multi-Channel Photonic TransceiverJ. A. Nanzer, T. R. Clark, T. P. McKenna, M. L. Dennis, E. J. Adles, A. Karim, K. C. Lauritzen, S. H. Talisa, Johns Hopkins University, Laurel, United StatesA photonic transceiver architecture for digital array applications that uses optical remoting and optical phase en-coding is presented. Elements are addressed through WDM and photonic downconversion increases linearity. The architecture is evaluated through a 10 GHz, two-element experimental prototype. The phase stability is assessed through the relative phase drift between elements. The transmitter had a phase error of ~10 mdeg; the receiver had a phase error of ~1 deg over a time scale of 2 ms.

TH3E-3 1420 – 1440Millimeter-Wave Signal Transmission using Digitized Radio-over-fiber TechniqueY. Yang, C. Lim, A. Nirmalathas, The University of Melbourne, Melbourne, AustraliaWe propose a digitized millimeter-over-fiber scheme using the more versatile digital optical link. A 40GHz mil-limeter wave signal is generated in the BS from a digitized IF signal at 500MHz with sampling rate of 2GHz, bit resolution of 5 and optical bit rate of 10Gbps. A DAC is used in the BS to reconstruct the signal and generate high frequency image at 7.5GHz. A 10GHz clock recovered from the digitized signal is 4-time multiplied and mixed with the 7.5GHz signal for millimeter wave generation.

TH3E-4 1440 – 1500Carrier Frequency Offset Compensation for 10-Gbaud QPSK RoF Transmission at 90 GHz with Free-running Optical LO SignalA. Kanno1, T. Kuri1, I. Hosako1, T. Kawanishi1, Y. Yasumura2, Y. Yoshida2, K. Kitayama2, 1NICI, Koganei, Japan, 2Osaka University, Suita, JapanWe demonstrate W-band (75-110 GHz) RoF radio signal transmission with two free-running lasers using an optical heterodyne technique. The combination of a laser located at a base station for the optical local oscillator and a data-modulated optical signal from a central office provides a W-band radio signal. The carrier frequency offset fluctuation within 6 MHz can be mitigated by digital signal processing at the receiver side, in a similar manner to that of optical digital coherent detection.

TH3E-5 1500 – 1510Phase Stabilized RF Reference Signal Dissemination over Optical Fiber Employing Instantaneous Frequency Control by VCOT. Akiyama, H. Matsuzawa, E. Haraguchi, T. Ando, Y. Hirano, Mitsubishi Electric Corporation, Kamakura, JapanStable RF reference signal transfer systems are required in many applications. radio over fiber technique is one of candidates which realize precise RF dissemination. We developed the stable RF signal dissemination system in which a fluctuation of phase caused by transmitting fiber. This system monitors a phase of the round-tripped signal reflected at destination, and controls transmitting RF frequency using a VCO. The measurement shows that the phase error is 0.098 deg of the 1 GHz signal.

TH3E-6 1510 – 1530High-Resolution Microwave Frequency Measurement Based on Temporal Channelization Using a Mode-Locked LaserC. Wang, J. Yao, University of Ottawa, Ottawa, CanadaHigh resolution microwave frequency measurement based on temporal channelization using a mode-locked laser is proposed and demonstrated. A measurement resolution as high as 200 MHz is achieved using an optical chan-nelizer with 25-GHz channel spacing.

TH3F: Frequency Domain Electromagnetic AnalysisThursday 21 June 2012

Time: 1350 – 1520 Room: 511ADChair: Luca Perregrini, University of Pavia

Co-Chair: Jin-Fa Lee, The Ohio State University

TH3F-2 1350 – 1400An Efficient Numerical Technique to Predict Phase Responses of Reconfigurable Reflectarray Cells with Mutual CouplingC. Yann1, R. Loison1, R. Gillard1, M. Labeyrie2, J. Martinaud2, 1European University of Brittany, INSA, IETR, Rennes, France, 2Thales, Elancourt, FranceThis paper presents a numerical technique to predict realistic phase responses of active cells within a reflectarray. The phase responses of an active cell are determined as a function of the states of the neighboring cells. The phase probability distributions are computed and realistic phase responses are then evaluated. A synthesis application is presented in order to highlight the interest of the realistic phase responses.

TH3F-3 1400 – 1420Physical-Based Broadband Modeling of Printed Periodic Structures by the MoM/BI-RME MethodM. Bozzi, M. Pasian, L. Perregrini, Università di Pavia, Pavia, ItalyThis paper presents a novel physical-based approach for the broadband modeling of printed periodic structures. This approach is based on the use of the MoM/BI-RME method: this method is an efficient integral-equation technique that adopts entire-domain basis functions. By exploiting the physical nature of these basis functions (which are related with the modes of a 2D resonator), an RLC equivalent circuit model is derived, which accurately describes the frequency response over a broad band.

TH3F-4 1420 – 1440Non-conformal Domain Decomposition Methods for Modeling EM Problems with RepetitionsJ. Lee, Z. Peng, Ohio State University, Columbus, United StatesWe proposed a non-conformal domain decomposition method (NC-DDM) for solving electromagnetic problems with significant repetitions. An optimal 2nd order transmission condition is introduced to enforce field continuities across domain interfaces. Moreover, we combine directly the finite element domain decomposition method with a generalized combined field integral equation and form automatically the hybrid finite element and boundary integral (FEBI) method.

TH3F-5 1440 – 1500A Linear-Complexity Direct Integral Equation Solver Accelerated by a New Rank-Minimized H2-Representation for Large-Scale 3-D Interconnect Extraction W. Chai, D. Jiao, Purdue University, West Lafayette, United StatesWe develop a new H2-based representation of the dense system matrix arising from an integral-equation based analysis of large-scale 3D interconnects. The new H2-representation possesses a minimized rank in both nested cluster bases and coupling matrices for a prescribed accuracy. In addition, it is constructed in linear time. The resultant linear-complexity direct integral equation solver is shown to outperform the state-of-the-art linear-complexity solver in both memory and CPU consumption.

TH3F-6 1500 – 1520Fast Extraction of High-Frequency Parallel Admittance of Through-Silicon-Vias and their Capacitive Coupling-Noise to Active RegionsC. Xu1, R. Suaya2, K. Banerjee1, 1University of California - Santa Barbara, Santa Barbara, United States, 2Mentor Graphics, Inovallee Montbonnot, FranceFrom the analytical expression of TSV’s MOS capacitance and 3D quasi-electrostatic scalar potential Green’s func-tion in layered medium, we introduce an accurate and efficient method to extract high-frequency parallel admit-tance (capacitance and conductance) among Through-Silicon Vias (TSVs). Compared with a full-wave FEM electro-magnetic solver, our method shows great speed-up and good accuracy. Our method is also extended to extract the noise coupling coefficient from TSV to active region.

THURSDAY TECHNICAL SESSIONS 1350 – 1530

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Page 92: IMS2012 Program

IMS TH

UR

SDA

Y SESSIO

NS

IMS

› 89

TH3G: Multi-port Technology for Radio and Radar Applications Thursday 21 June 2012

Time: 1350 – 1530 Room: 511BEChair: Serioja Ovidiu Tatu, INRS-EMT

Co-Chair: Adriana Seban, ITN Linkoping University, Sweden

TH3G-1 1350 – 1400Dynamic Linearization of Diodes for High Speed and Peak Power Detection ApplicationsA. Hasan, M. Helaoui, F. Ghannouchi, University of Calgary, Calgary, CanadaIn this work we show that the characteristics of diode based peak power detectors are dependent on the type of input excitation signal. For accurate linearization, two new approaches have been proposed; one using calibration factor and another using dynam-ic calibration. The proposed approaches improved the accuracy of the diode peak power detector used for measurement validation.

TH3G-2 1400 – 1410LO Leakage in Six-Port Modulators and Demodulators and its Suppression TechniquesJ. Osth, A. Serban, M. Karlsson, S. Gong, Linkoping University, Norrkoping, SwedenIn a six-port demodulator, impedance mismatch at the interface between the six- port correlator and detector diodes generates unwanted LO leakage to the RF port. In a six-port modulator, the use of variable reflection coefficients at specific ports to generate a modulated RF also suffer from LO leakage if there is a static part in the reflection coefficient. How this LO leakage appears in six-port (de)modulators are analyzed together with techniques to suppress the LO leakage to the RF port.

TH3G-3 1410 – 1420Differential Circuit Technique for Six-Port Modulator and DemodulatorA. Serban, M. Karlsson, J. Osth, O. Owais, S. Gong, Linkoping University, Norrkoping, SwedenTargeting the differential RF/microwave circuit design methodology for improved broadband wireless data transmission, a novel differential 7.5 GHz six-port modulator and demodulator pair was designed and manufactured. Measurements show a good 256-QAM modulated signal at 50 Msymbol/s and an accurately 16-QAM demodulated signal at 200 Msymbol/s.

TH3G-4 1420 – 1430A Wide-Range 77 GHz Direction of Arrival Detector with Integrated Dual Six-Port ReceiverG. Vinci, F. Barbon, B. Laemmle, R. Weigel, A. Koelpin, Universität Erlangen-Nürnberg, Erlangen, GermanyThe six-port Direction Of Arrival detector has opened a whole new set of possibilities for novel industrial sensors and radar applica-tions. In this paper the design of an innovative detector system is presented. The proposed device works at 77 GHz and features an integrated Silicon-Germanium (SiGe) dual six-port receiver. The highlights are high accuracy, wide range of angle detection with no ambiguity issues, flexibility, low-complexity and low-cost.

TH3G-5 1430 – 1440Multi-Port Technology for Microwave and Optical CommunicationsI. Molina-Fernandez, A. Moscoso-Martir, J. M. Avila-Ruiz, R. Halir, P. Reyes-Iglesias, J. Oliva-Rubio, A. Ortega-Moñux, Universidad de Málaga, Málaga, SpainIn this work some of the latest advances in multiport technology in microwave and optical frequencies are presented. At micro-waves frequencies, an analog six-port receiver with full UWB band coverage has been designed which makes use of a novel multi-layer slot coupled hybrid. Application of this receiver for phase noise reduction in microwave VCOs has been studied. Six-port based down converters, which are the base of future optical coherent receivers, will be presented and compared.

TH3G-6 1440 – 1450A Single-Chip 15 to 30 GHz Six-Port Demodulator for Multi-Gbps CommunicationsM. Bao, J. Chen, L. Aspemyr, Ericsson AB, Mölndal, SwedenA novel six-port circuit based on lumped passive components was designed and manufactured in a 65 nm CMOS technology to-gether with power detectors, differential amplifiers, and a transformer balun, to build a demodulator. The measured quadrature output signal has an amplitude imbalance less than 2 dB and a phase error within ±5 for a RF frequency from 15 to 30 GHz. More-over, this demodulator chip was tested successfully to detect a 2.5 Gbps QPSK signal modulated on a 15 GHz carrier.

TH3G-7 1450 – 1500Integration of Six-Port Circuit Using MMIC Technology B. Huyart, A. Khy, Telecom ParisTech, Paris, FranceThis paper presents a state of the art of the design of Six or Five Port circuits using MMIC technology. The advantages and drawbacks of these techniques are presented, and the sensitivity of these systems is calculated. The noise factor of a Five Port circuit using power diode detectors is around 36 dB. New structures using mixers may be an alternative to improve the sensitivity.

TH3G-8 1500 – 1520Millimeter-Wave Multi-port Radar Sensor with Integrated Receiver Front-end for Automotive Applica-tionsS. O. Tatu1, B. Boukari1, E. Moldovan1, R. G. Bosisio2, K. Wu2, 1Institut national de la recherche scientifique, Montreal, Canada, 2Ecole Polytechique de Montreal, Montreal, CanadaA 77 GHz prototype of heterodyne millimeter-wave radar sensor suitable for automotive applications is proposed and validated in this paper. A radar test bench is built using standard laboratory equipments with a waveguide transmitter and the integrated prototype receiver. A very good accuracy of relative speed detection (simulations) and range estimation (simulations and measure-ments) is obtained.

TH3G-9 1520 – 1530The Muti-Port Technology for Microwave Sensing ApplicationsK. Haddadi, T. Lasri, IEMN , Villeneuve d’Ascq, FranceThe multi-port technology has gained much consideration from the research community due to a broad range of potential ap-plications, such as network analysis, telecommunications or radar sensors. Since recently, this technology attracts attention for new emerging applications. This paper presents recent developments of multi-port systems dedicated to microwave sensing ap-plications. This includes new experimental demonstrations of microwave and millimeter-wave multi-port near-field microscopes.

TH3H: IMS2012 Special Session - Remembering Roger PollardThursday 21 June 2012

Time: 1350 – 1530 Room: 511CFChair: John Barr, Agilent Technologies (retired), Santa Rosa, United States

TH3H-1: Roger Pollard – Four Decades at the University of Leeds Ian Hunter, Ian Robertson, University of Leeds, Leeds, United Roger joined Leeds in 1969 to read for a BSc degree in Electrical and Electronic Engineering and Leeds was to remain his academic home for the next forty-one years. He graduated with a first class degree in 1972, and went on to postgraduate study. In 1974 he was appointed Lecturer. He was promoted to Senior Lecturer in 1985 and full Professor in 1995. He became Head of the School of Electronic and Electrical Engineering in 1999, and in 2002, Dean of the Faculty of Engineering He was to continue as Dean until his retirement from the University in 2010.

TH3H-2: Prof. Roger Pollard and his PhD Students - Memories and LegacyLuciano Boglione, Naval Research Laboratory, United StatesTeaching and supervising – “advising” in the United States – was a key component of Roger Pollard’s life as an edu-cator at the University of Leeds. All of his PhD students experienced his leadership and support during their years in Leeds before graduating and going back to their lives as professionals, professors or researchers. Prof. Pollard’s PhDs can be found all over the world. The opportunity and the inspiration that Prof. Pollard gave them have deeply affected and changed their lives for the better. The talk will bring back some of the memories that Prof. Pollard’s students treasure and will highlight the legacy of his work as teacher and supervisor.

TH3H- 3: The Gift of Time: A Review of Roger Pollard’s MTT-S ContributionsJK McKinney, Dura Sales of Southern California, Inc., Diamond Bar, United StatesDr. Roger Pollard’s passing on December 3rd, 2011 provided his friends and colleges the opportunity to look back and review his numerous contributions. This paper will dedicate a short amount of time to reviewing the multiple contributions Dr. Pollard made to IEEE Microwave Theory and Techniques Society over his 25 years of service. It will highlight his giving spirit for the greater good of the Society members he endeavored to serve.

TH3H-4:Roger Pollard: A Gentleman, Volunteer, and FriendMary Ward-Callan, IEEE, Piscataway, United StatesRoger Pollard was a prominent British engineer and educator, as well as a long-time volunteer of the IEEE. Roger’s IEEE contributions were diverse, from publications to conferencing, from membership to governance, having a par-ticular soft spot for “Robert’s Rules of Order”. Although Roger has held many volunteer positions within the IEEE, it was his roles in the IEEE Technical Activities, especially in the IEEE MTT Society, that he loved the best. At the time of his death, Roger was the Secretary of IEEE, a Member of the IEEE Board of Directors, and the Past Vice President of Technical Activities. Roger has left a large volunteer gap within the IEEE but a very warm memory of a gracious, passionate, respectful and insightful leader.

TH3H-5:Roger Pollard’s Contributions to ARFTG – the Automatic RF Techniques GroupNick Ridler, National Physical Laboratory, Teddington, United Kingdom One of Roger Pollard’s prolonged technical interests, which featured continuously throughout his career, was in the area of high frequency electrical measurements – ranging from RF and microwave, thru to millimeter-wave and terahertz frequencies. It is therefore not surprising that Roger developed a long and productive association with ARFTG – the Automatic RF Techniques Group. He made many contributions, both formally and informally, to the on-going evolution and successful operation of ARFTG and its activities. This presentation reviews these contribu-tions and the impact they made on ARFTG and, consequently, thru ARFTG, the impact made on the global RF test and measurement community.

TH3H-6:Dr. Roger Pollard – Innovation, Contribution, and Leadership (His Genius with HP/Agilent for over 30 years)Henri Komrij, Agilent Technologies, Santa Rosa, United StatesDr. Roger Pollard started his association with Hewlett-Packard/Agilent Technologies in 1981. For over 30 years, he made innovative contributions to multiple network analyzer products (e.g. HP 8510, PNA-X) and measurement solutions (e.g. calibration, on-wafer measurements, noise figure). Just as important, however, was his leadership. His ability to harmoniously blend top engineering talent in the development of state of the art solutions and men-tor up and coming engineers was exemplary. This presentation will examine the contribution of Dr. Pollard to his friends at HP/Agilent who all miss his passion for people, his enthusiasm and humor, and of course his technical ability.

THURSDAY TECHNICAL SESSIONS 1350 – 1530

Technical Track Key: µwave Field & Circuit Techn. Passive Components Active Components Systems & Applications Emerging Technical Areas General Interest

Page 93: IMS2012 Program

IMS

› 90

CLOSING CEREMONY TALKThe Fourth Age of Wireless and the Internet of Everything

Thursday, 21 June 20121600 - 1730Room 710

Closing Speaker: Thomas H. LeeThe Closing talk will be given by Thomas H. Lee, Professor at Stanford University. Prof. Lee is well known as a prolific writer, a pioneer scholar and an outstanding speaker. He will close the symposium by presenting his vision on the future of key aspects of microwave and internet technology.

Abstract of the talk“Making predictions is hard, particularly about the future”. The patterns of history are rarely discernible until they’re obvious and perhaps irrelevant. Wireless may be an exception, at least in broad outline, for the evolution of wireless has been following a clear pattern that tempts us to extrapolate. Marconi’s station-to-station spark telegraphy gave way to a second age dominated by station-to-people broadcasting, and then to today’s ubiquitous people-to-people cellular communications. Each new age was marked by vast increases in value as it enlarged the circle of interlocutors. Now, these three ages have covered all combinations of “stations” and “people,” so any Fourth Age will have to invite “things” into the mix to provide another stepwise

jump in the number of interlocutors. This talk will describe how the inclusion of multiple billions of objects, coupled with a seemingly insatiable demand for ever-higher data rates, will stress an infrastructure built for the Third Age. Overcoming the challenges of the coming Fourth Age of Wireless to create the Internet of Everything represents a huge opportunity for RF engineers. History is not done.

Biography of the SpeakerThomas H. Lee received the S.B., S.M. and Sc.D. degrees in electrical engineering, all from the Massachusetts Institute of Technology in 1983, 1985, and 1990, respectively. His graduate work at MIT resulted in the world’s first RF CMOS IC in 1989.

Since 1994, he has been a Professor of Electrical Engineering at Stanford University, where his research focus is on silicon RFIC technology. He has received several “Best Paper” awards, at ISSCC and CICC, and is a Packard Foundation Fellowship recipient.

He served for a decade as an IEEE Distinguished Lecturer of the Solid-State Circuits Society, and has been a Distinguished Lecturer of the Microwave Society as well. He holds 57 U.S. patents and authored “The Design of CMOS Radio-Frequency Integrated Circuits” and “Planar Microwave Engineering” and co-authored four additional books on RF circuit design. He also cofounded the memory company Matrix Semiconductor (acquired by Sandisk in 2006) and Ayla Networks. He is currently on leave from Stanford to serve as Director of the Microsystems Technology Office at DARPA.

In 2011, Dr. Lee was awarded the Ho-Am Prize in Engineering (colloquially known as the “Korean Nobel”) for his RF CMOS work.

Page 94: IMS2012 Program

› 91

RFIC

WELCOME TO THE 2012 IEEE RADIO FREQUENCY INTEGRATED CIRCUITS (RFIC) SYMPOSIUM

Welcome to the 2012 IEEE Radio Frequency Integrated Circuits (RFIC) Symposium (www.RFIC2012.org) which takes place in Montréal, Canada from 17-19 June 2012. Our Symposium, held in conjunction with the IEEE MTT S International Microwave Symposium, opens Microwave Week 2012, the largest world-wide RF/Microwave meeting of the year.

The 2012 RFIC Symposium continues to build upon its heritage as one of the foremost IEEE technical conferences, increasing each year its impact and reputation of excellence. By bringing focus to the technical accomplishments in RF devices, circuits, and systems, the RFIC Symposium has become essential to both the academic and the industrial communities. This year’s technical program will cover a broad spectrum of exciting topics including cellular and wireless-connectivity system ICs, broadband wireless communications, digitally enhanced RF circuits, software-defined radio and reconfigurable front-ends, ultra-low-power frontends, silicon millimeter-wave ICs, integrated power amplifier design, frequency synthesis, RF device technology, and modeling and characterization.

The 2012 RFIC Symposium will start on Sunday, 17 June with a full lineup of half-day and full-day workshops. Workshops have been very popular at IEEE RFIC in the past, providing the opportunity for attendees to discuss new technical advances and share their design experiences. Following the success of the RFIC 2011 workshop program, we are pleased to announce a carefully selected, colorful and interesting workshop program for RFIC 2012. The attendees shall expect thirteen RFIC workshops and one joint RFIC-IMS workshop for 2012, which cover a broad range of RF topics including: mm-Wave Silicon PAs; Fast-Settling RF Frequency Synthesis; Wireline Transceivers; RF at the Nanoscale; RF Spectrum Sensing and Signal Detection, Mobile and Wireless Front End Modules; RF and mmW PAs including Linearization, ICs for Biomedical Applications; Digital Transmitters; RF Noise Analysis; Short-Range Near-Field Communications; Active and Passive CMOS Mixers, RF Front-End and Transceiver Techniques, Multi-Standard Radio Coexistence, and finally 3D Integrated Circuits. Our committed workshop speakers are design experts from around the world including professors and practicing engineers.

The conference will hold a plenary session on Sunday evening with keynote addresses given by two world-renowned leaders in the RFIC design community. They will share their views and insights on the direction and challenges that RFIC designers are facing. The first speaker is Professor Thomas Lee of Stanford University, one of the pioneers of RF CMOS research for wireless communications. He will discuss some of the latest research in “Terahertz Electronics: The Last Frontier”. The second speaker is Robert Gilmore, VP of Engineering at Qualcomm. He will bring perspective and knowledge from one of the leading wireless suppliers in the industry. The title of his talk will be “Towards the 5G Smartphone: Greater System Capacity, More Bands, Faster Data Rates, Advanced Applications and Longer Battery Life.” In addition to the keynote addresses, the conference holds a student paper competition to encourage the publication of innovative research from university students. Awards to the three best student papers will be presented in the plenary session to acknowledge their contributions. The highly anticipated RFIC Reception will follow immediately after the plenary session, providing a relaxing time for all to mingle with old friends and catch up on the latest news.

Page 95: IMS2012 Program

› 92

RFIC

On Monday and Tuesday, the conference will feature lunch-time panel sessions that traditionally draw strong debate between panel members as well as stimulating interaction between attendees and panelists. The Monday panel session is entitled “THz Integrated Circuits: Do future markets support highly integrated silicon-based IC development?”, while the Tuesday panel session is entitled “RF scaling: Can it keep up with digital CMOS? Should it?” Be sure to attend these lively and entertaining forums.

Technical papers will be presented all day Monday, and Tuesday morning. There will be a total of 124 papers presented in 23 technical sessions. The technical program will conclude with the Interactive Forum session on Tuesday afternoon. This session features poster presentations giving attendees the chance to speak directly with authors regarding their work.

The RFIC organization is thankful to the IMS2012 team, whose support and hard work make the success of this conference possible. In addition, we are also grateful to all the technical contributors to the RFIC Symposium. We appreciate your support of the 2012 conference which makes our event such a vibrant gathering for the wireless industry!

We look forward to seeing you in Montréal!

Albert JerngGeneral Chairman2012 RFIC SymposiumMediaTek

Chris Rudell TPC Chair2012 RFIC SymposiumUniversity of Washington

Larry KushnerTPC Co-Chair2012 RFIC SymposiumBAE Systems

Page 96: IMS2012 Program

› 93

RFIC

RFIC PLENARY SESSIONSunday, 17 June 2012

1740 - 1900Room 710A

Terahertz Electronics: The Last FrontierThomas H. Lee

Abstract: Semiconductor technology continues to scale along predicted trajectories, delivering transistors with fmax values in the THz range. Unfortunately, a high fmax is not quite sufficient by itself. An inverse-quartic rolloff in specific output power, coupled with a dramatically increasing atmospheric attenuation as frequencies increase, create degraded link margins that frustrate exploitation of the valuable spectrum from roughly 300GHz to 3THz. This talk will describe compelling uses of this spectrum, including spectroscopy, imaging and XWB (extreme wideband) wireless communications, and will discuss how transistor technology limitations might be overcome by architectural innovations, as well as by new device structures. Exploitation of the terahertz realm represents perhaps the greatest challenge in the history of RF technology, and arguably represents the greatest opportunity.

Bio: Thomas H. Lee has been at Stanford since 1994, where his research focus is on silicon RF IC technology. His graduate work at MIT resulted in the world’s first RF CMOS IC in 1989. He has received several “Best Paper” awards, at ISSCC and CICC, and is a Packard Foundation Fellowship recipient.

He served for a decade as an IEEE Distinguished Lecturer of the Solid-State Circuits Society, and has been a DL of the Microwave Society as well. He holds 57 U.S. patents and authored “The Design of CMOS Radio-Frequency Integrated Circuits” and “Planar Microwave Engineering”, and co-authored four additional books on RF circuit design. He also cofounded memory company Matrix Semiconductor (acquired by Sandisk in 2006) and Ayla Networks. He is currently on leave from Stanford to serve as Director of the Microsystems Technology Office at DARPA.

Towards the 5G Smartphone: Greater System Capacity, More Bands, Faster Data Rates, Advanced Applications and Longer Battery LifeRobert Gilmore

During the last few years, there has been an explosive increase in demand for Smartphones with ever increasing capabilities, features and performance. Entertainment features, and mobile connectivity anywhere, anytime has become an accepted norm. There is a very competitive landscape driven by insatiable consumer demands, Operating System wars and chip level performance enhancements. There are numerous hardware challenges associated with this demand.

Power consumption is perhaps foremost. There are ever increasing processing power requirements and an increasing number of compute cores. Many of the new capabilities require that some circuitry be always on and always aware. Higher data rates demand wider bandwidth baseband circuits and faster DSP engines. Peak-to-average ratios of the transmitted waveforms increase as well, which increases the demand for more linear PA’s and efficient Tx architectures. Channel aggregation and MIMO increase the number of simultaneously processed channels and demand power-efficient modem design.

Increased system capacity and global demand require an increasing number of frequency bands. This leads to a proportional increase in the number of front-end filters and overall RF front-end size and cost. Possibilities include a front end where several filters can be replaced by one tunable filter or by a wideband isolator such as hybrid transformer. This front-end will also require new tunable or broadband PA and LNA designs.

Achieving the system capacity required in future years will require a dense deployment of femto cells which will distribute traffic close to the user terminals. There will be an increased use of higher frequencies which will require greater than 5 GHz design, modeling, packaging and test. Finally, we will briefly touch upon semiconductor process, packaging and thermal issues.

Bio: Rob Gilmore serves as Vice President, ASIC Engineering in QUALCOMM’s Corporate Research and Development having re-joined QUALCOMM in March, 2008. Mr. Gilmore has more than 30 years experience in engineering, communication systems design and development. Mr. Gilmore joined QUALCOMM as the ninth employee in October, 1985. His experience includes Senior Vice President of Hardware Engineering where he was responsible for the OmniTRACS production system, early testing of the CDMA cellular system, the Globalstar ground segment including gateways, phones and system engineering, and served as Senior Vice President of Engineering for the Consumer Products Division. From 2000-2008 Rob worked at Mobilian Corporation, Via Technology and Nextwave Inc. He worked at Linkabit Corporation from 1978 until 1984.

Page 97: IMS2012 Program

› 94

RFIC

STEERING COMMITTEEAlbert Jerng, MediaTek, General Chair

Jacques C. Rudell, Univ. of Washington, TPC Chair

Larry Kushner, BAE Systems, TPC Co-Chair

Yuhua Cheng, SHRIME Peking Univ., Finance Chair

Albert Wang, UC Riverside., Workshops Chair

Kevin Kobayashi, RFMD, Workshops Co-Chair

Brian Floyd, NC State University, Publications Chair

Georg Boeck, TU Berlin, Publicity Chair

Domine Leenaerts, NXP Semiconductors, Panel Sessions Chair

Bertan Bakkaloglu, Arizona State Univ., Transactions/Guest Editor

Srenik Mehta, Qualcomm, Invited Papers Chair

Freek van Straten, NXP Semiconductors, Student Papers Chair

Ian Gresham, NXP Semiconductors, IMS RFIC Liaison

Noriharu Suematsu, Tohoku Univ., Asia Pacific Liaisons

Takao Inoue, National Instruments, Website Chair

Tina Quach, Conference Coordinator

ADVISORY BOARDNatalino Camilleri, RFWDS

Reynold Kagiwada, NGAS

Sayfe Kiaei, Arizona State University

Joseph Staudinger, Freescale Semiconductor Inc.

Stefan Heinen, RWTH Aachen University

Luciano Boglione, NRL

EXECUTIVE COMMITTEEJenshan Lin, University of Florida

Yann Deval, University of Bordeaux, IMS Lab

David Ngo, RFMD

Albert Jerng, MediaTek

RFIC 2012 TECHNICAL PROGRAM COMMITTEEAli Afsahi, Broadcom Corp.

Walid Ali-Ahmad, MediaTek Inc.

Bertan Bakkaloglu, Arizona State University

Edward Balboni, Analog Devices

Jean-Baptiste Begueret, University of Bor-deaux, IMS Lab

Didier Belot, ST Microelectronics

Paul Blount, Custom MMIC Design

Georg Boeck, Berlin Institute of Technology

Luciano Boglione, NRL

Pierre Busson, ST Microelectronics

Natalino Camilleri, RFWDS

Sudipto Chakraborty, Texas Instruments

Richard Chan, BAE Systems

Glenn Chang, MaxLinear

Jinghong Chen, Southern Methodist University

Nick Cheng, Skyworks Solutions

Yuhua Cheng, Shrime Peking University

Guang-Kaai Dehng, MediaTek Inc.

Yann Deval, University of Bordeaux, IMS Lab

Oren Eliezer, XtendWave

Ayman Fayed, Iowa State University

Brian Floyd, NC State University

Eric Fogleman, MaxLinear

Ranjit Gharpurey, University of Texas, Austin

Aditya Gupta, Northrop Grumman

David Halchin, Triquint Semiconductor

Timothy Hancock, MIT Lincoln Lab

Andre Hanke, Intel Corp.

Hossein Hashemi, USC

Gary Hau, Anadigics

Stefan Heinen, RWTH Aachen University

Mona Hella, Rensellaer Polytechnic Institute

Frank Henkel, IMST GmbH

Chun-Ming Hsu, IBM

Tian-Wei Huang, National Taiwan University

Gernot Hueber, NXP Semiconductors

Nobuyuki Itoh, Okayama Prefectural University

Waleed Khalil, Ohio State University

Jaber Khoja, Qualcomm

Sayfe Kiaei, Arizona State University

Bumman Kim, Pohang University of Science and Technology

Eric Klumperink, University of Twente

Kevin Kobayashi, RFMD

Youngwoo Kwon, Seoul National University

Chang-Ho Lee, Qualcomm

Fred Lee, Fairchild Semiconductor

Tzung-Yin Lee, Skyworks Solutions

Domine Leenaerts, NXP Semiconductor

Salvatore Levantino, Politecnico di Milano

Donald Y.C. Lie, Texas Tech. University

Fujiang Lin, University of Science and Technol-ogy of China

Jenshan Lin, University of Florida

Li Lin, Marvell Semiconductor

Danilo Manstretta, University of Pavia

Srenik Mehta, Qualcomm

Pedram Mohseni, Case Western

Jyoti Mondal, Northrop Grumman

Arun Natarajan, IBM

Kenjiro Nishikawa, Kagoshima University

Jeyanandh Paramesh, Carnegie Mellon University

Stefano Pellerano, Intel Corp.

Sanjay Raman, Virginia Tech

Madhukar Reddy, MaxLinear

Bill Redman-White, University of Southampton

Francis Rotella, Peregrine Semiconductor

Derek Shaeffer, InvenSense, Inc.

Osama Shana’a, MediaTek, Inc.

Eddie Spears, RFMD

Bogdan Staszewski, Delft University of Technology

Joseph Staudinger, Freescale Semiconductor Inc.

Bob Stengel, Motorola

Freek van Straten, NXP Semiconductors

Noriharu Suematsu, Tohoku University

Julian Tham, Broadcom Corp.

Mark Tiebout, Infineon Technologies

Leon Van den Oever, Radio Semiconductor Corporation

Jeffrey Walling, Rutgers University

Albert Wang, University of California, Riverside

Hua Wang, Georgia Institute of Technology

Yanjie Wang, Intel Corp.

Haolu Xie, Fujitsu Microelectronic

Chen Yang, Shanghai Institute of Microsystem and Information

Patrick Yue, The Hong Kong Univ. of Science and Technology

Gary Zhang, Skyworks Solution

Page 98: IMS2012 Program

› 95

RFIC

RFIC2012 PANEL SESSIONS

Monday 1200 – 1320 Room 516 ABCTHz Integrated Circuits: Do Future Markets Support Highly Integrated Silicon-Based IC Development?

Panel Organizers: Mona Hella, Rensselaer Polytechnic Institute Sanjay Raman, Virginia Tech/DARPA Sayfe Kiaei, Arizona State University

Moderators: Sanjay Raman, Virginia Tech Mona Hella, Rensselaer Polytechnic Institute

Panelists:1. Bobby Brar, President, Teledyne Scientific2. Tom Crowe, President, Virginia Diode Inc.3. Baher Haroun, Fellow, Texas Instruments4. Gabriel Rebeiz, Professor, UCSD5. Albert Redo-Sanchez, Dir. of Business Development, Zomega THz Corp.6. Peter Siegel, Senior Research Scientist, JPL

Abstract: The THz spectral range has many scientific uses for investigating the fundamental excitations in matter with emerging opportunities in the medical, security, and communications fields that could launch terahertz technology into the public domain. Semiconductor technology is a key to many of these developments, with recent reported InP HBTs of fT ~ 0.8THz, InP HEMTs with fmax > 1THz and SiGe HBTs with fmax of 500GHz. At the circuit and sub-system levels, we have seen MMICS for applications up to 0.82THz. While significant issues related with the output power level and tunability of integrated sub-THz sources as well as detector/receiver noise remain to be resolved, low-cost THz integrated systems appear to be realizable. The remaining question is “what are the killer applications that will drive the IC market within the THz range (above 300GHz)?”

Our panel of distinguished experts from industry and academia will cover the various aspects of THz systems, including devices, system integration, applications and standardization, representing the views of different market sectors (commercial, defense and startups), and will deliberate this interesting topic with the audience participation.

Tuesday 1200 – 1320 Room 516 ABCRF scaling: Can it Keep up with Digital CMOS? Should It?

Panel Organizers and Moderators: Jeffrey Walling, Rutgers University Oren Eliezer, Xtendwave

Panelists:1. David Allstot, Professor, Univ. of Washington, USA2. Dominique Brunel, Fellow, ST-Ericsson, France3. Jonathan Jensen, Principal Engineer RF/Analog Circuits, Intel, USA4. Li Lin, Director RF IC Design, Marvell Semiconductor Inc.5. George Chien, Director RF Circuit Design, MediaTek, Taiwan6. Raf Roovers, Dept. Head RF Int. Sol., NXP Semiconductors7. Robert Staszewski, Associate Professor, TU Delft, Netherlands8. Masoud Zargari, Senior Director of Engineering, Qualcomm, USA

Abstract: The benefits of continued scaling of CMOS transistors are well appreciated within the digital design community, allowing ever increasing integration. The resultant increase in speed and performance has enabled RF-CMOS to evolve to the point where single-chip RF-SoC integration is possible. However, the drawbacks to the continued scaling for RF functions are plentiful. Device scaling comes at the cost of decreases in gain and in SNR, and in increased power consumption due to leakage. In recent years, RF CMOS designers have been able to compensate for these drawbacks with adept changes in architectures and design topologies as CMOS has roared into the nanotechnology realm. Most recently, various cognitive radio (CR) applications have emerged for which integration is likely the key to their widespread adoption. These applications typically require wide bandwidth, high dynamic-range, and high output power, which cannot be easily accomplished simultaneously. Integration in scaled CMOS also includes challenges associated with the linearity and efficiency of the power amplifier. The panelists will present their ideas and opinions and engage in debate with the audience’s participation.

Page 99: IMS2012 Program

RFIC

MO

ND

AY

SESSION

S

› 96

RFIC

RMO1B: Advances in LNA DesignRoom: 511BEChair: Domine Leenaerts, NXP SemiconductorsCo-Chair: Danilo Manstretta, University of Pavia

RMO1C: Spectrum Sensing and Cognitive Radio ReceiversRoom: 511CFChair: Walid Ali-Ahmad, MediaTekCo-Chair: Glenn Chang, Maxlinear

RMO1E: mm-Wave Power AmplifiersRoom: 510BDChair: Jyoti Mondal, Northrup GrummanCo-Chair: Leon van den Oever, Radio Semicon-ductor Corp.

0800 – 0820

RMO1B-1: A 1GHz 1.3dB NF +13dBm Out-put P1dB SOI CMOS Low Noise Amplifier for SAW-less ReceiversB. Kim, D. Im, J. Choi, K. Lee, KAIST, Korea

RMO1C-1: A 100MHz-2GHz 12.5x sub-Nyquist Rate Receiver in 90nm CMOS J. Yoo1, S. R. Becker2, M. Loh1, M. Monge1, E. Candes3, A. Emami-Neyestanak1, 1California Institute of Technology, 2Paris 6 University 3Stanford University

RMO1E-1: Optimized Power Combin-ing Technique to Design a 20dB Gain, 13.5dBm OCP1 60GHz Power Amplifier Using 65nm CMOS TechnologyS. Aloui1, Y. Luque1, N. Demirel1, B. Leite1, R. Plana2, D. Belot3, E. Kerherve1, 1University of Bordeaux, France, 2Laas-CNRS, France, 3STMi-croelectronics

0820 – 0840

RMO1B-2: A Wideband IM3 Cancellation Technique Using Negative Impedance for LNAs with Cascode TopologyW. Cheng, A. Annema, G. J. Wienk, B. Nauta, University of Twente, Netherlands

RMO1C-2: A CMOS Spectrum Analyzer Front-end for Cognitive Radio Achieving +25dBm IIP3 and -169dBm/Hz DANLM. S. Oude Alink, E. A. Klumperink, A. B. Kok-keler, W. Cheng, Z. Ru, A. Ghaffari, G. J. Wienk, B. Nauta, University of Twente, Netherlands

RMO1E-2: A 34% PAE, 18.6dBm 42-45GHz Stacked Power Amplifier in 45nm SOI CMOSA. Agah1, H. Dabag1, B. Hanafi1, P. M. Asbeck1, L. E. Larson2, J. F. Buckwalter1, 1University of California, San Diego 2Brown University

0840 – 0900

RMO1B-3: A 1.2-6.6GHz LNA Using Transformer Feedback for Wideband Input Matching and Noise Cancellation in 0.13μm CMOSH. Leung, H. C. Luong, The Hong Kong University of Science and Technology, Hong Kong

RMO1C-3: CRAFT: A 5GS/s 12.2pJ/conv. Analog Domain FFT for a Software Defined Radio Front-End in 65nm CMOSB. Sadhu, M. Sturm, R. Harjani, University of Minnesota

RMO1E-3: The Multi-mode 60-GHz Power Amplifier with a Novel Power Combina-tion TechniqueJ. Yeh1, J. Tsai2, T. Huang1, 1National Taiwan University, Taipei, Taiwan, 2National Taiwan Normal University, Taiwan

0900 – 0920

RMO1B-4: A CMOS Highly Linear Low-Noise Amplifier for Digital TV ApplicationsJ. Bae1, S. Kim1, I. Lee1, J. Cartwright2, S. Lee1, 1Korea Advanced Institute of Science and Tech-nology, Korea, 2Virginia Polytech Univerisity

RMO1C-4: A 12mW, 0.7-3.2GHz Receiver with Resonant Multi-phase LO and Cur-rent Reuse Harmonic Rejection BasebandC. Andrews, L. Diamente, B. Johnson, A. Molnar, Cornell University

RMO1E-4: A 60GHz Class-E Power Amplifier with PAE 25% in 32nm SOI CMOS O. T. Ogunnika1, A. Valdes-Garcia2, 1Massachu-setts Institute of Technology, 2IBM T. J. Watson Research Center

0920 – 0940

RMO1B-5: A 30GHz 2dB NF Low Noise Amplifier for Ka-band ApplicationsQ. Ma1, D. Leenaerts2, R. Mahmoudi1, 1Eind-hoven University of Technology, Netherlands, 2NXP Semiconductors

RMO1C-5: Transformer-Based Current-Gain-Boosted Technique for Dual-Band and Wide-Band Receiver Front-EndsA. W. Ng, H. C. Luong, Hong Kong University of Science and Technology, Hong Kong

RMO1E-5: A W-band Power Amplifier in 65-nm CMOS with 27GHz Bandwidth and 14.8dBm Saturated Output PowerK. Tsai, J. Kuo, H. Wang, National Taiwan University, Taiwan

RMO2A: Millimeter Wave Phase Noise Reduction TechniquesRoom: 511ADChair: Fred Lee, Fairchild SemiconductorCo-Chair: Timothy Hancock, MIT Lincoln Laboratory

RMO2C: Advanced SDR Front-End TechniquesRoom: 511CFChair: Oren Eliezer, XtendwaveCo-Chair: Julian Tham, Broadcom

RMO2D: W-band Circuits and Systems Room: 510ACChair: Paul Blount, Custom MMIC Design ServicesCo-Chair: Brian Floyd, North Carolina State Univ.

RMO2E: Advanced Transmitters and Power AmplifiersRoom: 510BDChair: Donald Lie, Texas Tech UniversityCo-Chair: Joe Staudinger, Freescale, Inc.

1010 – 1030

RMO2A-1: A 21.8-27.5GHz PLL in 32nm SOI Using Gm Linearization to Achieve -130dBc/Hz Phase Noise at 10MHz Offset from a 22GHz CarrierB. Sadhu1, M. A. Ferriss2, J. O. Plouchart2, A. S. Natarajan2, A. V. Rylyakov2, A. Valdes-Garcia2, B. D. Parker2, S. Reynolds2, A. Babakhani4, S. Yaldiz3, L. Pileggi3, R. Harjani1, J. Tierno2, D. Friedman2, 1Univ. of Minnesota, 2IBM, 3Carnegie Mellon Univ. 4Rice Univ.

RMO2C-1: A 1.8GHz Amplifier with 39dB Frequency-Independent Smart Blocker SuppressionE. Janssen, D. Milosevic, P. Baltus, Eindhoven University of Technology, Netherlands

RMO2D-1: High-power High-Linearity SiGe Based E-BAND Transceiver Chipset for Broadband CommunicationO. Katz1, R. Ben-Yishay1, R. Carmon1, B. Shein-man1, F. Szenher2, D. Papae2, D. Elad1, 1IBM - Haifa Research Labs, Israel, 2IBM, East Fishkill

RMO2E-1: An 18dBm Transmitter Front-end with 29% PAE for 24GHz FMCW Radar ApplicationsW. Hung, H. Chen, S. Chou, L. Lu, National Taiwan University, Taiwan

1030 – 1050

RMO2A-2: A Low-Phase-Noise 61 GHz Push-Push VCO with Divider Chain and Buffer in SiGe BiCMOS for 122 GHz ISM ApplicationsY. Sun, C. J. Scheytt, IHP, Frankfurt Oder, Germany

RMO2C-2: A Tunable Differential Duplexer in 90nm CMOSS. H. Abdelhalem1, P. S. Gudem2, L. E. Larson3, 1University of California at San Diego, 2Qual-comm Inc., 3Brown University

RMO2D-2: Three-Channel 77GHz Automo-tive Radar Transmitter in Plastic PackageH. Knapp1, M. Treml2, A. Schinko2, E. Kolm-hofer2, S. Matzinger2, G. Strasser2, R. Lachner1, L. Maurer2, J. Minichshofer2, 1Infineon Technolo-gies, 2DICE, Austria

RMO2E-2: Integrated S-Band Transmitter with On-chip DC-DC Converter and Control LoopH. Brouzes1, S. Geurts1, P. de Hek2, G. van der Bent2, F. van Vliet2, 1Thales Nederland, Netherlands, 2TNO, Netherlands

1050 – 1110

RMO2A-3: An Ultra-Wideband D-Band Signal Source Chip Using a Fundamental VCO with Frequency Doubler in a SiGe Bipolar TechnologyC. Bredendiek1, N. Pohl1, K. Aufinger2, A. M. Bilgic3, 1Ruhr-Universitaet Bochum, Germany, 2Infineon Technologies AG, 3KROHNE Messtechnik

RMO2C-3: A 0.3-3GHz Reconfigurable Digital Transmitter with Multi-bit Enve-lope Delta-sigma Modulator Using Phase Modulated Carrier Clock for Cognitive Wireless Sensor NetworksS. Hori, K. Kunihiro, M. Hayakawa, M. Fukaishi, NEC Corporation, Japan

RMO2D-3: A 70-100GHz Direct-Conversion Transmitter and Receiver Phased Array Chipset in 0.18μm SiGe BiCMOS TechnologyS. Shahramian, Y. Baeyens, Y. Chen, Alcatel-Lucent

RMO2E-3: A Long-Range, Fully-Integrated, Regulator-less CMOS Power Amplifier for Wireless Sensor CommunicationsW. Wesson, V. Bhagavatula, K. W. Pang, S. Shin, P. Yang, J. C. Rudell, University of Washington

1110 – 1130

RMO2A-4: 125 to 181GHz Fundamental-Wave VCO Chips in SiGe TechnologyM. Jahn1, K. Aufinger2, T. Meister2, A. Stelzer3, 1Johannes Kepler University, Austria, 2Infineon Technologies AG, 3Johannes Kepler University, Austria

RMO2C-4: An RFDAC Based Reconfigurable Multistandard Transmitter in 65nm CMOSB. Mohr1, N. Zimmermann1, B. T. Thiel1, J. H. Mueller1, Y. Wang1, Y. Zhang1, F. Lemke3, R. Leys3, S. Schenk3, U. Bruening3, R. Negra1, S. Heinen1, 1RWTH Aachen University, Germany, 2University of Heidelberg, Germany

RMO2D-4: A 76-84 GHz 16-Element Phased Array Receiver with a Chip-Level Built-In-Self-Test SystemS. Kim, O. Inac, C. Kim, G. M. Gabriel, University of California, San Diego

RMO2E-4: A Low-power 20Gb/s Transmit-ter in 65nm CMOS TechnologyM. Honarvar Nazari, A. Emami-Neyestanak, California Institute of Technology

1130 – 1150

RMO2A-5: High-resolution 60-GHz DCOs with Reconfigurable Distributed Metal Capacitors in Passive ResonatorsW. Wu1, J. R. Long1, R. B. Staszewski1, J. J. Pek-arik2, 1Electronics Research Laboratory/DIMES, Netherlands, 2IBM Microelectronics

RMO2D-5: A CMOS Centric 77GHz Automo-tive Radar ArchitectureC. Kim1, P. Park1, D. Kim1, K. Park1, M. Park1, M. Cho2, S. Lee2, J. Kim2, Y. Eo2, J. Park3, D. Baek3, J. Oh4, S. Hong4, H. Yu1, 1ETRI, Korea, 2Kwangwoon University, Korea 3Chung-Ang University, Korea, 4KAIST, Korea

MONDAY TECHNICAL SESSIONS 0800 – 1150

Page 100: IMS2012 Program

RFIC

MO

ND

AY

SESSION

S

› 97

RFIC

RMO3B: RF Front-End Building BlocksRoom: 511BEChair: Osama Shana’a, MediaTekCo-Chair: Kenjiro Nishikawa, Kagoshima University

RMO3C: Advanced Frequency Synthesis: Phase Locked LoopsRoom: 511CFChair: Stefano Pellerano, Intel Corp.Co-Chair: Yann Deval, University of Bordeaux

RMO3D: Terahertz Technology Room: 510ACChair: C. Patrick Yue, University of California, Santa BarbaraCo-Chair: Georg Boeck, Berlin University of Technology

RMO3E: Multiband and Reconfigurable Power AmplifiersRoom: 510BDChair: Jeffrey S. Walling, Rutgers UniversityCo-Chair: Nick Cheng, Skyworks Solutions, Inc.

RMO3B-1: A Linear CMOS SOI SP14T Antenna Switch For Cellular ApplicationsQ. Chaudhry, R. Bayruns, B. Arnold, Anadigics Inc.

RMO3C-1: A 90nm CMOS 5GHz Ring Oscil-lator PLL with Delay-Discriminator Based Active Phase Noise Cancellation B. Bakkaloglu, S. Kiaei, S. Min, T. Copani, Arizona State University

RMO3D-1: A 245GHz Transmitter in SiGe TechnologyK. Schmalz, J. Borngräber, B. Heinemann, H. Rücker, J. C. Scheytt, IHP GmbH, Germany

RMO3E-1: Concurrent L- and S-Band Class-E Power Amplifier in 65nm CMOSR. Zhang, M. Acar, M. Apostolidou, M. P. van der Heijden, D. M. Leenaerts, NXP Semiconductors, Netherlands

1350 – 1410

RMO3B-2: Monolithically Integrated High Performance Digital Variable Gain AmplifiersY. Zhao, B. Hou, S. Zhang, Analog Devices

RMO3C-2: A Wideband Fractional-N PLL with Suppressed Charge-Pump Noise and Automatic Loop Filter CalibrationS. Levantino, D. Tasca, G. Marzin, M. Zanuso, C. Samori, A. L. Lacaita, Politecnico di Milano, Italy

RMO3D-2: A 108-112GHz 4x4 Wafer-Scale Phased Array Transmitter with High-Efficiency On-Chip AntennasW. Shin1, O. Inac1, Y. Ou2, B. Ku1, G. M. Rebeiz1, 1University of California, San Diego, 2Qualcomm Inc.

RMO3E-2: A Fully-Integrated Self-Healing Power AmplifierS. M. Bowers, K. Sengupta, K. Dasgupta, A. Hajimiri, California Institute of Technology

1410 – 1430

RMO3B-3: A Harmonic-Rejection Mixer with Improved Design Algorithm for Broadband TV TunersH. Zhang, T. Gao, S. Tan, O. Shana’a, MediaTek

RMO3C-3: A 2.74-5.37GHz Boosted-Gain Type-I PLL with 15% Loop Filter AreaY. Sun1, J. Li², Z. Zhang³, M. Wang³, N. Xu³, H. Lv³, W. Rhee³, Y. Li³, Z. Wang³, 1Analog Devices, ²University of California, San Diego, ³Tsinghua University, China

RMO3D-3: A 480GHz Passive Frequency Doubler in 65nm Bulk CMOS with 0.23mW Output PowerR. Han, E. Afshari, Cornell University

RMO3E-3: A Highly Integrated Dual-band SiGe Power Amplifier that Enables 256 QAM 802.11ac WLAN Radio Front-End Designs C. P. Huang, P. Antognetti, L. Lam, A. Qua-glietta, M. Doherty, W. Vaillancourt , Skyworks Solutions

1430 – 1450

RMO3B-4: A Transformer-Feedback Based Wideband IF Amplifier and Mixer for a Heterodyne 60GHz receiver in 40nm CMOSV. Bhagavatula1, M. Boers2, J. C. Rudell1, 1Uni-versity of Washington, 2Broadcom Corporation

RMO3C-4: A Fully Integrated 1.7-2.5GHz 1mW Fractional-N PLL for WBAN and WSN applicationsM. Vidojkovic1, Y. Liu1, X. Huang1, K. Imamura2, G. Dolmans1, H. de Groot1, 1Holst Centre/Imec, Netherlands, 2Panasonic

RMO3D-4: A Wideband Gain-Boosting 8mW LNA with 23dB Gain and 4dB NF in 65nm CMOS Process for 60 GHz ApplicationsE. Cohen1, O. Degani1, D. Ritter2, 1Intel, Haifa, Israel, 2Technion, Haifa, Israel

RMO3E-4: A 3bit, 2Watt, Digital-Analog Gallium Nitride Power Amplifier for 64QAM Bandwidth Efficient Modulation with 25% Power SavingsM. K. Watanabe, T. R. LaRocca, Northrop Grum-man Aerospace Systems

1450 – 1510

RMO3C-5: An Inductorless Injection-Locked PLL with 1/2- and 1/4-Integral Subharmonic Locking in 90nm CMOSS. Lee, S. Ikeda, H. Ito, S. Tanoi, N. Ishihara, K. Masu, Tokyo Institute of Technology

RMO3D-5: A Three-Stage Cascaded Distributed Amplifier with GBW Exceed-ing 1.5THzA. Arbabian, A. M. Niknejad, University of California, Berkeley

RMO3E-5: A Class-G Dual-Supply Switched-Capacitor Power Amplifier in 65nm CMOSS. Yoo1, B. Jann2, O. Degani3, J. C. Rudell1, R. Sadhwani2, J. S. Walling4, D. J. Allstot1, 1University of Washington, 2Intel Corp., Hillsboro, 3Intel Corp., Israel, 4Rutgers University

1510 – 1530

RMO4A: Low-Power RF CircuitsRoom: 511ADChair: Gernot Hueber, NXP SemiconductorsCo-Chair: Pierre Busson, ST Microelectronics

RMO4B: Advances in CMOS ReceiversRoom: 511BEChair: Ed BalboniAnalog DevicesJeyanandh ParameshCarnegie Mellon University

RMO4E: Power Amplifiers for WirelessRoom: 510BDChair: Gary Zhang, Skyworks Solutions, Inc.Co-Chair: Gary Hau, Anadigics Inc.

RMO4A-1: A 13.56Mbps PSK Receiver for 13.56MHz RFID ApplicationsR. C. van de Beek1, M. Ciacci1, G. Al-Kadi1, P. Kompan2, M. Stark2, 1NXP Semiconductors, Netherlands, 2NXP Semiconductors, Austria

RMO4B-1: A 2.4GHz MEMS based Sub-Sampling Receiver Front End Employing Low Power Channel Selection Filtering at RFA. Heragu, D. Ruffieux, C. Enz, Csem SA, Jaquet Droz 7, Switzerland

RMO4E-1: Wideband Envelope Tracking Power Amplifier for LTE ApplicationD. Kim1, D. Kang1, J. Kim1, Y. Cho2, B. Kim1, 1Pohang University of Science and Technology, 2WCU, Korea

1600 – 1620

RMO4A-2: A Low-Cost, Low-Power UHF RFID Reader Transceiver for Mobile ApplicationsQ. Peng, C. Zhang, Y. Song, Z. Wang, Z. Wang, Tsinghua University

RMO4B-2: A 42mW Wideband Baseband Receiver Section with Beamforming Func-tionality for 60GHz Applications in 40nm Low-Power CMOSV. Szortyka1, K. Raczkowski1, M. Kuijk2, P. Wambacq1, 1Imec, Belgium, 2Vrije Universiteit Brussel, Belgium

RMO4E-2: A WCDMA 41% Power Efficiency Direct DC Coupled Hybrid CMOS/GaAs Power Amplifier with Pre-distortion Linearization D. Leipold1, W. Allen2, G. Hau2, P. Sheehy1, 1Anadigics, Warren NJ, 2Anadigics, Tyngsboro MA

1620 – 1640

RMO4A-3: A 2.45GHz Ultra-Low Power Quadrature Front-End in 65nm CMOSC. Bryant, H. Sjöland, Lund University

RMO4B-3: Harmonic Rejection Mixer at ADC Input for Complex IF Dual Carrier Receiver ArchitectureL. Sundström1, M. Anderson1, M. Andersson2, P. Andreani2, 1Ericsson AB, 2Lund University

RMO4E-3: 0.75 Watt and 5 Watt Drivers in Standard 65nm CMOS Technology for High Power RF ApplicationsM. Acar, M. P. Heijden, D. M. Leenaerts, NXP Semiconductors, Netherlands

1640 – 1700

RMO4A-4: An Ultra Low Power, Compact UWB Receiver with Automatic Threshold Recovery in 65nm CMOSB. Vigraham, P. R. Kinget, Columbia University

RMO4B-4: A 600MHz to 3.4GHz Flex-ible Spectrum-Sensing Receiver with Spectrum-Adaptive Reconfigurable DT FilteringD. T. Lin, H. Chae, L. Li, M. P. Flynn, University of Michigan

RMO4E-4: GaAs Bi-FET RF Front-end MMIC for WiMAX ApplicationsP. Wu3, J. Li1, Y. Wang2, P. Hsu3, 1Industrial Technology Research Institute, Hsinchu, Taiwan, 2WIN Semiconductor Corporation, 3National Taiwan University

1700 – 1720

MONDAY TECHNICAL SESSIONS 1350 – 1720

Page 101: IMS2012 Program

RFIC

TUESD

AY

SESSION

S

RFIC

› 98

RTU1A: Frequency Generation Using Injection Lock-ing and Coupling TechniquesRoom: 511ADChair: Nobuyuki Itoh, Okayama Prefectural UniversityCo-Chair: Madhukar Reddy, Maxlinear

RTU1B: Baseband Circuits and Modulators for Wideband TransceiversRoom: 511BEChair: Eric Fogleman, MaxLinearCo-Chair: Ayman Fayed, Iowa State University

RTU1C: Advanced Frequency Synthesis: Building BlocksRoom: 511CFChair: Jaber Khoja, Qualcomm Inc.Co-Chair: Salvatore Levantino, Politecnico di Milano

RTU1D: Silicon Devices for ICs from RF to Millimeter WavesRoom: 510ACChair: Aditya Gupta, Northrop GrummanCo-Chair: Fujiang Lin, University of Science and Technology of China

0800 – 0820

RTU1A-1: Fine Frequency Tuning through Injection-Control in a High-Swing 1.2V 65nm CMOS Quadrature OscillatorA. Visweswaran, R. B. Staszewski, J. Long, Delft University of Technology

RTU1B-1: A High-Dynamic Range, Broadband, RF Transmit Modulator ICE. J. Balboni1, B. Sam2, D. Carbonari2, J. Cowles2, 1Analog Devices, Wilmington, 2Analog Devices, Beaverton

RTU1C-1: Dual Channel Injection-Locked Quadrature LO Generation for a 4GHz Instantaneous Bandwidth Receiver at 21GHz Center FrequencyM. Elbadry1, B. Sadhu1, J. Qiu2, R. Harjani1, 1University of Minnesota, 2Army Research Laboratory

RTU1D-1: Millimeter-Wave Characterization of SiGe HBTs Noise Parameters Featuring fT/fMAX of 310/400 GHzT. Quemerais, D. Gloria, S. Jan, N. Derrier, P. Chevalier, STMicroelectronics

0820 – 0840

RTU1A-2: CMOS LC Quadrature Oscillators with Enhanced Tuning Range by Selective Mode SwitchingM. Bagheri1, R. Bagheri2, L. E. Larson3, 1University of California San Diego, 2BroMarks, 3Brown University

RTU1B-2: A 45GHz, 2bit Power DAC with 24.3dBm Output Power, 14 Vpp Differential Swing, and 22% Peak PAE in 45nm SOI CMOSA. Balteanu, I. Sarkas, E. Dacquay, A. Tomkins, S. P. Voinige-scu, University of Toronto

RTU1C-2: A 2.9mW 53.4-79.4GHz Frequency-Tracking Injection-Locked Frequency Divider with 39.2% Locking Range in 65nm CMOSY. Chao, H. C. Luong, Hong Kong University of Science and Technology

RTU1D-2: The Impact of Narrow Width Effects on High Frequency Performance and Noise in 35nm Multi-Finger n-MOSFETsK. Yeh, C. Chang, J. Guo, National Chiao-Tung University, Taiwan

0840 – 0900

RTU1A-3: A 33% Tuning Range High Output Power V-Band Superharmonic Coupled Quadrature VCO in SiGe TechnologyI. Nasr, M. Dudek, R. Weigel, D. Kissinger, University of Erlangen-Nuremberg, Germany

RTU1B-3: An IF Digitizer IC Employing a Continuous-Time Bandpass Delta-Sigma ADCR. Schreier1, H. Shibata1, P. Hendriks2, M. Aliroteh1, V. Kozlov1, H. Tong1, A. Del Muro2, P. Shrestha2, T. Caldwell1, D. Alldred1, W. Yang2, D. Paterson2, P. W. Lai2, 1Analog Devices, Toronto, 2Analog Devices, Wilmington

RTU1C-3: A 14.1GHz Dual-Modulus Prescaler in 130nm CMOS Technology Using Sequential Implica-tion Logic CellsW. Chen, E. Roa, W. Loke, B. Jung, Purdue University

RTU1D-3: Nano Crystal Quantum Dots Tunable On-Chip ESD ProtectionZ. Shi1, A. Wang1, Y. Cheng2, 1University of California, Riverside, 2Peking University

0900 – 0920

RTU1A-4: A 0.8V 1.9mW 53.7-to-72.0GHz Self-Frequency-Tracking Injection-Locked Frequency DividerJ. Yin, H. C. Luong, Hong Kong University of Science and Technology, China

RTU1B-4: A 5 Gbps Low Noise Receiver in 130nm CMOS For Wireless Optical CommunicationsB. Nakhkoob, M. M. Hella, Rensselaer Polytechnic Institute

RTU1C-4: A PAE of 17.5% Ka-band Balanced Fre-quency Doubler with Conversion Gain of 20dBJ. Li1, Y. Xiong2, W. Wu2, 1MicroArray Technologies, 2Nanjing University of Science and Technology, China

RTU1D-4: A Novel Structure of Millimeter-Wave On-Chip Transmission Line Using Redistributed Copper Wire and Ground ShieldH. Namba, T. Sakamoto, T. Kuramoto, T. Hashimoto, S. Uchida, K. Hayashi, M. Furumiya, H. Ohkubo, Y. Nakashiba, Renesas Electronics Corporation

0920 – 0940

RTU1A-5: A 52-66GHz Subharmonically Injection-Locked Quadrature Oscillator with 10GHz Locking Range in 40nm LP CMOSG. Mangraviti1, B. Parvais1, V. Vidojkovic1, K. Vaesen1, V. Szor-tyka1, K. Khalaf1, C. Soens1, G. Vandersteen2, P. Wambacq1, 1IMEC, Belgium, 2Vrije Universiteit Brussel, Belgium

RTU1D-5: Stacked-Spiral RF Inductors with Fully-Filled Vertical Nano-Particle Magnetic CoreJ. Zhan1, X. Wang2, Q. Fang 2, Z. Shi2, Y. Yang1, T. Ren1, A. Wang2, Y. Cheng3, X. Li4, C. Yang4, 1Tsinghua University, 2Uni-versity of California, Riverside, 3Peking University, 4Shanghai Institute of Microsystem and Information Technology

RTU2A: Low-Power Solutions for Wireless Sensor ApplicationsRoom: 511ADChair: Pedram Mohseni, Case Western Reserve UniversityCo-Chair: Hua Wang, Georgia Institute of Technology

RTU2B: Advanced Mobile and Wireless Transceivers and SoC´sRoom: 511BEChair: Srenik Mehta, Qualcomm Inc.Co-Chair: Andre Hanke, Intel Corp.

RTU2C: Advanced Modeling and Characterization for RF and mm-Wave DesignRoom: 511CFChair: Tzung-Yin Lee, Skyworks Solutions, Inc.Co-Chair: Francis Rotella, Peregrine Semiconductor

RTU2D: 60 GHz Transceiver Circuits Room: 510ACChair: Arun Natarajan, IBM T. J. Watson Research CenterCo-Chair: Luciano Boglione, University of Massachusetts

1010 – 1030

RTU2A-1: A 98nW Wake-Up Radio for Wireless Body Area NetworksN. E. Roberts, D. D. Wentzloff, University of Michigan

RTU2B-1: Invited Paper : The Path towards Gb/s Wireless LANsM. Zargari, Qualcomm-Atheros

RTU2C-1: An Improved VBIC Model for InP DHBTsY. Shi1, Z. Jin2, Y. Su2, Y. Cao2, Y. Wang1, 1Tsinghua University, 2Institute of Microelectronics, Chinese Academy of Sciences, China

RTU2D-1: A Four-Path 60 GHz Phased-Array Receiver with Injection-Locked LO, Hybrid Beamforming and Analog Baseband Section in 90nm CMOSK. Raczkowski1, G. Mangraviti2, V. Szortyka2, A. Spagnolo3, B. Parvais1, R. Vandebriel1, V. Vidojkovic1, C. Soens1, S. D’Amico3, P. Wambacq2, 1Imec, Belgium, 2Vrije Universiteit Brussel, Belgium, 3University of Salento, Italy

1030 – 1050

RTU2A-2: Highly Sensitive and Low Power Injection-Locked FSK Receiver for Short-Range Wireless ApplicationsR. Ye1, T. Horng1, J. Wu2, 1National Sun Yat-Sen University, Taiwan, 2National Kaohsiung Normal University, Taiwan

RTU2B-2: A WLAN and Bluetooth Combo Transceiver with Integrated WLAN Power Amplifier, Transmit-Receive Switch and WLAN/Bluetooth Shared Low Noise AmplifierR. Winoto, M. He, Y. Lu, D. Signoff, E. Chan, C. Lin, W. Loeb, J. Park, L. Lin, Marvell Semiconductor

RTU2C-2: Characterization and Modeling of Enhanced Voltage RF MESFETs on 45nm CMOS for RF ApplicationsS. J. Wilk2, M. R. Ghajar1, W. Lepkowski2, B. Bakkaloglu1, T. J. Thornton1, 1Arizona State University, 2SJT Micropower Inc.

RTU2D-2: A 60GHz Wideband Low Noise Eight-Ele-ment Phased Array RX Front-End for Beam Steering Communication Applications in 45nm CMOSS. Drago, M. C. van Schie, A. J. de Graauw, J. F. Osorio, M. Spella, Y. Yu, C. S. Vaucher, R. M. Pijper, L. F. Tiemeijer, NXP Semiconductors

1050 – 1110RTU2A-3: Multi-channel 180pJ/b 2.4GHz FBAR-based ReceiverP. M. Nadeau, A. Paidimarri, P. P. Mercier, A. P. Chandrakasan, Massachusetts Institute of Technology

RTU2B-3: A Multiband LTE SAW-Less CMOS Transmit-ter with Source-Follower-Drived Passive Mixers, Envelope-Tracked RF-PGAs, and Marchand BalunsT. Kihara1, T. Sano1, M. Mizokami1, Y. Furuta1, T. Nakamura2, M. Hokazono1, T. Maruyama1, K. Toyota3, K. Maeda4, Y. Aka-mine4, T. Yamawaki4, T. Heima1, K. Hori3, H. Sato1, 1Renesas Electronics Corp., Itami-shi, 2Hitachi, Ltd., 3Renesas Electronics Corp.4Renesas Mobile Corp.

RTU2C-3: On-Wafer CMOS Transistors De-Embedding Method Using Two Transmission Lines of Different LengthsH. J. Saavedra-Gómez1, J. R. Loo-Yau1, B. E. Figueroa-Re-sendiz1, J. A. Reynoso-Hernández2, 1Centro de Investigación y de Estudios Avanzados del I. P. N. Unidada Guadalajara, Zapopan, 2Centro de Investigación Científica y de Educación Superior de Enseanada, Mexico

RTU2D-3: A CMOS Bidirectional 32-element Phased-Array Transceiver at 60GHz with LTCC AntennaE. Cohen1, M. Ruberto1, M. Cohen3, O. Degani1, S. Ravid1, D. Ritter2, 1Intel, Israel, 2Technion, Israel, 3Ben-Gurion University, Israel

1110 – 1130

RTU2A-4: A 4.9mW 7.5Mbps DAC-less 16QAM Trans-mitter for WBANs in Medical ApplicationsQ. Zhang, W. Lou, W. Liu, N. Wu, Institute of Semiconductors, Chinese Academy of Sciences, China

RTU2B-4: A 65nm GSM/GPRS/EDGE SoC with Integrated BT/FMC. Chiu1, H. Chang1, T. Wu1, S. Chen1, C. Chin1, W. Hong1, S. Wong2, L. Lai1, C. Wang1, S. Yang1, T. Lin1, J. Chen1, H. Tsai1, H. Yang1, H. Chen1, A. Marques3, C. Wang4, G. Chien5, 1Me-diaTek, Taiwan, 2MediaTek, Singapore, 3Consultant, Portugal, 4MediaTek, Austin, 5MediaTek, San Jose

RTU2C-4: An Ultra-Broadband Model for On-Chip Transformers Based on Pole-Residue FormulaeC. Qiu, H. Wang, J. Liu, Z. Yu, L. Sun, Hangzhou Dianzi University, China

RTU2D-4: A Flip-Chip-Packaged and Fully Integrated 60GHz CMOS Micro-Radar Sensor for Heartbeat and Mechanical Vibration DetectionsT. Kao, A. Chen, T. Shen, Y. Yan, J. Lin, University of Florida

1130 – 1150

RTU2C-5: A Broadband, Millimeter Wave, Asym-metrical Marchand Balun in 180nm SiGe BiCMOS TechnologyD. C. Howard, C. Cho, J. D. Cressler, Georgia Tech.

RTU2D-5: A 5mW CMOS Wideband mm-Wave Front-End Featuring 17dB of Conversion Gain and 6.5dB Minimum NFA. Ghilioni1, E. Monaco2, M. Repossi2, A. Mazzanti1, 1Univer-sità  di Pavia, Italy, 2STMicroelectronics

TUESDAY TECHNICAL SESSIONS 0800 – 1150

Page 102: IMS2012 Program

RFIC

TUESD

AY

SESSION

S

RFIC

› 99

RTU1A: Frequency Generation Using Injection Lock-ing and Coupling TechniquesRoom: 511ADChair: Nobuyuki Itoh, Okayama Prefectural UniversityCo-Chair: Madhukar Reddy, Maxlinear

RTU1B: Baseband Circuits and Modulators for Wideband TransceiversRoom: 511BEChair: Eric Fogleman, MaxLinearCo-Chair: Ayman Fayed, Iowa State University

RTU1C: Advanced Frequency Synthesis: Building BlocksRoom: 511CFChair: Jaber Khoja, Qualcomm Inc.Co-Chair: Salvatore Levantino, Politecnico di Milano

RTU1D: Silicon Devices for ICs from RF to Millimeter WavesRoom: 510ACChair: Aditya Gupta, Northrop GrummanCo-Chair: Fujiang Lin, University of Science and Technology of China

0800 – 0820

RTU1A-1: Fine Frequency Tuning through Injection-Control in a High-Swing 1.2V 65nm CMOS Quadrature OscillatorA. Visweswaran, R. B. Staszewski, J. Long, Delft University of Technology

RTU1B-1: A High-Dynamic Range, Broadband, RF Transmit Modulator ICE. J. Balboni1, B. Sam2, D. Carbonari2, J. Cowles2, 1Analog Devices, Wilmington, 2Analog Devices, Beaverton

RTU1C-1: Dual Channel Injection-Locked Quadrature LO Generation for a 4GHz Instantaneous Bandwidth Receiver at 21GHz Center FrequencyM. Elbadry1, B. Sadhu1, J. Qiu2, R. Harjani1, 1University of Minnesota, 2Army Research Laboratory

RTU1D-1: Millimeter-Wave Characterization of SiGe HBTs Noise Parameters Featuring fT/fMAX of 310/400 GHzT. Quemerais, D. Gloria, S. Jan, N. Derrier, P. Chevalier, STMicroelectronics

0820 – 0840

RTU1A-2: CMOS LC Quadrature Oscillators with Enhanced Tuning Range by Selective Mode SwitchingM. Bagheri1, R. Bagheri2, L. E. Larson3, 1University of California San Diego, 2BroMarks, 3Brown University

RTU1B-2: A 45GHz, 2bit Power DAC with 24.3dBm Output Power, 14 Vpp Differential Swing, and 22% Peak PAE in 45nm SOI CMOSA. Balteanu, I. Sarkas, E. Dacquay, A. Tomkins, S. P. Voinige-scu, University of Toronto

RTU1C-2: A 2.9mW 53.4-79.4GHz Frequency-Tracking Injection-Locked Frequency Divider with 39.2% Locking Range in 65nm CMOSY. Chao, H. C. Luong, Hong Kong University of Science and Technology

RTU1D-2: The Impact of Narrow Width Effects on High Frequency Performance and Noise in 35nm Multi-Finger n-MOSFETsK. Yeh, C. Chang, J. Guo, National Chiao-Tung University, Taiwan

0840 – 0900

RTU1A-3: A 33% Tuning Range High Output Power V-Band Superharmonic Coupled Quadrature VCO in SiGe TechnologyI. Nasr, M. Dudek, R. Weigel, D. Kissinger, University of Erlangen-Nuremberg, Germany

RTU1B-3: An IF Digitizer IC Employing a Continuous-Time Bandpass Delta-Sigma ADCR. Schreier1, H. Shibata1, P. Hendriks2, M. Aliroteh1, V. Kozlov1, H. Tong1, A. Del Muro2, P. Shrestha2, T. Caldwell1, D. Alldred1, W. Yang2, D. Paterson2, P. W. Lai2, 1Analog Devices, Toronto, 2Analog Devices, Wilmington

RTU1C-3: A 14.1GHz Dual-Modulus Prescaler in 130nm CMOS Technology Using Sequential Implica-tion Logic CellsW. Chen, E. Roa, W. Loke, B. Jung, Purdue University

RTU1D-3: Nano Crystal Quantum Dots Tunable On-Chip ESD ProtectionZ. Shi1, A. Wang1, Y. Cheng2, 1University of California, Riverside, 2Peking University

0900 – 0920

RTU1A-4: A 0.8V 1.9mW 53.7-to-72.0GHz Self-Frequency-Tracking Injection-Locked Frequency DividerJ. Yin, H. C. Luong, Hong Kong University of Science and Technology, China

RTU1B-4: A 5 Gbps Low Noise Receiver in 130nm CMOS For Wireless Optical CommunicationsB. Nakhkoob, M. M. Hella, Rensselaer Polytechnic Institute

RTU1C-4: A PAE of 17.5% Ka-band Balanced Fre-quency Doubler with Conversion Gain of 20dBJ. Li1, Y. Xiong2, W. Wu2, 1MicroArray Technologies, 2Nanjing University of Science and Technology, China

RTU1D-4: A Novel Structure of Millimeter-Wave On-Chip Transmission Line Using Redistributed Copper Wire and Ground ShieldH. Namba, T. Sakamoto, T. Kuramoto, T. Hashimoto, S. Uchida, K. Hayashi, M. Furumiya, H. Ohkubo, Y. Nakashiba, Renesas Electronics Corporation

0920 – 0940

RTU1A-5: A 52-66GHz Subharmonically Injection-Locked Quadrature Oscillator with 10GHz Locking Range in 40nm LP CMOSG. Mangraviti1, B. Parvais1, V. Vidojkovic1, K. Vaesen1, V. Szor-tyka1, K. Khalaf1, C. Soens1, G. Vandersteen2, P. Wambacq1, 1IMEC, Belgium, 2Vrije Universiteit Brussel, Belgium

RTU1D-5: Stacked-Spiral RF Inductors with Fully-Filled Vertical Nano-Particle Magnetic CoreJ. Zhan1, X. Wang2, Q. Fang 2, Z. Shi2, Y. Yang1, T. Ren1, A. Wang2, Y. Cheng3, X. Li4, C. Yang4, 1Tsinghua University, 2Uni-versity of California, Riverside, 3Peking University, 4Shanghai Institute of Microsystem and Information Technology

RTU2A: Low-Power Solutions for Wireless Sensor ApplicationsRoom: 511ADChair: Pedram Mohseni, Case Western Reserve UniversityCo-Chair: Hua Wang, Georgia Institute of Technology

RTU2B: Advanced Mobile and Wireless Transceivers and SoC´sRoom: 511BEChair: Srenik Mehta, Qualcomm Inc.Co-Chair: Andre Hanke, Intel Corp.

RTU2C: Advanced Modeling and Characterization for RF and mm-Wave DesignRoom: 511CFChair: Tzung-Yin Lee, Skyworks Solutions, Inc.Co-Chair: Francis Rotella, Peregrine Semiconductor

RTU2D: 60 GHz Transceiver Circuits Room: 510ACChair: Arun Natarajan, IBM T. J. Watson Research CenterCo-Chair: Luciano Boglione, University of Massachusetts

1010 – 1030

RTU2A-1: A 98nW Wake-Up Radio for Wireless Body Area NetworksN. E. Roberts, D. D. Wentzloff, University of Michigan

RTU2B-1: Invited Paper : The Path towards Gb/s Wireless LANsM. Zargari, Qualcomm-Atheros

RTU2C-1: An Improved VBIC Model for InP DHBTsY. Shi1, Z. Jin2, Y. Su2, Y. Cao2, Y. Wang1, 1Tsinghua University, 2Institute of Microelectronics, Chinese Academy of Sciences, China

RTU2D-1: A Four-Path 60 GHz Phased-Array Receiver with Injection-Locked LO, Hybrid Beamforming and Analog Baseband Section in 90nm CMOSK. Raczkowski1, G. Mangraviti2, V. Szortyka2, A. Spagnolo3, B. Parvais1, R. Vandebriel1, V. Vidojkovic1, C. Soens1, S. D’Amico3, P. Wambacq2, 1Imec, Belgium, 2Vrije Universiteit Brussel, Belgium, 3University of Salento, Italy

1030 – 1050

RTU2A-2: Highly Sensitive and Low Power Injection-Locked FSK Receiver for Short-Range Wireless ApplicationsR. Ye1, T. Horng1, J. Wu2, 1National Sun Yat-Sen University, Taiwan, 2National Kaohsiung Normal University, Taiwan

RTU2B-2: A WLAN and Bluetooth Combo Transceiver with Integrated WLAN Power Amplifier, Transmit-Receive Switch and WLAN/Bluetooth Shared Low Noise AmplifierR. Winoto, M. He, Y. Lu, D. Signoff, E. Chan, C. Lin, W. Loeb, J. Park, L. Lin, Marvell Semiconductor

RTU2C-2: Characterization and Modeling of Enhanced Voltage RF MESFETs on 45nm CMOS for RF ApplicationsS. J. Wilk2, M. R. Ghajar1, W. Lepkowski2, B. Bakkaloglu1, T. J. Thornton1, 1Arizona State University, 2SJT Micropower Inc.

RTU2D-2: A 60GHz Wideband Low Noise Eight-Ele-ment Phased Array RX Front-End for Beam Steering Communication Applications in 45nm CMOSS. Drago, M. C. van Schie, A. J. de Graauw, J. F. Osorio, M. Spella, Y. Yu, C. S. Vaucher, R. M. Pijper, L. F. Tiemeijer, NXP Semiconductors

1050 – 1110

RTU2A-3: Multi-channel 180pJ/b 2.4GHz FBAR-based ReceiverP. M. Nadeau, A. Paidimarri, P. P. Mercier, A. P. Chandrakasan, Massachusetts Institute of Technology

RTU2B-3: A Multiband LTE SAW-Less CMOS Transmit-ter with Source-Follower-Drived Passive Mixers, Envelope-Tracked RF-PGAs, and Marchand BalunsT. Kihara1, T. Sano1, M. Mizokami1, Y. Furuta1, T. Nakamura2, M. Hokazono1, T. Maruyama1, K. Toyota3, K. Maeda4, Y. Aka-mine4, T. Yamawaki4, T. Heima1, K. Hori3, H. Sato1, 1Renesas Electronics Corp., Itami-shi, 2Hitachi, Ltd., 3Renesas Electronics Corp.4Renesas Mobile Corp.

RTU2C-3: On-Wafer CMOS Transistors De-Embedding Method Using Two Transmission Lines of Different LengthsH. J. Saavedra-Gómez1, J. R. Loo-Yau1, B. E. Figueroa-Re-sendiz1, J. A. Reynoso-Hernández2, 1Centro de Investigación y de Estudios Avanzados del I. P. N. Unidada Guadalajara, Zapopan, 2Centro de Investigación Científica y de Educación Superior de Enseanada, Mexico

RTU2D-3: A CMOS Bidirectional 32-element Phased-Array Transceiver at 60GHz with LTCC AntennaE. Cohen1, M. Ruberto1, M. Cohen3, O. Degani1, S. Ravid1, D. Ritter2, 1Intel, Israel, 2Technion, Israel, 3Ben-Gurion University, Israel

1110 – 1130

RTU2A-4: A 4.9mW 7.5Mbps DAC-less 16QAM Trans-mitter for WBANs in Medical ApplicationsQ. Zhang, W. Lou, W. Liu, N. Wu, Institute of Semiconductors, Chinese Academy of Sciences, China

RTU2B-4: A 65nm GSM/GPRS/EDGE SoC with Integrated BT/FMC. Chiu1, H. Chang1, T. Wu1, S. Chen1, C. Chin1, W. Hong1, S. Wong2, L. Lai1, C. Wang1, S. Yang1, T. Lin1, J. Chen1, H. Tsai1, H. Yang1, H. Chen1, A. Marques3, C. Wang4, G. Chien5, 1Me-diaTek, Taiwan, 2MediaTek, Singapore, 3Consultant, Portugal, 4MediaTek, Austin, 5MediaTek, San Jose

RTU2C-4: An Ultra-Broadband Model for On-Chip Transformers Based on Pole-Residue FormulaeC. Qiu, H. Wang, J. Liu, Z. Yu, L. Sun, Hangzhou Dianzi University, China

RTU2D-4: A Flip-Chip-Packaged and Fully Integrated 60GHz CMOS Micro-Radar Sensor for Heartbeat and Mechanical Vibration DetectionsT. Kao, A. Chen, T. Shen, Y. Yan, J. Lin, University of Florida

1130 – 1150

RTU2C-5: A Broadband, Millimeter Wave, Asym-metrical Marchand Balun in 180nm SiGe BiCMOS TechnologyD. C. Howard, C. Cho, J. D. Cressler, Georgia Tech.

RTU2D-5: A 5mW CMOS Wideband mm-Wave Front-End Featuring 17dB of Conversion Gain and 6.5dB Minimum NFA. Ghilioni1, E. Monaco2, M. Repossi2, A. Mazzanti1, 1Univer-sità  di Pavia, Italy, 2STMicroelectronics

RTUIF: Interactive ForumRoom: 517CDChair: Waleed Khalil, Ohio State UniversityCo-Chair: Ayman Fayed, Iowa State University

RTUIF1: A Process-Scalable RF Transceiver for Short Range Communication in 90nm Si CMOSA. Shirane, M. Otsuru, S. Lee, S. Yonezawa, S. Tanoi, H. Ito, N. Ishihara, K. Masu, Tokyo Institute of Technology

RTUIF2: A 3.1-10.6GHz Ultra Wide-Band Impulse Radio Transmitter with Notch Implementation for In-Band Interferers in 90nm CMOSH. Hedayati, K. Entesari, Texas A&M University

RTUIF3: A Bluetooth Radio in 45nm CMOS process for multi radio SoCA. Lachhwani1, G. Rajendran1, A. Sivadas1, R. Guntreddi1, A. Joshi1, B. Krishnakutty1, N. Tal2, G. Bitton2, Y. Peled2, S. Manian1, M. Subramaniam1, 1Texas Instruments, India, 2Texas Instruments, Israel

RTUIF4: An Extremely Low Consumption, 53mW, 65nm CMOS Transmitter for 60GHz UWB ApplicationsM. Ercoli1, D. Dragomirescu1, R. Plana1, D. Belot3, 1Laas - CNRS, Toulouse, France, 2University of Toulouse, 3STMicroelectronics

RTUIF5: 5.8GHz Low-Flicker-Noise CMOS Direct-Conversion Receiver Using Deep-N-Well Vertical-NPN BJTY. Hsiao1, C. Meng1, J. Syu1, C. Wang1, S. Wong2, G. Huang3, 1National Chiao Tung University, 2Richwave Technology Corporation, 3National Nano Device Laboratories

RTUIF6: A PA-Noise Cancellation Technique for Next Generation Highly Integrated RF Front-Ends M. Omer1, R. Rimini2, P. D. Heidmann2, J. S. Kenney1, 1Georgia Institute of Technology, 2Qualcomm Inc.

RTUIF7: A 2-11GHz Reconfigurable Multi-Mode LNA in 0.13µm CMOSX. Yu, N. M. Neihart, Iowa State University

RTUIF8: Bias Optimized IP2 & IP3 Linearity and NF of a Decade-Bandwidth GaN MMIC Feedback Amplifier K. W. Kobayashi, RF Micro Devices

RTUIF9: A -32dBm Sensitivity RF Power Harvester in 130nm CMOSS. Oh, D. D. Wentzloff, University of Michigan

RTUIF10: A 5bit 1GS/s 2.7mW 0.05mm² Asynchro-nous Digital Slope ADC in 90nm CMOS for IR UWB RadioM. Ding1, P. Harpe2, H. Hegt2, K. Philips1, H. de Groot1, A. van Roermund2, 1Holst Centre, 2Eindhoven University of Technology, Netherlands

RTUIF11: A 30-65GHz Reduced-Size with Low LO Power Modulator Using Sub-Harmonic Pumping in 90nm CMOS TechnologyP. Tsai, C. Kuo, J. Kuo, S. Aloui, H. Wang, National Taiwan University

RTUIF12: An 84mW 0.36mm² Analog Baseband Cir-cuits for 60GHz Wireless Transceiver in 40nm CMOSM. Miyahara, H. Sakaguchi, N. Shimasaki, A. Matsuzawa, Tokyo Institute of Technology

RTUIF13: A Phase-Shifting Up-Converter for 30GHz Phased Array ApplicationsY. Pei1, Y. Chen1, D. M. Leenaerts1, R. Mahmoudi2, 1NXP Semiconductors, 2Eindhoven University of Technology

RTUIF14: A Low-power K-band CMOS UWB Radar Transceiver IC for Short Range Detection S. Lee, S. Kong, S. Hong, KAIST, Korea

RTUIF15: A Broadband Millimeter-Wave Passive CMOS Down-ConverterA. Moroni, D. Manstretta, Universita degli Studi di Pavia

RTUIF16: A 77GHz Automotive Radar Receiver in a Wafer Level PackageC. Wagner1, J. Boeck2, M. Wojnowski2, H. Jaeger1, J. Platz1, M. Treml1, F. Dober1, R. Lachner2, J. Minichshofer1, L. Maurer1, 1DICE, 2Infineon Technologies

RTUIF17: A Novel mmWave CMOS VCO with an AC-Coupled LC TankV. P. Trivedi, K. To, Freescale Semiconductor, Inc.

RTUIF18: A 4.1-6.5GHz Transformer-Coupled CMOS Quadrature Digitally-Controlled Oscillator with Quantization Noise SuppressionS. Zheng, H. C. Luong, Hong Kong University of Science and Technology

RTUIF19: A Reconfigurable 4.7-6.6GHz and 8.5-10.7GHz Concurrent and Dual-Band Oscillator in 65nm CMOSA. Li, H. C. Luong, Hong Kong University of Science and Technology

RTUIF20: A CMOS Flash TDC with 0.84-1.3ps Resolu-tion Using Standard CellsT. J. Yamaguchi1, S. Komatsu2, M. Abbas2, K. Asada2, M. Khanh2, J. Tandon2, 1Advantest Laboratories, Ltd., 2University of Tokyo

RTUIF21: A 0.6-7 Gbps, 1/7 Rate, Burst Mode Clock and Data Recovery Circuit and DemultiplexerY. Chen, W. Chen, National Chiao-Tung University

RTUIF22: A Performance Study of Layout and Vt Op-tions for Low Noise Amplifier Design in 65nm CMOSQ. Pan1, T. Yeh2, C. Jou2, F. Hsueh2, H. Luong1, P. Yue1, 1Hong Kong University of Science and Technology, 2Taiwan Semiconductor Manufacturing Company Ltd.

RTUIF23: Frequency Response Enhancement of Spiral Inductor’s Q-Factor by Adopting Defected Ground Structure in Standard CMOS ProcessY. Ye1,2, J. Gu1, R. Qian1, X. Sun1, 1Shanghai Institute of Micro-system and Information Technology, 2Graduate University of Chinese Academy of Sciences

RTUIF24: Characterization and Modeling of the Junction Diode for Accurate RF Model in the 36nm MOSFETY. Wang, W. Tsao, Z. Zeng, MediaTek Inc.

TUESDAY INTERACTIVE FORUM•ROOM 517CD 1330 – 1600

Page 103: IMS2012 Program

› 100

ARFTG

79TH ARFTG MICROWAVE MEASUREMENT CONFERENCE

Welcome to the 79th Automatic RF Techniques Group (ARFTG) Microwave Measurement Conference being held at the Convention Center in Montréal on Friday, 22 June 2012. From: Dominique Schreurs - Chair, 79th ARFTG Conference

The conference will include technical presentations, an interactive forum, and an exhibition; all to give you ample opportunity to interact with your colleagues in the RF and microwave test and measurement community. The conference theme is “Nonlinear Measurement Systems” and we are looking forward to the invited talks of Dr. Jacques Sombrin on “Future test benches for the optimization of spectrum and energy efficiency in telecom nonlinear RF components and amplifiers” and Dr. Thibault Reveyrand on “New sampling paradigm dedicated to RF ultra-wideband receivers”. The contributed conference papers focus on nonlinear measurement systems, calibration issues, on-wafer measurements, uncertainty, broadband and millimeter-wave measurements, and other areas

of RF and microwave measurement. Also, be sure to check out the joint ARFTG/IMS workshops on “Overview of advanced dielectric measurement techniques” and “Device model extraction based on vectorial large-signal measurements” on Monday, as well as the NVNA Users’ Forum on Thursday afternoon. An important part of any ARFTG conference is the opportunity to interact one-on-one with colleagues, experts and vendors in the RF and microwave test and measurement community. Whether your interests include high-throughput production or one-of-a-kind metrology measurements, complex systems or simple circuit modeling, small to large signal measurements, phase noise or noise figure, DC to lightwave, you will find similarly interested technologists. Starting with breakfast, continuing through two exhibition/interactive forum sessions and the luncheon, there will be ample opportunity for discussion with others facing similar challenges. Attendees find that these interactions are often the best source of ideas and information for their current projects. So come and join us.

ARFTG STEERING COMMITTEEEXECUTIVE COMMITTEE

Conference ChairDominique SchreursKU Leuven

PresidentNick Ridler NPL

Vice PresidentMohamed Sayed MMS

Secretary and NominationsJon MartensAnritsu

Local HostZacharia OuardirhiNina Di MariaFocus Microwaves

TreasurerRonald Ginley NIST

MembershipKen WongAgilent Technologies

Electronic CommunicationsMitch WallisNIST

Technical Program ChairJean-Pierre TeyssierUniversity of Limoges XLIM

SponsorshipJoe GeringRF Micro Devices

EducationPatrick RoblinOhio State University

MTT-S LiaisonLeonard Hayden LeCroy Corporation

Executive SecretaryJim L. Taylor

ExhibitsRusty MyersMaury Microwave

Electronic PublicityPeter AaenFreescale Semiconductor

Publicity John WoodMaxim Integrated Products

PublicationsDavid Blackham Agilent Technologies

StandardsJean-Pierre Teyssier University of Limoges XLIM

Technical & WorkshopsDominique Schreurs KU Leuven

ARFTG TECHNICAL PROGRAM COMMITTEEJean-Pierre Teyssier (chair)University of Limoges XLIM

Loren BettsAgilent Technologies

Nuno Borges CarvalhoUniversity of Aveiro

Gayle CollinsMaxentric Technologies

Leonard HaydenLeCroy Corporation

Masahiro HoribeAIST

Jon MartensAnritsu

Karam NoujeimAnritsu

Nick RidlerNPL

Patrick RoblinOhio State University

Yves RolainVrije Universiteit Brussel

Andrej RumiantsevCascade Microtech

Mohamed SayedMMS

Dominique SchreursKU Leuven

Mitch WallisNIST

John WoodMaxim Integrated Products

Find out more about ARFTG and the latest conference updates at www.arftg.org

Page 104: IMS2012 Program

AR

FTG SESSIO

NS

ARFTG

› 101

79TH ARFTG CONFERENCE, MONTRÉAL, 22 JUNE 2012

TECHNICAL SESSIONSTechnical Program chair: Jean-Pierre Teyssier, XLIM Limoges, France

0800 – 1000Session 1: Measurement of NonLinear DevicesChair: Patrick Roblin, Ohio State University, USA

1000 Coffee Break: Exhibits and Interactive ForumChair: Rusty M

yers, Maury M

icrowave, USA

1050 – 1210Session 2: Calibration and Uncertainty AnalysisChair: Ron Ginley, NIST, USA

1210 Lunch

1330 – 1520Session 3: RF Measurement SystemsChair: Jon Martens, Anritsu, USA

1520 Coffee Break: Exhibits and Interactive ForumChair: Rusty M

yers, Maury M

icrowave, USA1600Session 4: Late News PapersChair: Mohamed Sayed, MMS, USA

Paper 1-1: Future Test Benches for the Optimization of Spectrum and Energy Efficiency in Telecom Nonlinear RF Components and Amplifiers, Invited Talk0800-0840Jacques Sombrin, CNES, France

Paper 2-1: Traceability Via Precision Dimensional Measurements of WM-864 (WR-03) Waveguide Standard Shims Including Comparison Between NPL and NMIJ 1050-1110M. Horibe1, N. Ridler2, A. Wilson2, 1National Metrology Institute of Japan AIST, Tsukuba, Japan, 2National Physical Laboratory, Teddington, United Kingdom

Paper 3-1: New Sampling Paradigm Dedicated to RF ultra-wideband Receivers, Invited Talk1330-1400Thibault Reveyrand, XLIM, University of Limoges, France

Paper 1-2: Transistor Vector Load-Pull Characterization for Millimeter-Wave Power Amplifier Design0840-0900V. Vadalà1, A. Raffo1, G. Bosi1, G. Crupi2, G. Vannini1, 1Univ. of Ferrara, Ferrara, Italy, 2Univ. of Messina, Messina, Italy

Paper 2-2: Verifying Traceability of Electronic Calibration Units for Vector Network Analyzers Using the NIST Microwave Uncertainty Framework1110-1130J. A. Jargon, D. F. Williams, NIST, Boulder, USA

Paper 3-2: Metrology method for Error Vector Magnitude Based on Ellipse on IQ coordinates1400-1420R. Zhang1, F. Zhou1, L. Guo1, F. Ruan3, Y. Gao2, 1Telecommunication MetrologyCenter of Ministry of Industry and Information Technology (TMC), Hai Dian Dis, China,2Beijing University of Posts and Telecommunications, Hai Dian Dis, China, 3Guizhuo Normal University, China

Paper 1-3: Assets of Source Pull for NVNA Based Load Pull Measurements0900-0920T. Gasseling, AMCAD Engineering, Limoges, France

Paper 2-3: Influence of Waveguide Width Errors on TRL and LRL Calibrations1130-1150J. Stenarson2, K. Yhland2, T. N. Do3, H. Zhao3, P. Sobis4, J. Stake3, 1GHz Centre, Gothenburg, Sweden, 2SP Technical Research Institute of Sweden, Boras, Sweden, 3Chalmers University of Technology, Gothenburg, Sweden, 4Omnisys Instruments AB, Gothenburg, Sweden

Paper 3-3: Measurements of Waveguide Flange Repeatability in the 500-750 GHz and 750-1100 GHz Bands1420-1440H. Li 1, A. R. Kerr2, J. L. Hesler3, R. M. Weikle1, 1University of Virginia, Charlottesville, USA, 2National Radio Astronomy Observatory, Charlottesville, USA, 3Virginia Diodes, Inc., Charlottesville, USA

Paper 1-4: Synchronizing Modulated NVNA Measurements on a Dense Spectral Grid0920-0940Y. Rolain, M. Schoukens, R. Pintelon, G. Vandersteen, Vrije Universiteit Brussel, Brussel, Belgium

Paper 2-4: VNA Tools II: S-Parameter Uncertainty Calculation1150-1210M. Wollensack, J. Hoffmann, METAS Swiss Federal Office of Metrology, Bern-Wabern, Switzerland

Paper 3-4: Performance of New Design of Waveguide Flange for Measurements at Frequencies from 800 GHz to 1.05 THz1440-1500M. Horibe, R. Kishikawa, National Institute of Advanced Industrial Science and Technology, Tsukuba, Japan

Paper 1-5: Nonlinear Deembedding of Microwave Large-Signal Measurements0940-1000G. Avolio1, A. Raffo2, D. Schreurs1, G. Vannini2, B. Nauwelaers1, 1KU Leuven, Leuven, Belgium, 2University of Ferrara, Ferrara, Italy

Paper 3-5: Experiment and Analysis of Microwave Termination Stability over Temperature and Time1500-1520Y. Lee, Anritsu Company, Morgan Hill, USA

INTERACTIVE FORUMChair: Rusty Myers, Maury Microwave, USA

Paper 5-1: Precise Microwave Measurement of Liquid LevelK. Hoffmann, Z. Skvor, Czech Technical University in Prague, Faculty of Electrical Engineering, Praha, Czech Republic

Paper 5-2: Frequency Spectrum of Signal Sampled in Modulation DomainF. Zhou2, R. Zhang2, L. Guo2, D. Shi1, F. Ruan3, Y. Shen1, Y. Gao1, 1Beijing University of Posts and Telecommunications, Hai Dian Dis, China, 2Telecommunication Metrology Center of Ministry of Industry and Information Technology (TMC), Hai Dian Dis, China

Paper 5-3: What Can the ABCD Parameters Tell us About the TRL?J. A. Reynoso-Hernandez1, M. A. Puli do-Gaytan1, M. C. Maya-Sanchez1, J. R. Loo-Yau2, 1Centro de Investigacion Cientifica y de Educacion Superi or de Ensenada (CICESE), Ensenada, Mexico, 2Centro de Investigacion y Estudios Avanzados del I. P. N. Unidad Guadalajara, Mexico

Paper 5-4: Optimal Design of Precision Slab-line for N Type Coaxial Automatic TunerH. Huang1, M. X. Liu3, X. Lv2, 1National Institute of Metrology, Beijing, China, 2Beijing Institute of Technology, Beijing, China, 3National Institute of Metrology, Beijing, China

Paper 5-5: Characteristic Impedance Determination Technique for CMOS On-Wafer Transmission Line with Large Substrate LossK. Takano, S. Amakawa, K. Katayama, M. Motoyoshi, M. Fujishima, Hiroshima University, Higashi-Hiroshima, Japan

Paper 5-6: A LRL Calibration Method for Dielectric Measurement with Coaxial Air LineX. Liu1, H. Huang2, C. Song1, 1National Institute of Metrology, Beijing, China, 2Beijing Institute of Technology, Beijing, China

Paper 5-7: A New Evaluation Technique of a WR-22 CalorimeterX. Cui, Y. Li, X. Gao, National Institute of Metrology, Beijing, China

Paper 5-8: A Wide-Band Method to Measure the Equivalent Reflection Coefficient of Signal SourcesJ. Kim, J. Kang, J. Kwon, J. Park, Korea Research Institute of Standards and Science, Daejeon, Republic of Korea

Page 105: IMS2012 Program

› 102

WORKSHOPS & SHORT COURSES

WORKSHOPS AND SHORT COURSESWorkshops and Short courses are offered on Sunday, Monday and Friday of Microwave Week. Please see daily handout on Sunday, Monday, and Friday in the registration area and from volunteers throughout the meeting floors to confirm room location.

SUNDAY WORKSHOPS 17 JUNE

WSA Sunday, 0800 – 1700 Unconventional Power Amplifier Architecture with High Efficiency

Sponsors: MTT-5, MTT-17

Organizers: Bumman Kim, Pohang University of Science and Technology Frederick H. Raab, Green Mountain Radio Research Company Allen Katz, The College of New Jersey/Linearizer Technology, Inc.

Abstract: As the wireless information transmission has become a part of everyday life, producing highly efficiency power amplifiers (PAs) has become of vital importance. The PA is the key system component, and consequently is experiencing very rapid technological advancement. This workshop will cover recent PA progress with a unique focus on advanced PA architectures. We called the architectures to be presented ‘unconventional’ because for the most part they are not yet being applied in production power amplifiers, and are emerging, and rapidly changing technologies. It is true that these technologies may have been presented in the past individually, but never all together where their advantages and disadvantages can be compared and discussed as a group. The first talk will be an overview of techniques for achieving both highly efficient and linear power amplification. The basic concepts will be reviewed, and recent achievements as well as practical limitations will be discussed. The optimized unit PA, an essential element for enhanced high efficiency performance, will be introduced. The workshop will then cover important recent advances in PA architectures, including Doherty, Envelope tracking/restoration, Outphasing, Class-S voltage-mode, and Digitally modulated amplifiers. Finally, ultra-broad band linear and efficient PAs will be introduced. The leading speakers from all over the globe have been recruited. After the speaker presentations, there will be one hour panel session. During this session the trades between the various technologies presented and related key technology questions will be discussed by the presenters and the audience. Audience participation will be promoted and encouraged. Attendees will be invited to submit a maximum of two slides for presentation as part of this session.

1. “High-Efficiency Power Amplifiers and Transmitters” F. H. Raab, Green Mountain Radio Research, Colchester, United States

2. “Characteristics of Various Switching Amplifiers and The Optimized Structure” B. Kim1, J. Moon2, S. Jee1, J. Kim1, 1Postech, Pohang, Republic of Korea, 2Samsung Electronics Company, Suwon, Republic of Korea

3. “High-efficiency Doherty Amplifier Architectures” A. Grebennikov, Alcatel-Lucent Ireland, Blanchardstown, Ireland

4. “Envelope Tracking Power Amplifiers at X-band to W-band” D. Kimball, MaXentric Technologies, La Jolla, United States

5. “Enhanced Outphasing Power Amplifiers” M. P. van der Heijden1, M. Acar1, J. Qureshi1, L. C. de Vreede2, 1NXP Semiconductors, Eindhoven, Netherlands, 2Delft University of Technology, Delft, Netherlands

6. “The class-S Voltage-mode Concept: State-Of-The-Art Results and Efficiency Analysis” W. Heinrich, Ferdinand-Braun-Institut (FBH), Berlin, Germany

7. “Digitally-Controlled Power Amplifiers for Handset Applications” P. Asbeck, UCSD, La Jolla, United States

8. “Achieving Linear Power Amplifiers with Both Wide Bandwidth (Multiple Octave) and High Efficiency” A. Katz, The college of New Jersey, Ewing, United States

WSB Sunday, 0800 – 1700 Modern Techniques for Tunable and Reconfigurable RF/Microwave Filter Development

Sponsors: MTT-8

Organizers: Roberto Gómez-García, University of Alcalá Xun Gong, University of Central Florida

Abstract: This workshop focuses on the area of tunable and reconfigurable RF/microwave filters by reporting recent research findings in this exciting field. This includes a large variety of novel planar/hybrid tunable circuit realizations for spectrum management and dynamic broad-band filtering, as well as new high-Q micro-electro-mechanical-system-(MEMS)-based reconfigurable filters for wide tuning ranges and their realization through cut-edge technologies such as substrate-integrated waveguides (SIWs) and evanescent-mode cavity resonators. Latest results on ferroelectric barium-strontium-titanate (BST) materials for high-speed-switching tunable filter design and GaAs and SiGe processes (CMOS, BiCMOS) for MMIC reconfigurable active filter development in single- and differential mode arrangements are also reported.

1. “New Advances in Tunable Hybrid/Planar Filter Technology for Spectrum Management” A. C. Guyette, D. R. Jachowski, Naval Research Laboratory, Washington, United States

2. “Electronically Reconfigurable Planar Microwave Filters” J. Hong, Heriot-Watt University, Edinburgh, United Kingdom

3. “Substrate-Integrated-Waveguide RF MEMS Tunable Filters” K. Entesari1, V. Sekar2, 1Texas A&M University, College Station, United States, 2Peregrine Semiconductors, San Diego, United States

4. “Frequency-Agile Reconfigurable Filter Structures Based on Tunable Cavity Resonators” W. J. Chappell1, J. Lee2, 1DARPA, Arlington, United States, 2Korea University, Seoul, Republic of Korea

5. “Widely-Tunable High-Q RF Front-End Filters” D. Peroulis, Purdue University, West Lafayette, United States

6. “Tunable Bandpass and Bandstop Filters Based on Dual-Band Combline Structures” I. Hunter1, A. Abunjaileh2, 1University of Leeds, Leeds, United Kingdom, 2EADS Astrium, Stevenage, United Kingdom

7. “Tunable Filters Based on BST Materials” B. Lacroix, J. Papapolymerou, Georgia Institute of Technology, Atlanta, United States

8. “Reconfigurable RF and Microwave Filtering Devices in MMIC Technologies” B. Barelaud, B. Jarry, J. Lintignat, XLIM, Limoges, France

9. “Tunable Bandpass and Bandstop Filter Topologies Using MEMS and Schottky Diodes” G. M. Rebeiz, The University of California, San Diego, La Jolla, United States

Page 106: IMS2012 Program

› 103

WORKSHOPS & SHORT COURSES

WSC Sunday, 0800 – 1700 3-D Integrated Circuits

Sponsors: MTT-12, RFIC

Organizers: Robert W. Jackson, University of Massachusetts Wolfgang Heinrich, FBH-Berlin Li Wu Yang, Semiconductor Manufacturing International Corp.

Abstract: Three dimensional integrated circuits have been under study for many years now. There are two areas that are of particular interest lately. The combination of high performance indium phosphide with the high integration level of silicon is a type of heterogenous integration which is of particular interest to the military. The second area is the stacking of substrates to combine RF, photonics, signal processing, and control. Topics in this area include wafer bonding techniques, TSV technology, TSV RF modeling, and 3D assembly techniques. Very complex, compact, high performance subsystems result. The current state of the art will be presented.

1. “3D Heterogeneous Integration and the DARPA Diverse Accessible Heterogeneous Integration (DAHI) Program” S. Raman1, C. L. Dohrman2, T. Chang2, J. S. Rodgers1, 1DARPA, Arlington, United States, 2Booz Allen Hamilton, Arlington, United States

2. “Heterogeneous Integration of III-V Devices and Si CMOS on a Silicon Substrate” T. E. Kazior, Raytheon Integrated Defense Systems, Andover, United States

3. “Heterogeneous Integration of InP HBTs and RF-CMOS Technologies for RFICs” J. C. Li, Y. Royter, T. Hussain, P. R. Patterson, J. R. Duvall, M. C. Montes, I. Valles, M. F. Boag-O’Brien, D. M. Le, D. M. Zehnder, S. J. Kim, E. F. Wang, D. A. Hitko, M. Sokolich, D. H. Chow, K. R. Elliott, P. D. Brewer, HRL Laboratories, LLC, Malibu, United States

4. “Wafer-Scale Assembly & Heterogeneous Integration Technologies for MMICs” P. Chang-Chien, Northrop Grumman Aerospace Systems, Redondo Beach, United States

5. “Optimal Technology Integration through 3D Wafer-Scale Bonding” J. B. Muldavin, MIT Lincoln Laboratory, Lexington, United States

6. “High Frequency Modeling and Measurement of TSV in 3D IC” J. Kim, KAIST, Daejeon, Republic of Korea

7. “TSVs for 3D RF system integration” W. De Raedt, X. Sun, E. Beyne, Imec, Leuven, Belgium

8. “3D Integration for Microwave Application” M. Wolf, Fraunhofer IZM, Berlin, Germany

WSD Sunday, 0800 – 1700 RF & mmW PAs: Linearization and Power Challenges

Sponsors: RFIC

Organizers: Eric Kerhervé, IMS Didier Belot, ST Microelectronics

Abstract: Power amplifiers in RF and mmW have two main challenges to target in the same time, the linearity and the power. The modulations are more and more complex — from 16 to 64 QAM in mmW and from 64 to 128 QAM in RF — with multicarriers OFDM on wider and wider bands. In the same time, mainly in RF the power remains a challenge in Silicon technologies. We will have an overview of state of the art technologies and design techniques to address such challenges for RF Cellular Mobile, Base stations, WLAN and mmW WLAN applications.

1. “Embrace Circuit Nonlinearity to get Transmitter ‘Linearity’ and Energy Efficiency” E. McCune, RF Communications Consulting, Santa Clara, United States

2. “RF Power Amplifier Design” L. E. Larson1, P. Asbeck2, D. Kimball2, 1Brown University, Providence, United States, 2UCSD, La Jolla, United States

3. “PA Design for Base Stations”

D. Leenaerts, NXP Semiconductors, Eindhoven, Netherlands4. “Silicon Based RF Front End Modules”

F. Balteanu, Skyworks Solutions, Ottawa, Canada5. “RF Silicon PA, How to Aim the Linearity/Power/Efficiency…Tradeoff”

A. Scuderi, STMicroelectronics, Catania, Italy 6. “Cartesian Feedback with Digital Enhancement applied to Fully Integrated

CMOS RF Transmitter” N. Deltimple1, N. Delaunay1, W. Sanaa1, B. Le Gal1, C. Rebai2, D. Dallet1, D. Belot3, E. Kerhervé1, 1IMS Laboratory, Talence, France, 2CIRTA’COM Research Laboratory, Carthage, Tunisia, 3STMicroelectronics, Grenoble, France

7. “CMOS Millimetre-wave Doherty Power Amplifiers” F. M. Ghannouchi, M. Akbarpour, M. Helaoui, University of Calgary, Calgary, Canada

8. “Holistic Approaches for Power Generation, Linearization, and Radiation in CMOS” A. Hajimiri, California Institute of Technology, Pasadena, United States

WSE Sunday, 0800 – 1700 Towards Watt-Level mm-Wave Efficient Silicon Power Amplifiers

Sponsors: RFIC

Organizers: Hossein Hashemi, University of Southern California Sanjay Raman, Virginia Tech

Abstract: Over the past several years, there has been a significant drive in both academia and industry to demonstrate silicon integrated solutions at mm-waves for emerging applications such as short-range high data-rate wireless communications, automotive radars, and biomedical imaging. Monolithic mm-wave transceivers and phased arrays in SiGe HBT and CMOS have been demonstrated by several groups and entered the market as commercial products. In fact, advancements in silicon mm-wave integrated systems have led to consideration for their usage in military and space systems where historically compound semiconductor solutions have dominated. Despite recent advancements, Watt-level power amplifiers at mm-waves are still challenging research topics. Moreover, the reported low-power (100mW) power amplifiers are typically inefficient (PAE 20%). At this point, virtually all Watt-level mm-wave power amplifiers are implemented in compound semiconductor technologies, but, without the level of integration and complexity offered by advanced silicon technologies. However, there are several research groups that are working towards realizing efficient high-power mm-wave power amplifiers and transmitters using standard silicon technologies. In addition, DARPA has recently invested in the development of efficient Watt-level mm-wave transmitters under the Efficient Linearized All-Silicon Transmitter ICs (ELASTx) program. The aforementioned trend at mm-wave resembles that at Radio Frequencies where monolithic CMOS transceivers (e.g., for cellular phones) were followed by Watt-level efficient CMOS/Si power amplifiers several years later. This proposed workshop consists of representatives from 10 leading research groups in academia and industry that have been working on mm-wave efficient silicon power amplifiers with higher output power levels. Many of the talks will include new research results (either unpublished at the time of RFIC/IMS or to be published in 2012 for the first time). Novel design methodologies will be discussed that may be able to overcome the significant challenges in achieving high efficiency and robust power levels in silicon at mm-wave frequencies.

1. “Design of CMOS mm-Wave Power Amplifier” P. Reynaert, KU Leuven, Leuven, Belgium

2. “Efficient, Watt-class, mmWave CMOS PAs: Stack Devices Aggressively, Switch Them Hard and Power-Combine” H. Krishnaswamy, Columbia University, New York, United States

3. “Mixing Things Up: Analog and Digital Techniques for High Frequency Silicon Power Amplifiers” A. M. Niknejad, J. Chen, L. Ye, UC Berkeley, Berkeley, United States

4. “The Design of Area- and Power-Efficient mm-Wave PAs in Silicon CMOS and

Page 107: IMS2012 Program

› 104

WORKSHOPS & SHORT COURSES

BiCMOS Using On-Chip Magnetics” J. R. Long, Y. Zhao, M. Spirito, D. Cheung, TU Delft, Delft, Netherlands

5. “Design Paradigm of 30dBm Power Amplifier in SiGe” R. Mahmoudi1, J. Essing1, D. Leenaerts2, 1Eindhoven University of Technology, Eindhoven, Netherlands, 2NXP semiconductors, Eindhoven, Netherlands

6. “High Efficiency Si-Based Mm-Wave Amplifiers Using Free-Space Power Combining” P. Asbeck1, G. Rebeiz2, J. Buckwalter3, L. Larson4, S. Voinigescu5, 1UCSD, La Jolla, United States, 2UCSD, La Jolla, United States, 3UCSD, La Jolla, United States, 4Brown University, Providence, United States, 5University of Toronto, Toronto, Canada

7. “Digital and RF Correction of Linear and Nonlinear Distortion for Efficient Millimeter-Wave SoC CMOS Transmitter Designs” T. R. LaRocca, Northrop Grumman Aerospace Systems, Redondo Beach, United States

8. “24-GHz to 150-GHz High-Power CMOS PA Combining Architectures Comparison and Stability Analysis” T. Huang, K. Lin, H. Wang, National Taiwan University, Taipei, Taiwan

9. “High Power, Efficient and Reliable mm-wave CMOS Power Amplifiers, Squaring the Circle?” B. Martineau, STMicroelectronics, Crolles, France

10. “Large Power, Phase and Amplitude Modulated, Switching PAs for mm-Wave High-Efficiency Digital Transmitters” A. Balteanu1, I. Sarkas1, S. P. Voinigescu1, P. Asbeck2, G. Rebeiz2, J. Buckwalter2, L. Larson3, 1University of Toronto, Toronto, Canada, 2University of California, UCSD, La Jolla, United States, 3Brown University, Providence, United States

WSF Sunday, 0800 – 1700 Wide-band (Multi-Octave), Fast Settling, RF Frequency Synthesis

Sponsors: RFIC

Organizers: Behnam Analui, USC Hossein Hashemi, USC

Abstract: Frequency Synthesizers are at the heart of radio frequency (RF) wireless communication systems. With the emergence of programmable radio systems, e.g. multi-standard, cognitive radio (CR) and software-defined radio (SDR), one new key block that needs to be developed is the RF frequency synthesizer. For example, a modern frequency synthesizer for an integrated SDR must meet a new and challenging set of requirements, e.g., wide frequency coverage, while maintaining or improving on traditional specifications such as low phase noise. This full-day workshop focuses on the state of the art developments on the topic of frequency synthesis for wide-band RF applications. Key aspects which are emphasized and covered include: wide (multi-octave) frequency coverage, fast-settling time for frequency switching and hopping, and low-noise and low-spurious tones. Various architectural choices and circuit topologies for RF frequency synthesizers and their application to wide-band RF systems, e.g. SDR and CR, are discussed. Examples of wide-band RF synthesizers used in SDR radios based on advanced CMOS processes (130nm, 90nm, 40nm, etc.) are also presented. Architectures discussed by multiple presenters include: Direct digital frequency synthesis, fractional-N PLL with multi-phase clock generation, open-loop dynamic phase switching, multi-order harmonic generation, and all digital PLL. In addition, various topologies including cyclic coupled ring oscillators and sub-harmonic injection locking synthesizers for wide-band and/or fast settling time operation are presented.

1. “Multi-Order Harmonic Generation for Wideband Frequency Synthesis” E. Sánchez-Sinencio, M. M. Abdul-Latif, Texas A&M University, College Station, United States

2. “Injection Locking for Wideband, Fast Settling, RF Synthesizers” R. Harjani, University of Minnesota, Minneapolis, United States

3. “Open Loop Digital Modulation Techniques for Wide Bandwidth Frequency Synthesis” S. Pamarti, University of California, Los Angeles, Los Angeles, United States

4. “100MHz-6GHz Analog and Digital Frequency Synthesis for SDRs in Nanoscale CMOS” J. Borremans, IMEC, Leuven, Belgium

5. “Power Efficient Flexible Clock Generation with Low Phase Error” E. Klumperink, University of Twente, Enschede, Netherlands

6. “Achieving Fast Locking and Wide Bandwidth Operation through All-digital PLL Techniques” R. B. Staszewski, Technische Universiteit Delft, Delft, Netherlands

7. “Digital to Time Converter DDS: Spur Analysis and Mitigation” S. A. Talwalkar, Motorola Solutions, Inc, Plantation, United States

8. “Direct Digital Synthesis Technology in High Performance RF Applications” J. Baird, J. Cavey, Analog Devices, Norwood, United States

WSG Sunday, 0800 – 1700 RF and Modem Techniques for Multi-standard Radios Coexistence

Sponsors: RFIC

Organizers: Walid Y. Ali-Ahmad, MediaTek Inc. Jacques C. Rudell, University of Washington

Abstract: Year by year, there is a drive to have more wireless functionalities available at the tip of our fingers, and hence, more push is being done towards multi-radios integration with the use of CMOS technology. These radios cover a diverse group of connectivity and cellular standards. This workshop will address the challenges for RF co-existence on SoC level or in multi-radio platform; it will focus on the use of digital baseband assisted radio architectures, RF front-end and transceiver techniques, and coordination at the UE modems level to result in the optimum multi-standard coexistence and multi-mode concurrency in a multi-radio environment.

1. “High Integration CMOS Frontends in an Increasingly Coexistent Multi-Standard, Cognitive and SDR Radio Environment” J. C. Rudell, University of Washington, Seattle, United States

2. “A Cost Effective Approach for Accommodating Multi-Radio Coexistence in Consumer Market Devices” O. E. Eliezer1, N. Tal2, 1Xtendwave, Dallas, United States, 2Texas Instruments, Ra’anana, Israel

3. “Coexistence Issues and Mitigation in Multi-mode Band Cellular Radios” K. Sahota, Qualcomm Inc., San Diego, United States

4. “Uncovering the Mystery of Multi-radio SoC Integration” G. Chien1, C. Wu2, Y. Chung2, T. Wu2, 1MediaTek, Inc., San Jose, United States, 2MediaTek, Inc., Hsinchu Science Park, Taiwan

5. “Multi-Radio Coexistence, Enabling RF Performance by Digital Assistance” A. Hanke, Intel, Neubiberg, Germany

6. “Design Considerations of Multi-functional Radios in SOC Environment” L. Lin, Marvell Semiconductor Inc., Santa Clara, United States

7. “Techniques to Improve Coexistence in Multi-Standard SoCs” M. Kohlmann, Qualcomm Inc., Santa Clara, United States

8. “Integration of Radios into High Performance PC based SoCs” H. Lakdawala, Intel Corporation, Hillsboro, United States

WSH Sunday, 0800 – 1200 RF and Analog ICs for Bio-medical Applications

Sponsors: RFIC

Organizers: Fred S. Lee, Fairchild Semiconductor Mona Hella, Rensselaer Polytechnic Institute

Abstract: Applied RFIC and mixed-signal systems have been great enablers in advancing the bio-medical field, ranging from observing bio-molecular interactions to treating cancer. This workshop is designed to expose RF/mixed-

Page 108: IMS2012 Program

› 105

WORKSHOPS & SHORT COURSES

signal designers to the challenges and opportunities of designing bio-medical systems in an interdisciplinary environment where ideas can be exchanged. No prior experience in biological systems will be necessary, as all material covering the bio-medical portion will be tutorial based. Through the half-day workshop, we will be covering: Systems and applications of bio-medical devices, Implantable medical devices, In vivo force sensors, THz imaging, Bio-molecular analysis, Microwaves in cancer detection, and Low power radios for wearable wireless sensors.

1. “RF Communication for Implantable Cardiac Rhythm Management Devices” E. H. Klaassen, St. Jude Medical, Sunnyvale, United States

2. “Requirements for Implantable RF Medical Diagnostic Systems in Orthopaedic Surgery” E. H. Ledet, Rensselaer Polytechnic Institute, Troy, United States

3. “Chip Design for On-body Wireless Sensing” B. Otis, University of Washington, Seattle, United States

4. “Microwave Technologies for Breast Health and Disease Management” M. J. Burfeindt, N. Behdad, B. D. Van Veen, S. C. Hagness, University of Wisconsin-Madison, Madison, United States

5. “Biomedical THz Studies: From in vivo Skin Imaging to Molecular Spectroscopy” E. Pickwell-MacPherson, Hong Kong University of Science and Technology, Hong Kong, Hong Kong

6. “Solid-state and Biological Systems Interface” D. Ham, Harvard University, Cambridge, United States

WSI Sunday, 0800 – 1200 RF at the Nanoscale

Sponsors: RFIC

Organizers: Gernot Hueber, NXP Semiconductors R. Bogdan Staszewski, Delft University of Technology Stefan Heinen, RWTH Aachen

Abstract: Advances in CMOS fabrication technology enabled the use of CMOS technology in today RF transceivers for wireless communications. Multi-band and multi-mode radios covering the diversity of communication standards from 2G GSM, 3G UMTS, to 4G LTE and LTE-advanced impart unique challenges on the RF-transceiver design due to limitations in terms of reconfigurable RF components that meet the demanding cellular performance criteria at costs that are attractive for mass market applications. As well, nanoscale CMOS on the one hand features the possibility for implementing a significant computational power and complex functionality directly on a single IC, on the other hand it shows poor performance in RF circuits compared to other technologies. The focus of this workshop will be on the challenges the cellular standards pose on future multi-radio integration in nanoscale CMOS, along with a thorough discussion of advanced techniques for receivers and transmitters towards integration in a multi-radio SoC or SiP. Approaches include novel architectures, highly configurable analog circuitry, digitally assisted and enhanced analog/RF modules and the integration of digital signal processing into the traditionally purely analog front-end.

1. “Multimode Transmitters and Power Amplifiers in Nanometer CMOS.” P. Reynaert, KU Leuven, Leuven, Belgium

2. “Digital Intensive Transmitters in Nanoscale CMOS” M. Ingels, Imec, Leuven, Belgium

3. “System Challenges for Future Integration of Multi-mode Multi-band Radios Based on Evolving 3GPP Cellular Standards, Release 9 and Beyond” W. Y. Ali-Ahmad, MediaTek, Singapore, Singapore

4. “Receiver Architectures for Software-Defined and Cognitive Radio Applications H. Darabi, Broadcom, Irvine, United States

5. “Recent Advancements and Future Directions in Digital RF and Digitally-Assisted RF”

R. B. Staszewski, Technische Universiteit Delft, Delft, Netherlands6. “CMOS Switched-Capacitor Circuits for RF Applications”

D. J. Allstot, Univ. of Washington, Seattle, United States

WSJ Sunday, 0800 – 1200 RF Spectrum Sensing and Signal Feature Detection Circuits

Sponsors: RFIC

Organizers: Eric Klumperink, University of Twente Ranjit Gharpurey, University of Texas

Abstract: Spectrum sensing and feature detection are crucial for cognitive radio to detect locally free spectrum and predict interference. This workshop provides an overview of theoretical concepts and techniques, as well as practical circuits and architectures for such applications.

1. “Fundamental Limits on Spectrum Sensing” R. Tandra, Qualcomm Inc., San Diego, United States

2. “Spectrum Awareness: Signal Classification” O. A. Dobre, Memorial University, St. John’s, Canada

3. “A Wideband Spectrum Sensing Technique with Integrated Dynamic-Range-Scalable Energy Detector” M. Kitsunezuka, NEC Corporation, Kawasaki, Japan.

4. “Cross-correlation Spectrum Sensing” M. S. Oude Alink, University of Twente, Enschede, Netherlands

5. “Versatile Sensing for Mobile Devices: Cost, Performance and Hardware Prototypes” J. Borremans, Imec, Leuven, Belgium

WSK Sunday, 0800 – 1700 Recent Development in CMOS Mixer Design and Application

Sponsors: RFIC

Organizers: Osama Shana’a, MediaTek Danilo Manstretta, University of Pavia

Abstract: The design of RF mixers has evolved in recent years. Some of the main observations are perhaps the use of none 50% duty-cycle LO and the increasing popularity of current driven passive mixers compared to the classic 50% LO driven voltage mode active mixers. Furthermore, there is an increasing demand for harmonic rejection mixers in broadband applications such as TV tuners, as well as the use of passive mixers to construct high-Q RF filters. In additions, modern 3G/4G FDD transmitters rely now on low-noise mixers to achieve SAW-less architecture. This workshop reviews all different mixer topologies and sheds more light on the design considerations and tradeoffs plus merits of each approach.

1. “Passive and Active CMOS Mixers, An Overview” O. K. Shana’a, MediaTek, San Jose, United States

2. “Analysis and Optimization of Transceivers with Passive Mixers” A. Mirzaei, Broadcom Corporation, Irvine, United States

3. “Harmonic Rejection Mixers for Wide-band Receivers” A. A. Rafi, Silicon Laboratories, Austin, United States

4. “Impedance and Noise Interactions Through CMOS Passive Mixers” A. C. Molnar, Cornell University, Ithaca, United States

5. “Second-Order Intermodulation in CMOS Down-Converters” D. Manstretta, University of Pavia, Pavia, Italy

6. “Linearity Improvement of Mixers Using Digitally Assisted Mismatch Calibration and Interferer Cancellation” P. R. Kinget, Columbia University, New York, United States

7. “Mixers for High Performance Transmitters in Advanced CMOS”

Page 109: IMS2012 Program

› 106

WORKSHOPS & SHORT COURSES

J. V. Sinderen, NXP, Eindhoven, Netherlands8. “Multi-Path Poly-Phase Passive Mixer Circuits for Flexibly Programmable

Harmonic Rejection Mixing and High-Q Filtering” E. Klumperink, University of Twente, Enschede, Netherlands

WSL Sunday, 0800 – 1200 Recent Developments of High-Speed Wireline Transceivers

Sponsors: RFIC

Organizers: Chun-Ming Hsu, IBM Patrick Yue, The Hong Kong University Science and Technology

Abstract: The demand for higher bandwidth data transmission systems has brought the wireline transceiver design into the region of tens of gigabits per second. New applications ranging from business area to home entertainment area will undoubtedly continue to drive the developments of such transceivers in the next decade. To enable a transceiver in such a high data rate at a reasonable level of power consumption, advanced equalization in the transmitter and receiver as well as clock-and-data recovery techniques are necessary to conquer the channel impairments. In addition, intensive digital adaptation and calibration become inevitable to overcome degraded analog characteristics in the state-of-the-art CMOS processes. Consequently, such an IO is not a pure digital circuit any more but becomes a complicated mixed-signal system requiring optimization from both the system and circuit perspectives. This educational workshop is designed to go through the challenges and opportunities in this field with several recent implementation examples covering a wide design space.

1. “A 1.0625-to-14.025Gb/s Multi-media Transceiver with Full-rate Source-Series-Terminated Transmit Driver and Floating-Tap Decision-Feedback Equalizer in 40nm CMOS” F. Y. Zhong, LSI Corporation, Milpitas, United States

2. “An Adaptive Equalizer for High-speed Backplane Transceivers” Y. Hidaka, Fujitsu Laboratories of America, Inc., Sunnyvale, United States

3. “Designing Low-Power Serial Links” F. O’Mahony, Intel, Hillsboro, United States

4. “Interface Architectures & Circuit Design Suitable for Future Low-Power Memories” J. Zerbe, Rambus Inc., Sunnyvale, United States

5. “An ADC-based AFE for 10Gbps Serial Links over Backplane/MMF and a 2x23Gbps RX/TX Chipset for DQPSK Optical Transmission” J. Cao, Broadcom Corp, Irvine, United States

WSM Sunday, 1300 – 1700 Advances in Noise Analysis for RF Circuits

Sponsors: RFIC

Organizers: Nebabie Kebebew, Cadence Design Systems Vuk Borich, Cadence Design Systems

Abstract: Noise dramatically impacts system-level performance. This is especially pronounced with advanced node RF-IC circuits that have noise sensitive architectures such as sigma-delta ADCs, fractional-N and Integer-N PLLs and SerDes. Thus noise characterization and minimization is a required objective and task for RF-IC designs. Noise sources are inherent in the circuit elements and cannot be eliminated. Because device noise determines the fundamental limits on circuit performance, it plays a significant role in analog/RF circuit design. In this workshop your will hear and learn from experts in different domains; RF circuit designers, research and academia, and noise analysis tool providers. They will present their practical experiences, discoveries, methodology and solutions used to address the challenges with noise characterization for RF-IC designs. You, the

attendee will also have an opportunity to share your experience and challenges.

1. “Practical Noise Analysis for a 14GHz PLL and Silicon Correlation” N. Bhagwan, GHz Circuits, Inc, Sunnyvale, United States

2. “Low-frequency, High-frequency and Phase Noise of Advanced CMOS” S. Mohammadi, Purdue University, West Lafayette, United States

3. “Accurate and Fast Simulation of Noise in RF Transceivers” E. Ngoya1, G. Estep2, A. Soury2, 1XLIM-CNRS, Université de Limoges, Limoges, France, 2Agilent Technologies, Santa Clara, United States

4. “RF Circuit Noise Analysis with Full Spectrum Accuracy” Y. Zhu, X. Lai, Y. Li, R. Davis, Cadence Design System Inc, San Jose, United States

WSN Sunday, 1300 – 1700 Short-Range Near-Field Communications (NFC)

Sponsors: RFIC

Organizers: Magnus Wiklund, Qualcomm Gernot Hueber, NXP Austria

Abstract: Short-Range Near-Field Communications (NFC) has become a technology that is on the way to make an impact on our everyday lives. In mobile phones NFC is used in various applications such as Gaming (Angry birds) and mobile payments (Google Wallet). The technological trend of the RFIC community is to explore boundaries of what our modern integrated circuit processes has to offer. So what kind of challenges and opportunities do 13.56MHz technologies have to offer when advanced research is moving in the direction towards THz circuits? It turns out that RFIC development of NFC circuits is a highly advanced topic. This workshop covers a wide spectrum of what NFC is all about. Leading industrial and academic players are presenting their views on important topics such as - Integration into SoCs - Architecture challenges and systems requirements - Very high data rate systems and RF memories - NFC circuits at the nanoscale - NFC fundamentals (Electromagnetism and circuits, tools, useful theories) - NFC related technologies - New opportunities (both for research and business).

1. “NFC Fundamentals” M. O. Wiklund, A. Wong, Qualcomm Inc, Santa Clara, United States

2. “Design Considerations for Low Power, Multi-Standard NFC SoCs” G. Hueber, NXP Semiconductors, Gratkorn, Austria

3. “Large-Scale Radiating Integrated Circuits” A. Babakhani, Rice University, Houston, United States

4. “From NFC/RFID to Wirelessly Readable and Writable Memories” J. Jantunen1, M. Pelissier2, B. Gomez2, J. Arponen1, 1Nokia, Helsinki, Finland, 2CEA-Leti, Grenoble, France

5. “Ultra-low Power MEMS-based Radio for Short-range Wireless Communication” C. C. Enz2, D. Ruffieux1, 1CSEM, Neuchatel, Switzerland, 2EPFL, Lausanne, Switzerland

WSO Sunday, 1300 – 1700 Advancements in Front End Modules for Mobile and Wireless Applications

Sponsors: RFIC, MTT-5, MTT-23

Organizers: Joseph Staudinger, Freescale Semiconductor Inc. Freek van Straten, NXP Gary Zhang, Skyworks Solutions Inc.

Abstract: The dramatic growth in cellular and mobile communication devices is placing extraordinary technical challenges to implement the front end electronics in a high performance low cost miniaturized module. Very high module complexity results due to the integration of many components, including switching elements, power amplification, digital controllers, and impedance matching networks, and

Page 110: IMS2012 Program

› 107

WORKSHOPS & SHORT COURSES

adaptive tuner matching and controllers to name but a few. The challenges cross many disciplines including packaging, thermal management, EM modeling, to advancements in semiconductor technologies. This workshop will feature experts and specialists who will provide insight and solutions to these complex issues and share their insight into future research activities.

1. “Cellular Radio Complexity and the RF Transceiver” D. B. Schwartz, Fujitsu Semiconductor Wireless Products, Tempe, United States

2. “Packaging Technology for FEMs- Thermal Design and Modeling” B. Vijayakumar, Skyworks Solutions, Inc., Irvine, United States

3. “Antenna tuner for hand-sets” A. V. Bezooijen, TDK, Nijmegen, Netherlands

4. “Silicon-on-Insulator (SOI) Switches for Cellular and WLAN Front-End Applications” A. Tombak, RFMD Inc., Greensboro, United States

5. “RFIC Front End Module EM Co-Design and Simulation” W. Sun, Skyworks Solutions, Newbury Park, United States

WSP Sunday, 1300 – 1700 Digital Transmitters and PAs for Wireless Applications

Sponsors: RFIC

Organizers: Ali Afsahi, Broadcom Corp. Waleed Khalil, Ohio State University Waleed Khalil, The Ohio State University

Abstract: The demand for lower size and power consumption wireless transceivers has been increased significantly in recent years to lower the cost and increase the battery life of mobile devices. More recently, breakthroughs in silicon-based technologies along with the introduction of newer generations of communication networks and the unprecedented surge in demand for high data rates, started the race towards purely digital solutions for all radio standards. In addition, the coexistence of “software revolution” has called for the programmability of the communication systems through software to implement a software radio (SWR). Unfortunately, RF transceivers have not taken as much advantage as baseband processors from process scalability due to many design constrains. This workshop will discuss the challenges and recent achievements in more digital transmitters/PAs to reduce the size and power consumption. Topics will range from digital-friendly PAs, RF and mm-wave DACs, power DACs and mixers, advances in device technology for high power high switching speed circuits.

1. “Recent Advances in Digital Polar and I/Q Transmitters” R. B. Staszewski, Technische Universiteit Delft, Delft, Netherlands

2. “Flexible Digital-centric Wireless Transmitters” R. Negra1, N. Zimmermann2, B. T. Thiel1, B. Mohr2, J. Mueller2, Y. Wang2, S. Heinen2, 1RWTH Aachen University, Aachen, Germany, 2RWTH Aachen University, Aachen, Germany

3. “InP and GaN Technologies for High-Speed DAC and Switches-Mode Power Amplifiers” D. A. Hitko, HRL Laboratories, LLC, Malibu, United States

4. “Wide-Band RF Digital to Analog Converter” M. Choe, Teledyne Scientific and Imaging, Thousand Oaks, United States

5. “Digitally-Modulated Polar Power Amplifiers for Multi-Mode Transmitters: Theory, Implementation and Linearization” C. D. Presti, Qualcomm Inc., San Diego, United States

6. “A Digitally Modulated Inverse Class-D Power Amplifier for Modern Wireless Standards” D. Chowdhury2, A. M. Niknejad1, 1University of California at Berkeley, Berkeley, United States, 2Broadcom Corporation, San Diego, United States

SUNDAY SHORT COURSES 17 JUNE

SC-1 Sunday, 0800 – 1700 Graphene and RF Applications

Sponsors: MTT-25

Organizers: Luca Pierantoni, Università Politenica delle Marche Max Lemme, Royal Institute of Technology

Abstract: In view to the new epochal scenarios that nanotechnologics disclose, nanoelectronics has the potential to introduce a paradigm shift in electronic systems design similar to that of the transition from vacuum tubes to semiconductor devices. Since many nano-scale devices and materials exhibit their most interesting properties at radio-frequencies (RF), nanoelectronics provides an enormous and yet widely undiscovered opportunity for the microwave engineering community. Among these materials, graphene is quickly becoming an extremely interesting solutions for a wide variety of electronic devices and circuits. It offers the possibility of outstanding performances with much lower power draw, using processing technology compatible to that used in advanced silicon device fabrication (CMOS).

Graphene science and technology has undergone an astonishing development since its experimental demonstration in 2004, and its unique properties have caught the interest of physicists, chemists and engineers alike. This general excitement has resulted in an explosion of ideas and suggestions for future Radio-Frequency applications and beyond.

In this short course, we aim to take a step back and critically evaluate the real potential of this new material in the context of established semiconductor technology.

Hence, this course addresses specific areas of interest to semiconductor device engineers and microwave/RF engineeers.

The attendants of the course will be able to:

• Understand and differentiate the state of the art and the future potential of large area, scalable graphene synthesis methods

• Understand the pros and cons of graphene-based electronic devices for Radio- Frequency electronics, including applications “outside the box” of standard design rules

• Assess the potential of graphene for broadband, high speed optoelectronics up to the THz regime, including photodetection, light modulation and lasing.

The method of presentation includes oral presentation and an open discussion on the lecture topics.

This proposal is supported by MTT-25.

Page 111: IMS2012 Program

› 108

WORKSHOPS & SHORT COURSES

MONDAY WORKSHOPS 18 JUNE

WMA Monday, 0800 – 1700 Introduction to Advanced Dielectric Measurement Techniques

Sponsors: ARFTG, MTT-11

Organizers: Michael Janezic, NIST Shelly Begley, Agilent Technologies Felipe Peñaranda-Foix, Polytechnic University of Valencia

Abstract: The purpose of this full-day workshop is to provide an overview and demonstration of the state-of-the-art techniques used to measure the dielectric properties of materials that are widely incorporated into microwave devices and components. The first half of the workshop, tutorial in nature, will provide a framework for selecting the appropriate measurement technique and will overview the most relevant techniques for accurately measuring low-loss and high-loss solid and liquid dielectric materials at frequencies ranging from 100 MHz to 100 GHz. In the second half of the workshop, attendees will have an opportunity to see many of these techniques demonstrated in an interactive, laboratory-like environment, where the practical details of dielectric measurements will be emphasized.

1. “Overview of Dielectric Measurement Techniques” M. Janezic, NIST, Boulder, United States

2. “Broadband Transmission-line Techniques” M. Janezic, NIST, Boulder, United States

3. “Millimeter-Wave Measurements: A Quasi-Optical Techniques” S. Begley, Agilent Technologies, Santa Rosa, United States

4. “Millimeter-Wave Measurements: On-Wafer Techniques” U. Arz, PTB, Braunschweig, Germany

5. “Measurement of Powders and High-loss Liquids using Resonant Cavities” J. M. Catalá-Civera, Universidad Politécnica de Valencia, Valencia, Spain

6. “Shielded-Open Coaxial Techniques for Liquid Characterization” M. Janezic, NIST, Boulder, United States

7. “Liquid Measurements using and Open-Ended coaxial Probe” S. Begley, Agilent Technologies, Santa Rosa, United States

8. “Characterization of Low-Loss Solids using TM Cavities” F. L. Penaranda-Foix, Universidad Politecnica de Valencia, Valencia, Spain

9. “Substrate Measurements with Split Post Dielectric Resonator” J. Krupka, Warsaw University of Technology, Warsaw, Poland

10. 1 “Broadband Dielectric Measurements using a Split-Cylinder Resonator” M. Janezic, NIST, Boulder, United States

WMB Monday, 1300 – 1700 Device Model Extraction Based on Vectorial Large-Signal Measurements

Sponsors: ARFTG, MTT-11

Organizers: Dominique Schreurs, K.U. Leuven Iltcho Angelov, Chalmers University

Abstract: Microwave transistor models are traditionally based on vectorial small-signal measurements (S-parameters). The availability of vectorial non-linear measurements opened a new range of modeling techniques. In this educational workshop, both industrial and academic speakers will discuss the added value of vectorial non-linear measurements in transistor modeling and model verification. It will be demonstrated that the newly developed compact and behavioural modeling techniques are able to represent better the device behaviour in operating conditions typical for emerging high-power and high-efficiency microwave circuit designs.

1. “Nonlinear Modeling Based on Non-linear Measurements: Pros and Cons” A. Raffo, University of Ferrara, Ferrara, Italy

2. “NVNA Measurements for Behavioral & Compact Device Modeling” D. E. Root, Agilent Technologies, Santa Rosa, United States

3. “Recent Results on Using LSVNA for Compact Modeling of GaN FET Devices” I. Angelov, M. Thorsell, H. Zirath, Chalmers Univ, Goteborg, Sweden

4. “Use of the Large Signal Network Analyzer for Improved Transistor Characterization and Nonlinear Model Validation” R. E. Leoni, J. A. Bahamonde, C. A. Gil, S. A. Harris, S. J. Lichwala, Raytheon Integrated Defense Systems, Andover, United States

WMC Monday, 0800 – 1700 Advanced Techniques for Electromagnetic-Based Model Generation

Sponsors: MTT-1

Organizers: Peter H. Aaen, Freescale Semiconductor Inc. Michel Nakhla, Carleton University

Abstract: Characterization and simulation of microwave networks based on tabulated data within nonlinear and time-domain simulation environments is becoming a topic of intense research. This workshop addresses recent advances towards the development of multi-dimensional, parameterized, electromagnetic simulation-based models. We shall present an in-depth review of the requirements to interface the electromagnetic and circuit simulators along with state-of-the art macro-modeling techniques. Theoretical background and foundations for constructing models from tabulated data obtained through measurements and/or simulation will be presented. Key concepts of stability, passivity and causality will be reviewed and advanced techniques used to restore these properties in tabulated data will be presented. Emphasis on these concepts ensures the physical consistency of EM-based models which is a key requirement for their proper integration into various circuit simulation domains. We will review latest developments in mathematical function approximations methods and circuit modeling techniques, which permit the development of models that have the accuracy of an electromagnetic analysis but are numerically efficient and thus simulate at traditional circuit simulation speeds. Reviews and research results from the areas of model-order reduction, rational function approximation, artificial-neural networks, and algorithms for automated equivalent circuit extraction will be presented. Advanced techniques for generation of multivariate parameterized models will be presented along the with new research insights to include statistical variations through electromagnetic simulation.

1. “Physical Consistency of Computer Aided Design Models: Fundamental Results, Practical Impact and Best Practices” P. Triverio, University of Toronto, Toronto, Canada

2. “Model-Order Reduction: Opportunities and Challenges” M. Nakhla, Carleton University, Ottawa, Canada

3. “Scalable Compact Models for Complex High-Speed Systems” F. Ferranti, T. Dhaene, Ghent University-IBBT, Gent, Belgium

4. “Macromodeling from Measured/Simulated Data” B. Gustavsen, SINTEF Energy Research, Trondheim, Norway

5. “Automatic Sampling Techniques for Model Generation” K. Bohannan, Agilent Technologies, Canton, United States

6. “Synthesis of Compact Lumped Models From Electromagnetic Analysis Results” J. C. Rautio, Sonnet Software, Inc., North Syracuse, United States

7. “Automated Generation of Parametric EM-behavior Models through Neural Networks” S. Yan1, C. Zhang1, Q.J. Zhang2, 1Tianjin University, Tianjin, China, 2Carleton University, Ottawa, Canada

8. “Automatic Model Generation and the Incorporation of Statistics” P. H. Aaen, L. Zhang, Freescale Semiconductor Inc., Tempe, United States

Page 112: IMS2012 Program

› 109

WORKSHOPS & SHORT COURSES

9. “Model Generation Using Space Mapping Techniques” J. W. Bandler1, Q. S. Cheng1, S. Koziel2, 1McMaster University, Hamilton, Canada, 2Reykjavik University, Reykjavik, Iceland

WMD Monday, 0800 – 1700 Wireless Positioning and Tracking in Indoor/Urban Environments

Sponsors: MTT-20

Organizers: Alessandro Cidronali, University of Florence Abbas Omar, University of Magdeburg Upkar Dhaliwal, Future Wireless Technologies

Abstract: This workshop deals with the state of the art techniques for wireless positioning and tracking in indoor and urban environments. It reviews the basic concepts of position estimation based on received signal strength (RSSI), time of arrival (TOA) or time difference of arrival (TDOA), and direction of arrival (DOA) techniques. On this background this workshop presents a number of applications enabled by a broad range of innovative technologies. These span from sectorial tri-dimensional antenna for coarse positioning, to UWB and synthetic radar aperture techniques for fine local position. The workshop also considers the localization in cellular services in particular for LTE with GPS-like capability in indoor environment. The workshop provides a set of live demo using some of the discussed technologies.

1. “Fundamentals of Position Estimation Techniques” A. N. Gaber, A. Omar, Otto-von-Guericke-University Magdeburg, Magdeburg, Germany

2. “Indoor and Outdoor Location Based on RSS and DoA Systems” N. B. Carvalho, L. Bras, L. Guenda, P. Pinho, Instituto de Telecomunicacoes, Aveiro, Portugal

3. “Indoor DOA Based Positioning Augmented WLAN Communications: System Analysis and Technology” S. Maddio, A. Cidronali, G. Manes, University of Florence, Florence, Italy

4. “Wireless Local Positioning System and its Applications in GPS-Denied and GPS-Jammed Environments” S. A. Zekavat, Michigan Tech, Houghton, United States

5. “Indoor Localization Via Impulse-Radio Ultra-Wideband: From Theory to Application” L. Zwirello, T. Zwick, Karlsruhe Institute of Technology (KIT), Karlsruhe, Germany

6. “Investigation of Challenges towards Achieving Sub-mm Accuracy of An Ultra Wide Band Localization System” A. E. Fathy1, E. ElKhouly1, M. J. Kuhn2, M. Mahfouz2, 1University of Tennessee, Knoxville, United States, 2University of Tennessee, Knoxville, United States

7. “Wireless Local Positioning Based on Synthetic Aperture Concepts” G. Li, M. Vossiek, Friedrich-Alexander-University Erlangen-Nuremberg, Erlangen, Germany

8. “Accurate and Repeatable Indoor location System using 3GPP Release 10 LTE Network Cellular Signals and Standard LTE/White Space Mobile Radios” U. J. Dhaliwal, Future Wirelesss Technologies, San Diego, United States

WME Monday, 0800 – 1700 THz Devices and Systems Based on Nanotechnology

Sponsors: MTT-25, MTT-34, MTT-4

Organizers: Fabio Coccetti, CNRS-LAAS Luca Pierantoni, Università Politecnica Marche Erping Li, A-STAR -IHPC Goutam Chattopadhyay, California Institute of Technology

Abstract: The continuous progress of nanoscale technology enables unprecedented

possibilities for novel devices and systems in the THz regime, such as emitters/receivers, lasers. Existing emitters/receivers exhibit poor performance and/or are too bulky to allow integration in a wireless communication system. Furthermore, as far as electronics are concerned, the devices able to perform in the 100 GHz frequency range are scarce and expensive (e.g. InP HEMTS). Although downscaling of device size is expected to boost performance possibly up to 1 THz, currently no integration-friendly devices able to operate at room temperature in the THz regime exist. In the short-to medium term, the high demand for ultrafast wireless communications will not be fully satisfied using the IC technologies currently developed. In this workshop, we introduce novel THz nanoscale semiconductor- and carbon-based devices, sensors, quantum cascade lasers, we address graphene plasmonics and discuss the multiphyisics modeling.

1. “Terahertz Sensor and Imager with Nanostructured Semiconductor and Carbon Devices” Y. Kawano, Tokyo Institute of Technology, Tokyo, Japan

2. “Multiphysics Solutions for RF and THz Nanoelectronic Interconnects and Devices” W. Yin, Zhejiang University, Hangzhou, China

3. “Graphene for Nano-plasmonic Devices” E. Li, C. Gan, A*STAR Institute of High Performance computing, Singapore, Singapore

4. “Terahertz Quantum Cascade Lasers and Active Metamaterial Inspired Waveguides” B. S. Williams, A. Tavallaee, P. W. Hon, Z. Liu, T. Itoh, University of California Los Angeles, Los Angeles, United States

5. “Investigation of THz Properties of Carbon Based Nano-Materials and Devices” H. Xin, University of Arizona, Tucson, United States

6. “Monte Carlo Simulation of THz Quantum Cascade Lasers” P. Lugli, A. Mátyás, C. Jirauschek, Technische Universitaet Muenchen, Munich, Germany

7. “Nanoantenna Infrared Detectors” P. M. Krenz1, J. A. Russer2, G. Scarpa2, P. Russer2, P. Lugli2, G. D. Boreman3, W. Porod1, 1University of Notre Dame, Notre Dame, United States, 2Technische Universitaet Muenchen, Muenchen, Germany, 3University North Carolina at Charlotte, Charlotte, United States

8. “THz Applications at Room Temperature Based on Self Switch Diodes Using wide Bandgap Material” C. Gaquiere1, G. Ducournau1, P. Sangaré Demba1, M. Fauchet1, B. Grimbert1, I. Iñiguez-de-la-Torre Mulas2, T. Gonzalez2, A. Iñiguez-de-la-Torre Mulas2, J. Mateos2, 1IEMN, Villeneuve d’Ascq, France, 2Dpto. Fisica Aplicada, Salamanca, Spain

WMF Monday, 0800 – 1700 Wireless Energy Transfer and Scavenging Techniques

Sponsors: MTT-24

Organizers: Prof. Alessandra Costanzo, University of Bologna Prof. Yoshihiro Kavahara, Tokyo University

Abstract: RF/microwave techniques are promising to play a two-fold role in energy autonomous system technologies:1) in developing ultra-low power consumption radio and 2) in developing RF green microwave for power transmission. Highly accurate design of micro-system is a key aspect for their effective exploitation to increase their functionality and their capability to work in harsh environment with limited-energy resources. Low complexity and real time algorithm have to be developed to improve the performances of smart autonomous systems. The goal of this workshop is to address all these key actors presenting the state-of-the-art of the potentials for energy autonomy from the point of view of emerging technologies, hardware and software design solutions, and communication strategies. Topics

Page 113: IMS2012 Program

› 110

WORKSHOPS & SHORT COURSES

will cover issues from current RF, mechanical and solar harvesting technologies, energy aware systems, and rectenna design for wireless power transmission and energy scavenging. Recent advances in EM/circuital co-design are discussed as the necessary tools to reach the optimum system architecture. Emerging technologies such as nanostructured materials are considered as future candidates for next generation of radiating elements and rectifiers.

1. “Energy Harvesting and Transport for Wireless Sensor Network Applications: Principles and Requirements” R. Vullers, H. Visser, imec/Holst Centre, Eindhoven, Netherlands

2. “System Design for Energy Harvesting Wireless Sensor Networks” Y. Kawahara, The University of Tokyo, Bunkyo-ku, Japan

3. “Inkjet-Printing, Nanotechnology and Energy Harvesting: The Final Step to Bridge Cognitive Intelligence, & Ubiquitous Wireless Sensor Networks” R. J. Vyas1, V. Lakafosis1, M. Tentzeris1, Y. Kawahara2, 1Georgia Institute of Technology, Atlanta, United States, 2Tokyo University, Tokyo , Japan

4. “Backscatter Communication and Localization Using the Ultra-wide Bandwidth Technology for Extremely Low-Power Consumption Sensors” D. Dardari, CNIT - University of Bologna, Cesena, Italy

5. “Energy Harvesting Active Networked Tags (EnHANTs)for Ubiquitous Object Networking” M. Gorlatova, Columbia University, New York, United States

6. “Low-Power Non-Directional Far-Field Wirelessly Powered Sensor Platforms” Z. Popovic, University of Colorado, Boulder, United States

7. “Microwave Wireless Energy Transfer and Conversion for a Communication System” S. Kawasaki, Japan Aerospace Exploration Agency, Sagamihara, Japan

8. “Multi-domain Design of Wearable Energy Generators Harvesting From Ambient RF Sources” A. Costanzo1, D. Masotti2, V. Rizzoli2, 1II School of Engineering - University of Bologna, Cesena, Italy, 2University of Bologna, Bologna, Italy

9. “Nanoelectronic Based Integrated Antennas” J. A. Russer1, G. Scarpa1, P. Russer1, W. Porod2, P. Lugli1, 1Technical University Munich, Munich, Germany, 2University of Notre Dame, Notre Dame, United States

10. “Hybrid solar-electromagnetic energy harvesting system design and Optimization” A. Georgiadis, A. Collado, CTTC, Castelldefels, Spain

11. “Rectenna Design for Electromagnetic Energy Scavenging Applications” G. Monti, L. Tarricone, University of salento, Lecce, Italy

WMG Monday, 0800 – 1700 Broadband PAs for Wireless Communications

Sponsors: MTT-5, MTT-20

Organizers: John Wood, Maxim Integrated Products Inc.

Abstract: The demand for wireless voice, data, and video communications continues to increase. Third-generation (3G) wireless communications infrastructure is already widely deployed, and fourth-generation (4G) systems are just around the corner, to try and keep up with this ever-increasing demand. The wireless service providers are also trying to keep down their capital and operating costs, and are looking to use wider bandwidth, and multi-protocol power amplifiers (PAs) to minimize the capital expense on this costly item; an example is Sprint’s desire to have one PA to cover 65 MHz signal bandwidth that has multi-carrier CDMA signals at one edge of the band, and LTE modulation at the other. This is a significant design challenge for high-power efficiency PAs. In this workshop, we shall have presentations by acknowledged international experts in the field of high-power PA design, addressing these topical challenges of wide bandwidth design.

1. “Broadband, High Efficiency PA Design; Reaching and Breaching the Octave Band Limit” S. C. Cripps, Cardiff University, Cardiff, United Kingdom

2. “Beyond the Smith Chart, Broadband Design of Power Amplifiers” G. F. Collins, MaXentric Technologies, La Jolla, United States

3. “Multi-Octave Practical Power Amplifier Realization using GaN on SiC” D. W. Runton1, T. Driver2, M. D. LeFevre1, K. Krishnamurthy3, K. P. Shallal1, 1RFMD, Chandler, United States, 2RFMD, Broomfield, United States, 3RFMD, Charlotte, United States

4. “Wideband Amplifiers Using Loadline Modulation Techniques” J. Gajadharsing, NXP, Nijmegen, Netherlands

5. “Advances in Broadband Doherty Amplifiers” D. Y. Wu, S. Boumaiza, University of Waterloo, Waterloo, Canada

6. “Increasing Bandwidth Trends within the Wireless Infrastructure Sector” R. J. Wilson, Infineon Technologies, Morgan Hill, United States

7. “Envelope Tracking - from Watts to Kilo-Watts” G. J. Wimpenny, Nujira Ltd, Cambourne, United Kingdom

8. “Cool Collector Modulation for Wideband PAs without the Heat Wave” D. Kimball, MaXentric Technologies, La Jolla, United States

9. “Broadband Doherty Power Amplifier for Handset” B. Kim1, D. Kang2, Y. Cho3, D. Kim1, J. Kim1, S. Jee1, 1Pohang University of Science and Technology, Pohang, Republic of Korea, 2Broadcom Corporation, Matawan, United States, 3Pohang University of Science and Technology, Pohang, Republic of Korea

10. “What’s New in Digital Pre-Distortion?” J. Wood, Maxim Integrated Products, Sunnyvale, United States

WMH Monday, 0800 – 1700 GaN’s Destiny: Reliable CW Operation at Power Densities Approaching 40 W/mm - Can it be Fulfilled and When?

Sponsors: MTT-6, MTT-7, MTT-20

Organizers: John Pierro, Telephonics Rüdiger Quay, Fraunhofer Institute Applied SolidState Physics Frank Sullivan, Raytheon Company

Abstract: The rapidly evolving InAlGaN technology can be credited with dramatically enhancing the performance of power amplifiers in use today. The high power-added-efficiency (PAE) and high power-density the technology offers far surpass that which can be achieved with GaAs. This is due to GaN’s high electron sheet charge density and very high field breakdown field. GaN-based amplifiers (at X-Band) routinely achieve power densities approaching typically 3 to 5X that of GaAs) and PAE’s in the mid-forties to mid 50% range (typically very similar to GaAs) depending on bandwidth and absolute power. At this power density reliable operation with MTTF’s approaching 107 hours has also been reported. As proof, several US manufacturers of advanced Active Electronically Steered Array (AESA) radars have completed extensive in-house reliability studies and have declared GaN ready and fit to serve in their most demanding T/R module applications. So why have yet another workshop on GaN? Simple---the material is theoretically capable of so much more! Examples of GaN HEMT’s with power densities in the 5W/mm to 10 W/mm range have been reported but are not commonplace. Operation at these power densities is an industry concern because reliability can be, and often is, compromised. Channel temperatures must be restricted to 150° C to achieve the required MTTF of 107 hours. In many AESA system applications this limitation currently presents huge challenges to the thermal management of the system and often forces the use of liquid cooling over air cooling, the latter being far simpler to implement, less costly, and lower weight. Reliable operation at temperatures that exceed 200°C would dramatically increase acceptance of the technology. Now, the reasons may be a bit clearer. It is clear that the destiny of GaN has yet to be fulfilled. We have much to be thankful for (thank you DARPA for your visionary WBGS¬RF Program!) but most would agree there is still a long way to

Page 114: IMS2012 Program

› 111

WORKSHOPS & SHORT COURSES

go. Today, devices are operating at power densities that are less than one¬-tenth the material limit of 40W/mm. This workshop will explore all of the bottleneck issues and obstacles in the path toward 40W/mm operation; these include material growth and epitaxy, device design, ohmic contact formation, both alloyed and non-alloyed, refractory metal Schottky/Au mushroom gate structures for stable high temperature operation, thermal management (possibly using diamond), field plate design for reliable high voltage ( 32 V) operation and suppression of micro-cracking under high drive conditions due to the material’s piezoelectric property, etc.

1. “GaN’s Destiny” G. D. Via, Air Force Research Laboratory, Wright Patterson AFB, United States

2. “Physical Phenomena Associated with High Power Operation of AlGaN/GaN HFETs” R. J. Trew, North Carolina State University, Raleigh, United States

3. “Novel thermal management analysis and concepts for GaN Electronics” M. Kuball, University of Bristol, Bristol, United Kingdom

4. “Challenges of 40W/mm GaN” C. Whelan, N. Kolias, Raytheon, Tewksbury, United States

5. “Can 40W/mm be achieved ... and be useful?” P. Saunier, T. Chou, C. Suh, J. Jimenez, H. Tserng, TriQuint, Richardson, United States

6. “GaN’s Destiny : From Circuit to Airborne Systems” Y. Mancuso, THALES, Elancourt, France

7. “Overview of the Morgan Consortium on Power GaN Activities” S. L. Delage1, E. Morvan1, O. Jardel1, J. Jacquet1, S. Piotrowicz1, N. Sarazin1, M. DiForte-Poisson1, D. Lancereau1, E. Chartier1, R. Aubry1, U. Heinle2, M. Alomari3, E. Kohn3, U. Harrysson4, P. Johander5, N. Grandjean6, T. Mollart7, 1III-VLab, Marcoussis, France, 2MicroGaN GmbH, Ulm, Germany, 3Ulm University, Ulm, Germany, 4FCubic AB, Kullavik, Sweden, 5Swerea IVF AB, Moelndal, Sweden, 6EPFL, Lausanne, Switzerland, 7Element 6 Ltd, Ascot, Berks, United Kingdom

WMI Monday, 0800 – 1700 Towards Development of Smarter Substrate Integrated Waveguide Components and Advanced Fabrication Methodologies

Sponsors: MTT-6

Organizers: Aly Fathy, University of Tennessee Ke Wu, Ecole Polytechnique de Montreal, Canada

Abstract: There has been significant success in advancing substrate integrated waveguide (SIW) technology and its applications in communication and mm-wave sensors. Recent advances include, for example, slotted substrate integrated waveguides for lumped element integration, development of novel materials for low-cost and flexible SIW transmission lines and components, the implementation of innovative filtering structure based on a multilayered configuration, and the development of active integrated antennas and arrays. SIW technology represents a rapidly emerging technology for the implementation of circuits and antennas in the millimeter-wave region and beyond. It provides a simple means to integrate passive components, active devices, and radiating elements on the same substrate, without the need for complicated and inefficient interconnections. It has been successfully demonstrated that a variety of technological platforms can be utilized for the implementation of SIW circuits, such as PCB, LTCC, MHMICs, MMICs and even CMOS processes, thus paving the road to compact and multilayer solutions and to fully 3D components. It has been recognized that this technology is bound to become the paradigm for millimeter wave and THz circuits in the next decade. Researchers have developed novel low cost methods to fabricate slotted SIWs to embed lumped elements, fold SIW components to significantly reduce their sizes, develop materials to be utilized for flexible structures. They have been pushing their use to higher frequencies, where many are investigating their use in mm

wave and even THz applications. Many have been looking at integrating the feed networks, filter components, and even active devices to the radiating structures. The workshop will cover the latest progress in developing many components including feed networks, antennas, sensor networks, and miniaturized packages. The speakers will compare their results to other conventional technologies like PCB and LTCC and touch upon using nano-technology for further size reduction in the near future.

1. “Design Mechanism and Application Examples of SIW Structure Family” W. Hong, K. Wu, Southeast University, Nanjing, China

2. “Recent Advancements in SIW Components for Wireless Sensor Networks” M. Bozzi, University of Pavia, Pavia, Italy

3. “Wide-Band Amplifiers Based on Substrate Integrated Waveguides” M. Shahabadi, University of Tehran, Tehran, Iran

4. “Multi-Layer Microwave Interconnects, Passive Components and Subsystems in Substrate Integrated Waveguide Technology” A. Ali, F. Coccetti, H. Aubert, CNRS, Toulouse, France

5. “Development of Compact Modified SIW-Based RF Passives for System on Packaging (SoP)” L. Zhou1, W. Yin2, J. Mao1, L. Wu1, W. Shen1, 1Shanghai JiaoTong University, Shanghai, China, 2Zhejiang University, Hangzhou, China

6. “SIW technology for low-profile scanning antennas” Sorrentino1, L. Marcaccioli2, E. Sbarra2, R. Vincenti Gatti1, 1University of Perugia, Perugia, Italy, 2RF Microtech, Perugia, Italy

7. “SIW-fed Integrated Circuit and Antenna Technology” W. M. Abdel-Wahab, S. Safavi-Naeini, University of Waterloo, Waterloo, Canada

8. “Multilayer Substrate Integrated Waveguides Antennas” A. E. Fathy1, M. H. Awida1, R. Kazemi2, S. Yang1, 1University of Tennessee, Knoxville, United States, 2K. N. Tossi University, Tehran, Iran

9. “A 2D Hybrid Method to Analyze SIW Circuits” A. Kishk, Concordia University, Montreal, Canada

WMJ Monday, 0800 – 1200 Emerging Technology and Technological Challenges in Low Phase Noise Oscillator Circuit Designs

Sponsors: MTT-22

Organizers: Dr. –Ing. Ajay K. Poddar, Synergy Microwave Corp. Prof. Kenji Itoh, Kanazawa Institute of Technology

Abstract: For long years, frequency controlled circuits and timing devices is one of the hottest topics in our RF & MW engineering. In RADAR systems, low noise signal source is key technology to reduce influence by near-carrier echoes by clutters. Also in high-speed radio communication systems, high accurate QAM/OFDM modulation can be achieved only with low noise local signal sources (oscillators). This workshop tutorial repots the latest technology and technological challenges in frequency controlled circuits and timing devices for current and later generation communication systems, including the transition from high quality factor expensive discrete resonator (Crystal, Ceramic, SAW, and DR) based oscillators to low cost printed resonator solutions. Solutions that are gaining strong demand for low-cost, small form-factor and low-power signal source are described analytically, including CAD simulation and numerous state-of-the-art practical examples for the application of modern electronic and communication systems. We aim to address market demands and associated technological challenges for a given constraints of cost, size, power-consumption, thermal drifts, and phase noise, for commercial and high reliability reference frequency standards.

1. “Oscillators Design Methodology” U. L. Rohde, Brandenburg University of Technology, Cottbus, Germany

Page 115: IMS2012 Program

› 112

WORKSHOPS & SHORT COURSES

2. “Seven Different Aspects on What Role Q Factor Plays in Resonators and Oscillators” T. Ohira, Toyohashi University of Technology, Toyohashi, Japan

3. “Q Factor of the Oscillators with Resonance Circuits - Formulation and Experimental Investigations for Low Phase Noise Design” K. Itoh2, T. Ohira2, 1Kanazawa Institute of technology, Nonoichi, Japan, 2Toyohashi University of Technology, Toyohashi, Japan

4. “Noise Minimization Techniques for Tunable Oscillator Circuits” A. K. Poddar, Synergy MIcrowave Corp., Paterson, United States

WMK Monday, 0800 – 1200 Analytic Concepts and Design Techniques for Low-Noise and Low-Distortion Mixers

Sponsors: MTT-22

Organizers: Carlos Saavedra, Queen’s University

Abstract: A mixer’s performance is evaluated using a multiplicity of metrics and new mixer configurations are in constant development. This half-day workshop will present theoretical concepts and design techniques to improve two key mixer performance metrics: linearity and noise figure. In the first talk the distortion behavior of mixers using Volterra series is discussed while the second talk will cover the design aspects of high IP3 passive diode mixers. The third and fourth talks will focus on low-noise mixers. Key concepts of active mixer noise theory will be presented followed by design examples in which mixer-LNA circuits are integrated to significantly reduce the noise figure of the mixer while maintaining high conversion gain. The concept of parametric downconversion is explored and circuits are shown which can yield sub 2-dB noise figure values. This workshop will feature a 40-minute panel session/rump session in which questions will be posed both to the panel and the audience.

1. “Nonlinear Analysis Tools for Low-Distortion Mixer Design” J. C. Pedro, Universidade de Aveiro, Aveiro, Portugal

2. “Improving Linearity in Diode Mixers” B. C. Henderson, Cobham Sensor Systems, San Jose, United States

3. “Low-noise Downconverters through Mixer-LNA Integration” C. E. Saavedra, Queen’s University, Kingston, Canada

4. “Parametric CMOS Mixers” S. Magierowski, University of Calgary, Calgary, Canada

WML Monday, 0800 – 1200 Measurement, Design, and Linearization Techniques for High-Efficiency Amplifiers

Sponsors: MTT-7, MTT-11

Organizers: Antonio, Raffo, University of Ferrara Domonique Schreurs, Katholieke Universiteit Leuven

Abstract: The design of high-efficiency power amplifiers represents a topic of great actuality thanks to the continuous growing interest in green communications. As a matter of fact, a higher efficiency allows saving power and, hence, minimizing the energy consumption. Energy saving represents an important target considering the huge increase in mobile communication systems. The most critical part of the transmitter is its final stage, as it should transmit high power signals. Nevertheless, high-efficiency should be achieved together with good-linearity. This is because nonlinear effects lead to a bandwidth enlargement of the signal (i.e., spectral re-growth), which implies reduced performance and a sub-optimal use of the available resources. Hence, a trade-off between efficiency and linearity allows obtaining a better usage of the resources, which turns into an enhancement of the sustainability of wireless microwave transmissions.

1. “Design and Simulation of Integrated, High-Efficiency Power Amplifier Modules” M. J. Franco, RFMD, Greensboro, United States

2. “Practical Considerations for High-Efficiency Power Amplifier Design” M. S. Heins, B. Loran, TriQuint Semiconductor, Richardson, United States

3. “Digital Pre-Distortion for High Efficiency Power Amplifier Architectures” J. Dooley, National University of Ireland Maynooth, Maynooth, Ireland

4. “New Challenges in Digital Pre-Distortion Linearization for High Efficiency, Wideband Power Amplifier Architectures” P. L. Gilabert, G. Montoro, Universitat Politècnica de Catalunya, Castelldefels, Spain

5. “The Accuracy role in a Non-Linear Measurement” A. Ferrero, Politecnico di Torino, Torino, Italy

Page 116: IMS2012 Program

› 113

WORKSHOPS & SHORT COURSES

MONDAY SHORT COURSES 18 JUNE

SC-3 Monday, 0800 – 1700 Theory and Design of Frequency Synthesizers

Organizers: Lama Dayaratna, Lockheed Martin commercial Space Systems Peter White, Applied Radio Labs Cicero Vaucher, Ph.D. NXP Semiconductors Ron Reedy, Peregrine Semiconductor Corporation Patrick Walsh, Analog Devices

Abstract: This one day short course will present the theory, design, and latest techniques for the design of low noise frequency synthesizers.

The course provides an in-depth coverage of the design, analysis, simulation, and measurement of Frequency Synthesizers.

The course is developed as a laboratory hands-on course with live hardware and software demonstrations. This is an interactive short course where the fundamentals of design, analysis, and modeling of phase lock loops will be covered.

Design details will cover Voltage controlled Oscillators, Phase detector Circuits, Loop filter design, Phase Locked loop design, Loop characterization, Fractional- N synthesis, DDS, Multi Loop synthesis, Composite DDS/PLL solutions, and Noise in Phase Locked loop circuits. Many of the lectures rely on the use of live demonstrations involving test equipment and computer-based simulation tools to illustrate concepts.

This course is an engineer’s guide to planning, designing, and implementing Frequency Synthesizers for RF and microwave applications.

During this one-day session participants will have access to hardware and software demonstration ‘set- ups’ for ease of understanding phase locked loop concepts. Examples will be given including real-time demonstrations to a variety of problems relevant to the design of phase-locked loop circuits. The following topics will be addressed in detail.

• Coherent and non coherent synthesis• Phase Locked Loop components, VCOs, Mixers, Dividers, Phase Detectors• Loop filter design, active and passive filters• Closed Loop Characterization, Type and Order• Fractional-N loops,• Direct Digital Synthesis (DDS)• Multi Loop Synthesis• Composite DDS / PLL solutions• Key Performance Requirements• Demonstrations and applications

SC-4 Monday, 0800 – 1700 Nonlinear Microwave Circuits-Their Dynamics, Bifurcation, and Practical Stability Analysis /Design

Sponsors: MTT-16

Organizers: Almudena Suárez, University of Cantabria Christopher P. Silva, The Aerospace Corporation

Abstract: This full-day course addresses the fundamental topic of stability in nonlinear microwave circuits, covering concepts, qualitative analysis, simulation, and engineering design. A much needed awareness will be provided on not only the unique qualitative behaviors possible in commonly used nonlinear circuits, but also the fundamental means by which these behaviors can abruptly arise with the changing of design parameters (termed a bifurcation). Course attendees will learn to distinguish between different types of steady-state solutions, identify instability problems through small- and large-signal stability analysis in both the time and frequency domains, and understand the dynamical mechanisms responsible for instabilities. The main approaches for stability analysis will be presented and compared, ranging from the familiar and often inadequate (such as the Rollet factor and stability circles) to the advanced that can be implemented using classical harmonic balance methods. The most common types of bifurcation will be presented and classified, so that the designer may confidently identify the bifurcation phenomena in measurement and simulation. Practical examples of instability will be presented for such primary nonlinear circuits as power amplifiers, frequency multipliers and dividers, and voltage-controlled oscillators. In each case, the stability analysis procedure, the impact of instability on measured performance, and techniques for stabilization will be demonstrated. Other more advanced topics will also be addressed, including stability and its analysis in the presence of modulated signals, stability and noise, and the stability analysis/design of coupled and symmetrical systems with multiple oscillatory modes. Finally, the vast research area involving the exploitation of nonlinear dynamics for useful engineering purposes will be briefly toured, providing a glimpse into future and unconventional designs harnessing nonlinearity. The course will end with some video/hardware demonstrations of bifurcation and nonlinear qualitative behaviors, as well as the performance of several stability analysis examples from scratch using ADS.

The main topics includes:

• Overview of classical dynamical systems, bifurcation, and stability concepts• Fundamentals of harmonic-balance/time-domain analysis and the special

problem of oscillations• Fundamentals of stability analysis in time/frequency domain• Stability analysis in small- and large-signal regimes• Global stability analysis with bifurcation detection/gallery• Stabilization techniques and bifurcation control• Stability analysis in presence of modulation• Stability and noise• Stability analysis/design for multiple oscillatory modes• Exploitation of nonlinear dynamics — chaos and bifurcation engineering• Live video/hardware/simulation demonstrations

» Spectrum-analyzer-based diagnostics for bifurcations/unstable behaviors (video)

» Bifurcations and chaos in Chua’s oscillator (hardware) » ADS-based simulation laboratory on nonlinear stability analysis (interspersed throughout course)

Page 117: IMS2012 Program

› 114

WORKSHOPS & SHORT COURSES

SC-5 Monday, 0800 – 1700 Dielectric Resonator Antenna, Theory, Design and Applications with Recent Advancement

Organizers: Ahmed A Kishk, Concordia University

Abstract: Interest in dielectric resonator antennas has increased because of their attractive features such as small size, high radiation efficiency (98%), wide bandwidth, and high power capability for radar applications and base stations. The short course will start by an overview for the development of the dielectric resonator antennas. The theory of operation will be discussed step by step to provide basic understanding with physical interpretations. The discussion is provided in simple forms to satisfy audience of different background levels. Design curves will be provided for the circular disc and hemisphere dielectric resonators that can be used as a starting point of other geometries. Methods of the analysis and design will be highlighted.

Different excitation mechanisms are demonstrated such as the probe, slot, image line and waveguides. Applications of dielectric resonators in arrays are provided with discussion on the mutual coupling level and the wide scanning capabilities of the dielectric resonator antenna array. Dielectric resonators for dual linear polarization for narrow and wideband frequency bands with excellent isolation that is better than 30dB will be presented and the design method that achieve such large isolation. Also, circularly polarized (CP) DRA are presented and how to increase the CP bandwidth in the array environment.

Techniques to broaden the DRA bandwidth are discussed. Several examples are provided. Currently the bandwidth is enhanced to have DRA for UWB applications. Finally, Techniques for size reduction of the DRA are presented to demonstrate the flexibility of the DRA to satisfy the required small size for some applications and keeping wide bandwidth performance. The applications of the DRA for wideband spatial power combiners are presented. Recent developments of the dielectric resonators as a multifunction device will be also provided. In this application we will show the use of the same DR as an antenna with low Q-factor and as a resonator with high Q-factor. Also, for the use of DR as 1:N power divider are presented.

Course outline is shown below:

• Introduction to DRA• Basic characteristics and theory of operation• Advantages of DRA• Methods of analysis and design• Methods of broadband design of DRA with some examples• Size reduction techniques• UWB DRA • Single-fed circular polarized elements and broadband DRA CP Arrays• Wideband dual polarized elements with large isolation.• Array applications: with wide scanning capabilities• DRA based wideband spatial power combiner concepts• Multi-functions DR in antennas and microwave circuits• 1:N efficient power dividers• DRA in energy harvesting environment

FRIDAY WORKSHOPS 22 JUNE

WFA Friday, 0800 – 1700 Integration and Technologies for mm-Wave Sub-systems

Sponsors: MTT-24, MTT-32

Organizers: Maurizio Bozzi, University of Pavia Apostolos Georgiadis, Centre Tecnologic de Telecomunicacions de Catalunya Manos Tentzeris, Georgia Intstitute of Technology

Abstract: This workshop will present the recent achievements of academic and industrial research in the field of mm-wave system integration, including novel technologies and materials, components and systems, advances in numerical modeling, and measurement issues. The variety of topics covered by the presentations will provide the attendees with a clear overview of the main streams of current research worldwide, in a field of absolute relevance for the members of the MTT-S. The speakers are well-known authorities in the field of system integration at mm-wave frequency, coming from both academia and industry, representing very relevant research groups in North America, Europe, and Asia. A significant portion of time will be devoted to open discussion and interaction between the speakers and the audience.

1. “Aspects of Several Electromagnetic Analysis Problems” J. C. Rautio, Sonnet Software, Inc., North Syracuse, United States

2. “Millimeter-Wave Integrated Circuits and Sub-systems” W. Hong, K. Wu, Southeast University, Nanjing, China

3. “Reconfigurable Terahertz Integrated Architecture (RETINA) – a Paradigm Shift in SIW Technology” S. Lucyszyn, Imperial College London, London, United Kingdom

4. “Multi-band Ultralight mmW Modules for Communication, Sensing and Radar Applications on 3D Conformal Organic Platforms” M. Tentzeris, Georgia Institute of Technology, Atlanta, United States

5. “A Space Qualified Integration and Packing Technology for Millimeter-Wave Systems” I. Wolff, R. Kulke, T. Klein, IMST GmbH, Kamp-Lintfort, Germany

6. “mmW Design in Silicon” D. Belot, STMicroelectronics, Crolles, France

7. “60-GHz Phased-array Transmit/receive System-In-Package in 65-nm Flip-Chip CMOS technology” K. Lin, H. Wang, National Taiwan University, Taipei, Taiwan

8. “Recent Advances in SOC and SOP Integrated mm-wave and sub-mmwave Front Ends” J. Papapolymerou, Georgia Institute of Technology, Atlanta, United States

9. “Design and Integration of Cost-effective and High Performance mm-wave Components and Systems Using Advanced Multilayer Ceramic Technology” K. K. Samanta, Thales Aerospace, Sussex, United Kingdom

WFB Friday, 0800 – 1700 White Space Technologies – Future Emerging Technology Needs

Sponsors: MTT-11, MTT-20

Organizers: Nuno Borges Carvalho, Dep. Electronica, Telecomunicacoes e Informatica - Instituto de Telecomunicacoes Alessandro Cidronali, University of Florence

Abstract: White Space Technology is imposing new developments in emerging wireless/microwave technology that can drive and change the way we look at radio

Page 118: IMS2012 Program

› 115

WORKSHOPS & SHORT COURSES

communications, mainly mobile telephony. Some of these emerging technologies include software defined radio, cognitive radio location for the optimization of the radio communication path, but also emerging indoor and outdoor location engines and also optimized techniques for low cost and low consumption devices. This workshop will present a flavor of many of these emerging technologies, and how they collaborate to improve white space technologies. The main idea of the workshop is to open a new window on the future of white space communications, and how technology has to cope with this new instruments and necessities. Thus speakers will cover both high level of abstraction of how white spaces will work, but also low level studies on how technology is being modeled to manage these new advances. The workshop will be divided into three different parts, mainly I – White space systems, where white spaces are presented and discussed, creating a bridge between systems needs and technology development. II - White Space Technology, where some of the new and recent advances in Software Defined Radio and Cognitive Radio will be discussed, followed by a third topic III – Location Engines, where a flavor on how location engines, both indoor and outdoor, will be presented. Microwave Engineers will profit from this workshop since they will understand how new radios should be designed for improved radio communication scenarios. At the end of the workshop a round table will be promoted to discuss implementation issues related to white space technologies.

1. “White Spaces Technological Needs” N. B. Carvalho, P. Cruz, N. Silva, A. Morgado, A. Oliveira, J. N. Vieira, J. Borrego, Instituto de Telecomunicacoes, Aveiro, Portugal

2. “Spectrum Policies in the White Space Environment” M. J. Marcus, Marcus Spectrum Solutions, Cabin John, United States

3. “The future of Communications Radio in the Framework of TV White Spaces & Future White Spaces” U. J. Dhaliwal, Future Wirelesss Technologies, San Diego, United States

4. “Transceivers for White Space Applications” K. G. Gard, Analog Devices, Inc., Raleigh, United States

5. “Software Defined Radio and Cognitive Radio for Whitespace Communications” J. A. Pawlan, Pawlan Communications, San Jose, United States

6. “High Efficiency Transmitter Architectures for White Space Technologies” P. L. Gilabert, G. Montoro, Universitat Politècnica de Catalunya, Castelldefels, Spain

7. “Cochlear Radio for White Spaces” J. N. Vieira1, R. G. García2, 1Universidade de Aveiro, Aveiro, Portugal, 2University of Alcalá, Madrid, Spain

8. “Planar Input Multiplexers for Wide-Band Sensing in White Space Software-Defined Radio Scenarios” R. Gomez-Garcia, University of Alcala, Alcala de Henares, Spain

9. “Position Location Technologies” A. Cidronali, S. Maddio, G. Manes, University of Florence, Florence, Italy

WFC Friday, 0800 – 1700 Emerging Technology of Terahertz Imaging Systems, Devices, and Algorithms

Sponsors: MTT-4

Organizers: Magda El-Shenawee, University of Arkansas Aly Fathy, University of Tennessee

Abstract: The rising interest in the terahertz band of frequency and the recent research in this area have motivated the organizers to foster an IMS workshop to address the state-of-the-art of this new technology. The goal of the proposed workshop is to addresses the recent research progress in terahertz systems, passive and active devices, sources, and imaging algorithms. The lack of inexpensive and efficient THz imaging systems is a main challenge that faces the advancement

of THz research in potential applications from medical imaging to radar and communication systems. The workshop will discuss the state-of-the-art research in THz imaging radar systems, quantum cascade lasers, sources and detectors, surface plasmon based devices, low power sources, spectroscopy, biological sensing, chemical imaging, and pharmaceutical and medical applications. Recent applications of THz imaging include non-destructive evaluation, security screening, inspection of IC devices and packaging, detection of bio-threat, and cancer detection and assessment. THz tomography involves 3D reconstruction of unknown targets and their constitutive material properties. The workshop will discuss rigorous inverse scattering algorithms and the current challenges of available THz experimental data for the practical implementation.

1. “Novel Man-Engineered Bio-Nano-Materials for THz/IR-Based Sensing & Medical Applications” D. L. Woolard1, J. O. Jensen2, 1U.S. Army Research Office, Durham, United States, 2U.S. Army Edgewood Chemical Biological Center, Aberdeen Proving Grounds, United States

2. “Technology, Capabilities, and Performance of Low Power THz Sources” G. Chattopadhyay, Submillimeter Wave Advanced Technology, Pasadena, United States

3. “Terahertz Chemical Imaging of Crystal Polymorphism for Pharmaceutical Applications” K. Ajito, Y. Ueno, H. Song, J. Kim, E. Tamechika, N. Kukutsu, NTT Corp., Atsugi, Japan

4. “Terahertz Generation, Detection Imaging by Nanometer Field Effect Transistors” Knap, Université Montpellier 2 &CNRS, Montpellier, France

5. “Si-based Millimeter-Wave/THz Integrated Technologies” N. Ranjkesh1, A. Abdellatif1, A. Zandieh1, M. Basha2, A. Taeb1, S. Safavi-Naeini1, 1University of Waterloo, Waterloo, Canada, 2University of Tabuk, Tabuk, Saudi Arabia

6. “THz Imaging Radar Technology Development for Multi-pixel Multi-color Architectures” I. Mehdi, California Institute of Technology, Pasadena, United States

7. “Plasmonics for Imaging and Spectroscopy Between Radio and Light” A. J. Gallant, M. Chamberlain, Durham University, Durham, United Kingdom

8. “T-ray Vision: Broadband Focal Plane Array Sensors for Real-time THz Imaging” K. Sertel, The Ohio State University, Columbus, United States

9. “Inverse Scattering Algorithms for Assessing Breast Tumor Margins using Terahertz Waves” M. El-Shenawee, University of Arkansas, Fayetteville, United States

WFD Friday, 0800 – 1700 High-Efficiency Transmitters with Dynamic Supplies

Sponsors: MTT-5

Organizers: Paul Draxler, Qualcomm Inc. & UCSD Zoya Popovic, University of Colorado at Boulder

Abstract: With the drive towards greater bandwidth and higher peak to average waveforms, the challenge of getting efficient power amplifiers is further complicated. The desire is to exceed the efficiency limits of classic PA design techniques, which have significant degradation with these waveforms at full power. In order to address the need for greater efficiency when transmitting high PAR waveforms, many have incorporated some level of dynamic power supply. This workshop assembles a group of experts in the area of operating power amplifiers in dynamic supply environments. The workshop opens with an introduction to the topic, solidifying terminology, historical context and how system requirements fold into the component design constraints. The early talks approach the problem from

Page 119: IMS2012 Program

› 116

WORKSHOPS & SHORT COURSES

the system side, driving down into the components and include future research directions. The mid-day talks focus on the RFPA and look out to the system. The later talks address the envelope modulator and the system implications. The workshop concludes with a talk that examines closing the loop with signal processing and digital pre-distortion. Some of the talks will be focused on base station applications, others handset applications, yet others are applicable to both.

1. “Overview of RF Transmitters with Dynamic Supplies” Z. Popovic, University of Colorado, Boulder, United States

2. “Envelope Tracking Amplifier Architecture and System Considerations” P. Asbeck1, D. Kimball2, 1UCSD, La Jolla, United States, 2UCSD, La Jolla, United States

3. “Envelope Tracking PA and Doherty PA for Handset Application” B. Kim1, D. Kang2, D. Kim1, J. Kim1, Y. Cho3, 1Pohang University of Science and Technology, Pohang, Republic of Korea, 2Broadcom

4. “Envelope Tracking and Polar Modulation: Similar Schematics with Extremely Different Operation” E. McCune, RF Communications Consulting, Santa Clara, United States

5. “Measurement Systems and Modeling for Dynamic Applications” J. Lees, Cardiff University, Cardiff, United Kingdom

6. “Optimum Loading Conditions of Dynamic Supply Operated PA Devices” L. D. Vreede1, M. Alavi1, M. Marchetti3, M. Squillante3, F. V. Rijs2, 1TUDelft, Delft, Netherlands, 2NXP, Semiconductors, Nijmegen, Netherlands, 3Antverta-mw, Delft, Netherlands

7. “Supply Modulator Tradeoffs and Design” J. Hoversten1, M. Norris1, D. Maksimovic2, 1Texas Instruments Incorporated, Longmont, United States, 2University of Colorado at Boulder, Boulder, United States

8. “Envelope Amplifier from Digits to PA” G. J. Wimpenny, Nujira, Cambourne, United Kingdom

9. “Closing the Loop: Signal, Modeling and DPD” P. J. Draxler1, D. F. Kimball3, P. M. Asbeck2, 1Qualcomm Inc. & UCSD, San Diego, United States, 2University of California, San Diego, La Jolla, United States, 3MaXcentric & UCSD, La Jolla, United States

WFE Friday, 0800 – 1200 Gallium Nitride for Low Noise Amplifier Applications

Sponsors: MTT-6, MTT-14, MTT-21

Organizers: Phillip M. Smith, BAE Systems Matthias Rudolph, Brandenburg University of Technology

Abstract: Because of its unique properties, the wide bandgap semiconductor gallium nitride (GaN) is widely used for applications in power amplification and high power switching, and IMS workshops frequently address this topic. This half-day workshop focuses instead on the application of GaN to low noise amplifiers, where GaN offers several advantages over more mature GaAs and InP technologies for receiver parameters such as greatly enhanced input power survivability (i.e. robustness), higher dynamic range, and high temperature operation. The objective of this workshop is to give device, circuit and system designers insight into the low noise properties of GaN devices, discuss tradeoffs in design of LNAs/receivers employing GaN, and review the current state-of-the-art of GaN low noise amplifiers across the microwave and mm-wave frequency spectrum.

1. “Properties of GaN for Low Noise Front Ends” M. Rudolph, Brandenburg University of Technology, Cottbus, Germany

2. “GaN Low Noise Amplifiers and Technology” K. W. Kobayashi1, M. Wojtowicz2, 1RF Micro Devices, Torrance, United States, 2Northrop Grumman, Redondo Beach, United States

3. “Robustness of LNAs for Applications to the mm-wave”

R. Quay, Fraunhofer Institute Applied Solid-State Physics, Freiburg, Germany4. “GaN for Low Noise and Robustness Space Applications”

B. Lefebvre1, M. Do1, P. Moroni1, J. Villemazet1, J. Muraro1, J. Cazaux1, M. Feudale2, 1Thales Alenia Space, Toulouse, France, 2Thales Alenia Space, Roma, Italy

WFF Friday, 0800 – 1200 Advances in Reconfigurable RF Systems and Materials

Sponsors: MTT-14

Organizers: Ingo Wolff, IMST GmbH Rüdiger Follmann, IMST GmbH

Abstract: This workshop will deal with latest developments used for tunable and reconfigurable systems. It includes brand new RF materials such as liquid crystals (LC) as well as Si(Ge) and GaAs based systems. In a further talk, space requirements (e.g. radiation, temperature dependence) will be explained. Live measurements on LC phase shifters and a SiGe fractional-N synthesizer will be shown. Each participant will receive a free demo version of a spur and phase noise simulator. A common panel session will close the workshop.

1. “Liquid Crystal for Microwave Applications” A. Manabe, Merck KGaA, Darmstadt, Germany

2. “Liquid Crystal and Ferroelectric Thick-film Technology for Reconfigurable RF Components” A. Gaebler, O. H. Karabey, S. Strunk, W. Hu, T. Franke, C. Weickhmann, R. Jakoby, TU Darmstadt, Darmstadt, Germany

3. “Optimizing Materials and Circuits for Space Applications” D. Köther, R. Follmann, IMST GmbH, Kamp-Lintfort, Germany

4. “Analog/Digital GaAs PHEMT Core-Chips for Electronically Steerable Antennas” R. Leblanc, J. Moron, A. Gasmi, N. Santos-Ibeas, M. Rocchi, OMMIC, Limeil-Brevannes, France

5. “Reconfigurable Silicon Circuits and Systems” L. Praamsma, H. Gul, C. Vaucher, P. Phillippe, P. Matthijssen, M. Geurts, D. Leenaerts, NXP Semiconductors, Eindhoven, Netherlands

WFG Friday, 0800 – 1200 RF Coils and Components for MRI Receiving Applications

Sponsors: MTT-17, MTT-18

Organizers: Robert H. Caverly, Villanova University William E. Doherty, Microsemi-Lowell

Abstract: This workshop is an attempt to leverage the rich knowledge base in microwave and RF electronics that IMS provides and focus it on the current problems and developments in the MR Imaging field.

1. “Overview of MR Scanning Fundamentals” R. Caverly, Villanova University, Villanova, United States

2. “RF Electronics for NMR/MRI Transceivers” M. R. Cummings, Cummings Electronics Labs, Inc., North Andover, United States

3. “RF Coil and Array Design, Construction, and Measurement for MRI Systems” S. B. King, National Research Council of Canada, Winnipeg, Canada

4. “MRI RF Receive Coil Control in the High Field Environment” W. E. Doherty1, R. H. Caverly2, 1Microsemi-Lowell, Lowell, United States, 2Villanova University, Villanova, United States

Page 120: IMS2012 Program

› 117

WORKSHOPS & SHORT COURSES

WFH Friday, 0800 – 1200 RFID-based Low-Cost Smart Sensor Networks for Challenging Environments

Sponsors: MTT-24

Organizers: Hendrik Rogier, Ghent University

Abstract: Although RFID technology is well-established as an efficient methodology to perform simple sensing, tracking and limited data communication over a wireless interface, its underlying concepts that provide low-cost energy-efficient solutions show promising potential to implement more complex systems with more extensive sensing capabilities as well as more intensive data processing and wireless networking capabilities. However, making these systems robust and reliable in real operating conditions, while accounting for cost and energy- consumption constraints, requires dedicated and innovative design processes. The aim of the workshop is to present to the participants the wide range of applications in which RFID technology might be applied for comprehensive monitoring, communication and actuating systems, to identify adverse conditions impacting system performance and to propose practical design solutions to overcome these problems.

1. “Active Wearable Sensor Modules for Public Regulatory and Rescue Services” H. Rogier, F. Declercq, C. Hertleer, M. Moeneclaey, P. Van Torre, L. Vallozzi, A. Dierck, Ghent University, Ghent, Belgium

2. “Towards a New Generation of High-Performance RFID Tags: Integration with Sensors and Software Defined Radio (SDR) Technologies” L. Tarricone, L. Catarinucci, R. Colella, University of Salento, Lecce, Italy

3. “Measurement Based Performance Evaluation of Advanced Tyre Monitoring Systems using RFID Technology” G. Lasser, J. Grosinger, R. Langwieser, C. F. Mecklenbräuker, Vienna University of Technology, Vienna, Austria

4. “Design and Implementation of Low Cost, Autonomous Wireless Sensor Nodes” A. Georgiadis, A. Collado, CTTC, Castelldefels, Spain

WFI Friday, 1300 – 1700 The Development of Precision GPS Solutions in 4G

Sponsors: MTT-20

Organizers: Joy Laskar, InSite Partners Anh-Vu Pham, UC-Davis Upkar Dhaliwal, Future Wireless Technologies

Abstract: Precision global positioning systems (GPS) have become a ubiquitous technology for consumer, military and space technology communities. A growing question is how can we maintain and improve location technology while simultaneously allocating larger amounts of spectrum to high data wireless services as part of land and satellite based broadband networks. This workshop is timely to both the MTT community and the broader technical audience. It has not been addressed in recent workshops and represents one of the more demanding technical challenges in precision location today. The expert speakers will define and discuss the current and future challenges for precision location and the requirements the RF or Microwave designer must address in addition, we present specific RF/Microwave design techniques to address the system challenges. Topics Covered Include: 1. Current and future GPS spectrum (L1, L2, L5, Glonass) and the related frequency planning for ground and satellite based broadband wireless network allocations 2. The evolution of hybrid terrestrial and satellite networks 3. Chip based physical layer approaches for high dynamic range and multichannel GPS 4. Active circuit cancellation techniques for in-band interference mitigation with

applicability to GPS.

1. “Evolution of Hybrid Satellite/Terrestrial Networks” R. K. Gupta, J. Snyder, LightSquared, Reston, United States

2. “An overview of NASA-Goddard Space Flight Center’s “Navigator” Space-based GPS Receiver Development and Research Programs” L. M. Winternitz, NASA Goddard Space Flight Center, Greenbelt, United States

3. “Mitigating Interference for GPS Receivers Using Robust Receiver Electronics” M. Shaw, Tahoe RF Semiconductor, Inc., Auburn, United States

4. “Chip Based Active Cancellation Technology” J. Laskar, InSite Partners, Cupertino, United States

WFJ Friday, 0800 – 1200 A World Survey of the State-Of-The-Art in RF MEMS

Sponsors: MTT-21

Organizers: Gabriel M. Rebeiz, UCSD Tomonori Seki, Omron

Abstract: RF MEMS is now an essential technology for reconfigurable cell phones, and several products such as tunable antennas and tunable matching networks have been developed for insertion in the 3-G and 4-G platform in 2011-2012 timeframe. Also, Omron Corp. developed an RF MEMS relay which is currently on the market which has been proven to handle 0.1-10 W up to 1 billion cycles and is 100x better than a mechanical relay. Raytheon and other defense companies have now advanced RF MEMS to such a level that they are being considered for low power phased-arrays and tunable filters. There are now more than 20 different universities/labs/companies working on this technology and they are spread all over the world. The effort is divided nearly equally between the US and Europe, and there is a targeted effort in Asia for the commercial sector. It is therefore important to the RF MEMS community and to the MTT community to summarize these efforts in one workshop. The workshop will end with an honest discussion on the reliability of RF MEMS. While this has always been a point of contention, the attendees will be surprised that several of the new RF MEMS devices such as Omron, Cavendish Kinetics, wiSpry and Raytheon, have achieved impressive reliability and over a large number of devices and test conditions.

1. “RF-MEMS for Aerospace/Defense Applications – North America” N. S. Barker, University of Virginia, Charlottesville, United States

2. “RF MEMS in Asia for Commercial Applications” T. Seki, OMRON Corporation, Yasu, Japan

3. “Commercial RF MEMS Activities in Europe” T. Vaha Heikkila, VTT, Espoo, Finland

4. “RF MEMS Devices for High Volume Production in the U.S.” A. Morris, wiSpry, Irvine, United States

5. “RF MEMS Reliability: Will We Ever Get There?” G. M. Rebeiz, University of California, San Diego, La Jolla, United States

WFK Friday, 0800 – 1200 Advanced RF, Microwave and MMW Technology for Nuclear, Chemical and Biological Detection Systems

Sponsors: MTT-6

Organizers: Frank Sullivan, Raytheon Company Ruediger Quay, Fraunhofer Institute of Applied Solid State Physics

Abstract: The potential role of RF/microwaves/millimeter waves in nuclear, chemical and biological weapons detection technology represents an emerging

Page 121: IMS2012 Program

› 118

WORKSHOPS & SHORT COURSES

opportunity. This workshop will explore some of the novel nuclear, chemical and biological techniques and devices and attempt to summarize the state of the art of current program activities. The technology focus will be on super miniaturization of RF/microwave/mmw sensors and transceivers and the role that can be played by spectroscopy and RF Tag technology. The advanced development of mass and rf/microwave spectroscopy offers new opportunities in the detection arena. Advances have also been made in the miniaturization of the required circuits/hardware. In the nuclear area ionization effects produced by nuclear radiation, even at very low levels, can affect the microwave transmission and reflective properties of surrounding mediums. Inkjet-printed ultra-low cost wireless sensors for chemical and biological detection and monitoring will be presented. Advanced techniques and unique systems approaches will be discussed along with methods to make these approaches cost effective as a proliferation of sensors may be required.

1. “RF and Microwave Chemical Sensing” W. J. Chappell, J. D. Maas, Y. Huang, Purdue University, West Lafayette, United States

2. “Miniature Mass Spectrometry Systems for Chemical Analysis” Z. Ouyang, Purdue University, West Lafayette, United States

3. “Millimeter Wave/Terahertz Radar Sensing” D. Erricolo1, S. Gopalsami2, M. Dutta1, 1University of Illinois at Chicago, Chicago, United States, 2Argonne National Laboratory, Lemont, United States

4. “Inkjet-printed Ultra-Low-Cost Wireless Sensors For Chemical and Biological Detection and Monitoring” M. M. Tentzeris, Georgia Institute of Technology, Atlanta, United States

WFL Friday, 1300 – 1700 System, MMIC and Package Design for a Low-Cost, Surface-Mountable Millimeter-Wave Radar Sensor

Sponsors: MTT-6, MTT-12

Organizers: Thomas Zwick, Karlsruhe Institute of Technology Christoph Scheytt, University of Paderborn

Abstract: This workshop covers the complete design and development process of a low-cost, surface-mountable millimeter-wave Radar sensor, starting from a system perspective, covering the MMIC design including innovative methods for Built-in-Self-Test and System-in-Package-Testing, and treating a low-cost package design with integrated antennas. New research results from both academia and industry are included into the workshop, among them SiGe MMIC designs of receivers, transmitters and complete on-chip Radars above 100 GHz, as well as measurements and prototypes of 122 GHz integrated off-chip and on-chip antennas. The workshop not only covers the sensor design, but also explains the extremely challenging testing and measurements of the MMICs, the antennas, and the complete system.

1. “Motivation for Miniatureized Millimeter-Wave Systems” T. Zwick, S. Beer, Karlsruhe Institute of Technology, Karlsruhe, Germany

2. “Millimeter Wave Sensor Implementation Aspects” J. Hasch, M. Girma, Robert Bosch GmbH, Gerlingen, Germany

3. “Single-chip 122 GHz and 145 GHz Sensor With Self-test Features” I. Sarkas, A. Balteanu, S. Voinigescu, University of Toronto, Toronto, Canada

4. “122 GHz FMCW Radar Transceiver and Components in 0.13 μm SiGe BiCMOS Technology” J. C. Scheytt1, Y. Sun2, 1University Paderborn, Paderborn, Germany, 2IHP, Frankfurt (Oder), Germany

5. “Integration and Characterization of Millimeter Wave Antennas” T. Zwick, S. Beer, Karlsruhe Institute of Technology, Karlsruhe, Germany

FRIDAY SHORT COURSES 22 JUNE

SC-6 Friday, 0800 – 1700 Microwave Filters and Multiplexing Networks for Communication Systems

Sponsors: MTT-8

Organizers: Chandra Kudsia, Matrix Inc. Vicente Boria, UPV

Abstract: Microwave Filters and Multiplexing Networks for Communication Systems. Over the past decade, there has been a spectacular increase in demand for cellular communication and satellite-based services. This has been the singular reason in pushing the state-of-the-art of wireless systems to achieve even higher communication capacity within the constraints of the available frequency spectrum. Atmospheric effects limit the commercial viability of the radio spectrum to certain frequency bands; and therefore frequency allocations are regarded as a natural resource. Filters and multiplexing networks play a critical role in maximizing the effective use of the available bandwidth to achieve the highest capacity for a diverse range of traffic scenarios. Filter networks are deemed as critical elements of these communication systems.

This filter course is designed to give the attendees a system overview and tradeoffs for microwave filtering requirements in satellite and cellular communication systems. This is followed by a critical review of the modern synthesis techniques using lumped element parameters and circuit models, with special emphasis on the variety of different filter topologies one can realize for a given specification. The next step in filter design is the realization of physical dimensions of the filter from the circuit model. This topic is dealt with:

(i) a brief overview of the classical techniques based on circuit models of the physical structures and

(ii) an extensive and in depth review of modern techniques based on EM modeling and optimization. A number of examples are included to illustrate the filter tradeoffs and realization of filter networks. The course concludes with a discussion of practical considerations for the design and implementation of microwave filters and multiplexing networks.

The main topics include:

• Filters and Multiplexing Networks in Wireless Communication Systems » The System Perspective » Filter Tradeoffs for Wireless Communication Systems

• Synthesis Techniques » Classical Direct-Coupled Filters » Synthesis Technique using ABCD Parameters » Synthesis Technique using Coupling Matrices » Realization of Different Topologies and Tradeoffs

• Physical Realization of Filters » Classical Techniques based on Circuit Models » EM Modelling and Optimization Techniques » Examples in Waveguide and Planar Technologies

• Multiplexer Theory and Design • Practical Design and Implementation Issues of Filter

Page 122: IMS2012 Program

› 119

WORKSHOPS & SHORT COURSES

SC-7 Friday, 0800 – 1200 A Look at Some of the Principle of Wireless Communications from Maxwellian Viewpoint

Sponsors: MTT-22

Organizers: Tapan K. Sarkar, Syracuse University Magdalena Salazar-Palma, Universidad Politécnica de Madrid

Abstract: The objective of this course is to present a scientific methodology that can be used to analyze a wireless communication system invoking the electromagnetic principles as outlined by Gabor. Most importantly, we highlight the differences between the near field and the far field of antennas since many systems operate nowadays in the near field instead of in the far field. Moreover, we also highlight the meaning of the radiation pattern which can only be defined for the far field. As the radiation pattern is related to the far field, therefore in the near field of the antenna what is the meaning of performing space division multiple access (SDMA) based on the antenna far field pattern.

In addition, there is an important feature that is singular in electrical engineering and that many times is not treated properly in system applications: namely, superposition of power does not hold. The fields or amplitudes can be added in the electrical engineering context and NOT the powers.

In addition, appropriate metrics which is valid from a scientific standpoint should be selected to make this comparison. Examples will be presented to illustrate how this important principle impact certain conventional way of thinking in wireless communication.

The main topics include:

• Near and far field of antennas mounted on a tower• Height loss in a mobile communication system• Propagation modeling over a ground plane based on the surface wave

phenomenon and comparison with Okamura’s experimental data• Time domain response of transmit and receive antennas as the impulse

responses are different even for the same antenna• Experimental demonstration of generation of multi-gigahertz non dispersive

channel using the appropriate transmit and receive systems

SC-2 Friday, 0800 – 1200 EMI/EMC Fundamentals for RF & Microwave Engineers

Sponsors: MTT-17

Organizers: William H. (Bill) Cantrell, Reagan Ballistic Missile Defense Test Site

Abstract: The complexity of electronic circuits and systems continues to increase, and unfortunately, so does the likelihood for problems caused by Electromagnetic Interference (EMI). This routinely causes severe problems for RF & Microwave engineers, who need basic Electromagnetic Compatibility (EMC) knowledge to become effective designers. Cost and schedule overruns can be minimized or eliminated through proper design with EMC in mind.

Electromagnetic Compatibility – the ability of an electronic device or system to function without error in its intended electronic environment – is of paramount importance. The goals of this tutorial are to (1) understand how to design circuits with EMC in mind, and (2) develop the proper problem-solving skill set so that an EMC problem can be analyzed and solved in an efficient and intelligent manner. This topic has a broad appeal to engineers working at audio up through the microwave frequency range.

The main topics include:

• Introduction to EMC• EMC Fundamentals• Digital Power Bus Noise - How to Analyze, Quantify & Design a Digital Power

Bus• Transients• How shielding actually works – Quantifying the amount of shielding• How to quantify the effect of airholes, seams and gaps in a shield• How to account for I/O wiring that penetrates a shield• RF Shielding Problem Solving Strategy

Page 123: IMS2012 Program

› 120

EXHIBITION

= First-time exhibitor525 total exhibitors and 42 first-time exhibitors!

2COMU 3G Metalworx Inc. A-Alpha Waveguide Co. A.J. Tuck Co. A.T. Wall Company

AA-MCS Accumet Engineering Corp. ACEWAVETECH, Inc. ADMOTECH Co.Ltd AdTech Ceramics Advance Reproduction Corp. Advanced Chemical Company

Advanced Circuitry International Advanced Research Systems, Inc. Advanced Switch Technology Advanced Test Equipment Rentals

AdvanSys Electronix Aeroflex Inc. Aethercomm Inc. Agilent Technologies AI Technology, Inc. Aldetec, Inc. Aliner Industries, Inc.

Alliance Corp. AMCAD Engineering Amcom Communications Inc. AMCrf American Beryllia, Inc. American Microwave Corp. American Standard Circuits, Inc. American Technical Ceramics Ametek HCC Industries

Amphenol Printed Circuits Amplical Corp. AmpliTech Inc.

AMT Solutions Co.,Ltd. ANADIGICS Analog Devices, Inc. Anapico Ltd. Anaren, Inc. Anatech Electronics Anoison Electronics Anritsu Co. ANSYS, Inc. APA Wireless Technologies Apollo Microwaves Ltd. Applied Thin-Film Products (ATP) AR RF/Microwave Instrumentation ARC Technologies, Inc. Arlon Tech. Enabling Innovation Artech House ASB Inc. Aselsan Association of Old Crows/Naylor Pub. Astrolab, Inc.

ATE Systems, Inc.

Auriga Microwave Aurora Software & Testing, SL Avago Technologies

Averna AVX Corp. AWR Corp. Axiom Test Equipment, Inc. B&Z Technologies Barry Industries, Inc. BEEcube, Inc. Besser Associates, Inc. Bliley Technologies, Inc. Bonding Source Bowei Integrated Circuits Co., Ltd. BSC Filters Ltd. C W Swift Cadence Design Systems, Inc. Cambridge University Press CAP Wireless Inc. CapeSym, Inc. Carlisle Interconnect Technologies Cascade Microtech, Inc. Centellax, Inc. Centerline Technologies, LLC Century Seals Inc. Cernex & Cernexwave Channel Microwave Charter Engineering, Inc.

Chengdu Omicron Microwave Tech. Co., Ltd.

Chengdu Seekon Microwave Comm. Co., Ltd.

Chengdu Tiger Microwave Tech. Co., Ltd.

Chin Nan Precision Electronics Co., Ltd.

Ciao Wireless, Inc. Cirexx International, Inc. Cobham Coilcraft, Inc. Coleman Cable Systems, Inc. Coleman Microwave Co. Colorado Microcircuits COM DEV Ltd. Communication Power Corp. (CPC) Communications & Power Industries Compex Corp. Component Distributors Inc. Constant Wave Continental Resources, Inc. Corning Gilbert Inc. Corry Micronics Inc Crane Aerospace & Electronics Crane Polyflon Cree, Inc. Crystek Corp. CST of America, Inc. CTT Inc. Cuming Microwave Corp. Custom Cable Assemblies, Inc. Custom Interconnects

Custom Microwave Components, Inc. Custom MMIC Design Services, Inc. Daa-Sheen Technology Co., Ltd. Daisy RS dBm Delta Electronics Mfg. Corp. Delta Microwave Inc. Design Workshop Technologies Inc. Diamond Antenna & Microwave Corp. Dielectric Laboratories, Inc. Diemat, Inc. DITF Thin Film DiTom Microwave Inc.

Dong Jin Technology Innovation Co., Ltd. Dow Key Microwave Corp. Ducommun LaBarge Technolgoies,

Inc. DuPont Electronic Technologies Dyconex AG Dynawave Inc. Dyne-Tech Co., LTD e2v aerospace and defense Inc EADS North America Eclipse Microwave, Inc. EE-Evaluation Engineering Elbit Systems EW & SIGINT-Elisra Elcom Technologies Inc.

Elcon Precision, LLC Electro Rent Corp. ElectroMagneticWorks Inc.

Elliptika EM Research, Inc. EM Software & Systems - FEKO EMC Technology/Florida RF Labs Emerson & Cuming Microwave Prod-

ucts Emerson Connectivity Solutions Empower RF Systems Empowering Systems, Inc. EMSCAN ENS Microwave, LLC Epoxy Technology, Inc. ETL Systems ETS-Lindgren EuMW2012/Horizon House Publ. Ltd.

Excalibur Engineering Inc. EZ Form Cable Corp. F&K Delvotec, Inc. Farran Technology Ltd. Ferrite Microwave Technologies Ferro-Ceramic Grinding

First Level Inc. Flann Microwave Flexco Microwave Inc. Focus Microwaves Inc. Fotofab Freescale Semiconductor Frontlynk Technologies Inc. FTG Corp. G-Way Microwave/G-Wave Inc.

Exhibit hours have been scheduled to provide maximum interaction between conference attendees and exhibitor personnel:

Tuesday, 19 June 0900 to 1700Wednesday, 20 June 0900 to 1800Thursday, 21 June 0900 to 1500 IMS2012 Exhibiting companies as of 20 March 2012:

Page 124: IMS2012 Program

› 121

EXHIBITION

Gap Wireless Inc. Geib Refining Corp. Gel-Pak Gerotron Communication GmbH GGB Industries, Inc. GigaLane Co., Ltd. GigOptix, Inc. Global Communication

Semiconductors, LLC Gova Advanced Material Technology Co.,Ltd

Gowanda Electronics Greenray Industries Inc. GuangShun Electronic Tech.

Research Inst. Harbour Industries, Inc. HEI Inc. Herley Industries Herotek Inc. Hesse & Knipps Inc. High Frequency Electronics Hirose Electric Co., Ltd. Hittite Microwave Corp. Holzworth Instrumentation Inc.

Hong Kong Sun Fung Co., Ltd. HRL Laboratories, LLC Huada Intl. Electronics & Tech. Co.,

Ltd. Hughes Circuits Inc. Hunter Technology IBM Corp. IEEE Microwave Magazine IEEE Xplore Digital Library IHP GmbH IKE Micro IMST GmbH In-Phase Technologies, Inc. Infineon Technologies Infinite Graphics Innertron, Inc. Innovative Fabrication Instek America Corp. Integra Technologies Inc. Integrand Software, Inc. Intercept Technology Inc. International Manufacturing Services

Inc. Intertronic Solutions Inc.

inTEST Thermal Solutions Ion Beam Milling, Inc. IPDiA

IQD Frequency Products Inc. Ironwood Electronics Isola ISOTEC Corp. ITF Co., Ltd. iTherm Technologies ITT Exelis Microwave Systems IW Insulated Wire Microwave

Products Div. JFW Industries, Inc. Johanson Manufacturing Corp. Johanson Technology Inc. JQL Electronics Inc. Jye Bao Co., Ltd. K&L Microwave Inc.

Kaben Wireless Silicon Inc. KCB Solutions Keragis Corp. KEYCOM Corp./Sales Dept. Krytar Inc. KVG Quartz Crystal Technology GmbH Kyocera America, Inc. LadyBug Technologies LLC Lake Shore Cryotronics, Inc. LanJian Electronics Lark Engineering Co. Laser Process Mfg. Laser Processing Technology, Inc. Laser Services LCF Enterprise Leader Tech. Inc. Liberty Test Equipment Linearizer Technology, Inc.

Linwave Technology Ltd. Litron Inc. Logus Microwave Lorch Microwave LPKF Laser & Electronics M/A-COM Technology Solutions M2 Global Technology Ltd. Marcel Electronics International Marki Microwave, Inc. Massachusetts Bay Technologies Materion MathWorks Maury Microwave Corp. McGraw-Hill Professional MCV Technologies, Inc. MECA Electronics, Inc. Mega Circuit Inc. MegaPhase Meggitt Safety Systems, Inc. Mercury Computer Systems, Inc. Mersen

Mesuro Metropole Products Inc. Micable Inc. Mician GmbH Micreo Limited Micro Communications, Inc. Micro Electronic Tech. Development Micro Lambda Wireless, Inc. Micro Systems Engineering GmbH Micro-Coax Inc. Micro-Mode MicroApps MicroAssembly Technologies, Inc. MicroFab Inc. Micronetics Inc. Microsemi Corp. Microtech, Inc. Microwave Applications Group Microwave Communications Labs, Inc. Microwave Development Labs Inc. Microwave Dynamics Microwave Engineering Europe Microwave Journal Microwave Product Digest

Microwave Technologies Co., Ltd.

Microwave Technology, Inc.

Microwavefilters S.R.L Microwaves & RF/Penton

Electronics Group MIG Microwave Innovation Group Millitech Inc. Mini-Systems Inc. MITEQ, Inc. Mitsubishi Electric & Electronics Modelithics, Inc. Modular Components National Inc. Molex RF/Microwave Business Unit Momentive Performance Materials Morion, Inc. Mosis MPDevice Co., Ltd. MtronPTI Murata Electronics Nanjing Jiexi Technologies Co., Ltd. NARDA National Instruments NDK NEL Frequency Controls, Inc. Networks International Corp. (NIC) Nitronex Corp. Noise XT NoiseWave Corp. Norden Millimeter Inc. Northrop Grumman NSI Nuhertz Technologies, LLC Nuvotronics NuWaves Engineering NXP Semiconductors OEwaves Inc.

OML, Inc. OMMIC ON Semiconductor OPHIR RF Inc. Orient Microwave Corp. P/M Industries Inc. P1dB, Inc. Paciwave, Inc.

Palomar Technologies Paricon Technologies Corp. Pascall Electronics Ltd. Passive Plus Inc. Peregrine Semiconductor Corp. PHARAD, LLC. Photo Sciences Inc.

Pickering Interfaces, Inc. Piconics Inc. Pivotone Communication Tech., Inc. Planar Planar Monolithics Industries, Inc. Plansee Thermal Management Solu-

tions Plextek Ltd. Pole/Zero Corp. Polyfet RF Devices Ponn Machine Cutting Co. Power Module Technology Precision Connector, Inc. Precision Manufacturing Group Presidio Components, Inc. Presto Engineering, Inc. Q Microwave, Inc.

IMS2012 Exhibiting companies as of 20 March 2012:

Page 125: IMS2012 Program

› 122

EXHIBITION

Q3 Laboratory Qingdao Xingyi Electronic Equipment Co.

Quest Microwave Inc. Quik-Pak QuinStar Technology, Inc. QWED Sp. z o.o R&K Company Ltd. Radant MEMS, Inc. Reactel, Inc. RelComm Technologies Inc. Remcom, Inc. Remtec, Inc. Renaissance Electronics Corp. Res-Net Microwave, Inc. Resin Systems Corp. RF Depot Inc. RF Globalnet RF Industries RF Logic RF Morecom Corea

RF Technology International RFcore Co., Ltd. RFHIC Corp. RFMD RFMW, Ltd. RFS Ferrocom Ferrite Division RH Laboratories, Inc. Richardson RFPD RIV Inc. - Precision Printing Screens RJR Polymers Inc. RLC Electronics, Inc. Rogers Corp. Rohde & Schwarz Rosenberger North America LLC

S3 Group Sainty-Tech Communications Ltd. Samtec, Inc. San-tron Inc. Sangshin Elecom Co., Ltd.

Sanmina-SCI OMED Sawnics Inc. Schmid & Partner Engineering AG Scientific Microwave Corp. Scintera, Inc. SDP Telecom Inc.

Selectron Inc. Semi Dice Inc. SemiGen Semtech Corp. SGC Technologies Inc. SGMC Microwave

Shanghai Eagle Industrial Co., Ltd.

Shanghai Huaxiang Computer Comm. Eng.

Shenzhen Atten Electronics Co., Ltd. Shenzhen Huayang Tech.

Development Co. Shenzhen Yulongtong Electron

Co.,Ltd. Shin-Etsu Chemical Co., Ltd. Signatone Silicon Cert Laboratories Sinclair Manufacturing Co. SIPAT Co.

Skyworks Solutions, Inc. Smith Interconnect Sonnet Software Inc. SOURIAU PA&E Southwest Microwave, Inc. Spanawave Corp. Spectra - Mat, Inc. Spectrum Elektrotechnik GmbH Spectrum Microwave, Inc. Spinner Atlanta Sprague Goodman SRI Connector Gage Company SRTechnology Corp. SSI Cable Corp. State Of The Art Inc. Stellar Industries Corp. StratEdge Corp.

Sumida America Components Sumitomo Electric Device Innovations SV Microwave Inc. Symmetricom Synergy Microwave Corp. T-Tech Inc. Taconic Tahoe RF Semiconductor, Inc. Tai-Saw Technology Co., Ltd. TDK-Lambda Americas TE Connectivity Tecdia Inc. Tech Briefs Media Group Techmaster Electronics, Inc. Tektronix Inc. Teledyne Coax Switches Teledyne Cougar Teledyne Defence Ltd. Teledyne Labtech Teledyne MEC Teledyne Microelectronics Teledyne Microwave Teledyne Relays Teledyne Scientific Teledyne Storm Products Teledyne Technologies, Inc. Telegartner, Inc. Telemakus, LLC.

Teseq, Inc. TestEquipment.com, Inc. TestEquity LLC Testforce Systems Inc.

Texas Instruments Thales Components Corp. THINFILMS Inc. Times Microwave Systems TMD Technologies Ltd. Toshiba America Electronic Cmpts. TotalTemp Technologies, Inc. TowerJazz TRAK Microwave Corp. Transcom, Inc. Transline Technology Inc. TriQuint Semiconductor TRM Microwave TRS-RenTelco TRU Corporation Inc. TTE Inc.

TTM Technologies, Inc.

TYDEX UltraSource Inc. UMS (United Monolithic Semiconduc-

tors) UTE Microwave Inc. Vacuum Engineering & Materials

Co., Inc. Valpey Fisher Corp.

Vaunix Technology Corp. VECTRAWAVE

Vectria Ltd. Vectron International Verspecht-Teyssier-Degroote VidaRF Viking Tech America Corp. Virginia Diodes Inc. Vishay Intertechnology, Inc. Voltronics Corp./Dover VTI Instruments Corp. W. L. Gore & Associates, Inc. Weinschel Associates Wenzel Associates Inc. Werlatone Inc. West Bond Inc. WEVERCOMM Co., Ltd.

Wibicom Wiley-IEEE WIN Semiconductors Corp. WIPL-D D.O.O. Wireless Design & Development Wireless Telecom Group

WiSpry, Inc. X-Com Systems X5 Systems, Inc. Xi’an Forstar S&T Co., Ltd.

Xi’an Gold Waves S&T Co., Ltd. Yantel Corp. Yokowo Co., Ltd. Yortec Inc. Z-Communications, Inc.

IMS2012 Exhibiting companies as of 20 March 2012:

Page 126: IMS2012 Program

› 123

EXHIBITION

MEDIA

Gold

Platinum

Silver

MEDIA PARTNERS:

MEDIA SPONSORS:

MEDIA AFFILIATES:

PLATINUM/GOLD/SILVER - SPONSORS

OFFICIAL MEDIA SOURCE OF THE MTT-SOCIETY:

Page 127: IMS2012 Program

› 124

EXHIBITION

TUESDAY WEDNESDAY THURSDAY0905 ADC Multiple Device Synchronization for Advanced High Speed / RF Data

AcquisitionMaury Wood, NXP

RF Link Prediction - A New and Novel ApproachJoel Kirshman, AWR

Custom OFDM Validation of Wireless/Military DSP Algorithms and RF ComponentsDaren McClearnon, Jin-Biao Xu, Agilent EEsof

0920 An Intuitive Cloud-based ADC Performance Analysis ApplicationLawrence P. Dunleavy, Jiang Liu, Taylor Maddix, Uwe Knorr, Modelithics, Transim Tech

True Differential Mode for the ZVA and ZVT Network AnalyzersJonathan Leitner, Rohde & Schwarz

Synchronized Sweeps for Multi-Tone X-parameter Model Generation for IP3 EstimationRadek Biernacki, Mihai Marcu, Agilent EEsof

0935 Integrated Electrothermal Solution Delivers Thermally Aware Circuit SimulationRick Poore, Agilent EEsof

Generation and Analysis Techniques for Cost-efficient SATCOM MeasurementsRichard Overdorf, Agilent

Custom User Interface Enables Seamless Transfer of Simulation, Modeling and Measurement to Manufacturing Floor.Murthy Upmaka, Dingqing Lu, Agilent EEsof

0950 High-Power VNA Calibration TechniquesKeith Anderson,Agilent

UWB antenna design with HFWorksM. Jemai1, A. Bostani, A. B. Kouki, A. Khebir, ElectroMagneticWorks, LACIME LAB

Traceable Calibration Method For Mixer Delay Measurements Eliminates The Need For Calibration And Reference MixersDara Sariaslani, Agilent

1005 Keynote 1: The Next Generation of Communications Design, Validate, and TestDr. Mark Pierpoint, Agilent EEsof

QuickWave Multiphysics Software with CAD Input and GPU ProcessingDr. Malgorzata Celuch, Dr. Janusz Rudnicki, QWED

Noise Parameter Verification – How Accurate Are Your Measurements?Ali Boudiaf , Maury

1020 Modeling Conformal Antenna Arrays with XFdtdKyle Labowski, Remcom

A Practical Comparison of VNA Calibration TechniquesRusty Myers, Maury

1035 Automatic Optimization of a Multiband Conformal Antenna and 7T Microstrip Resonator Using XF 7James F. Stack, Jr., Stefanie Lucas, Remcom

High Speed Active Load Pull for Production TestingMauro Marchetti, Anteverta-mw, Maury

1050 Basic Amplifier Measurements with the RF Vector Network Analyzer (VNA)Taku Hirato, Agilent

Linking RF Design thru to TestJosh Moore1, David Hall2, 1AWR, 2National Instruments

Position of GaN within RF Power capable Technologies: NXP’s viewMark Murphy, NXP

1105 Bonding Materials used in Multilayer Microwave PCB ApplicationsJohn Coonrod, Rogers

Passive Intermodulation (PIM) measurement using vector network analyzerOsamu Kusano, Agilent CTD-Kobe

High efficiency RF Power Pump using a class E PA in a packageRik Jos, NXP

1120 A 0.3-67 GHz integrated on wafer Noise and Load Pull Test SystemNeven Misljenovic, Bryan Hosein, Joseph Slanik, Raymond Jallad, FOCUS Microwave

Precise Dielectric Measurement Set-up for Every Microwave LaboratoryJerzy Krupka1, Wojciech Gwarek2, 1QWED, 2Warsaw U. of Technology

Optimizing the Design and Verification of 4G RF Power AmplifiersDustin Hoekstra, AWR

1135 Cost-Effective Millimeter Signal Analysis ApproachesBenjamin Zarlingo, Agilent

X-Parameters with Active and Hybrid-Active Load PullGary Simpson, Maury

Simulating an NXP Doherty Power Amplifier with Digital Pre-DistortionMark Saffian, AWR

1150 Practical Techniques for Recognizing and Dagnosing Root Causes of Poor Wafer-level S-parameter CalibrationsCraig Kirkpatrick, Cascade Microtech

High Frequency Instructional Toolkit (Waveguide Toolkit & Software for Students)Alexandre Manchec, ELLIPTIKA

SPARK3D: A general tool for RF high power breakdown predictionS. Anza, T. Pinheiro, J. Gil, Dr. Carlos P. Vicente Quiles, V. E. Boria, Aurorasat, Aurora Software and Testing

1205 From Gigahertz to Terahertz: The Essentials of Very High Frequency Signal MeasurementsWilkie Yu1, Dr. Jeffrey L. Hesler2, Agilent1, Virginia Diodes2

Panel Session: Device Characterization Methods and Advanced RF/Microwave DesignModerator: David Vye, Microwave JournalCompanies: Agilent, AMCAD, Anritsu, Anteverta, and Maury Microwave

Video bandwidth theory and practical implementation in high power base station amplifiers.Gerard Bouisse, NXP

1220 Innovative Testing Methods for 60GHz devices Targeting WLAN and Video MarketRichard Curtin, Dror Regev, Cedric Mayor, Jean-Pascal Mallet, Presto

Accelerated RF power device and circuitry design flow via X parameter characterizationDr Troels Nielson1, Klaus Werner2, 1Agilent , 2NXP

1235 External Harmonic Mixing for Millimeter Wave MeasurementsYee-Ping Teoh, Agilent

250W RF Transistor Measurements using a Nonlinear Vector Network AnalyzerKeith Anderson, Agilent

1250 Fully Integrating 3D Electromagnetic (EM) Simulation into Circuit SimulationJohn Dunn, AWR

Practical Electromagnetic Modeling of Parallel Plate Capacitors at High FrequencyJustin Magers, National Instruments

1305 Comprehensive Millimeter Wave Simulation Solutions for TSMC’s 60-GHz 65 nm CMOS process Wireless Reference Design Kit.Juergen Hartung, Agilent EEsof

Waveguide Device Design Using XFdtdJoseph J. Rokita, Remcom

1320 Designing MMICs to include Package Effects using the Amkor QFN Package Design KitKim Jun Won, Agilent EEsof

Use of FPGAs for Faster Test Times and Repeatability on Cellular MeasurementsRaajit Lall, National Instruments

1335 Non-linear and small signal amplifier Simulation TechniquesAndy Howard, Agilent EEsof

Methodologies for Time Side Lobe Measurements on Pulse Compression RadarsJohn S Hansen, Agilent

Joint Time-Frequency Processing for VNAsDon Metzger, Constant Wave

1350 Multi-Technology RF Module Design MethodologyJack D. Sifri, Agilent EEsof

ATN, ATS and the PNA-X - A Correlation of Noise Parameters through the AgesSathya Padmanabhan, Maury

Mind Your Reference PlaneDavid Broadbent, National Instruments

1405 A Low Cost, Plastic Packaged, 0.5W, 6-18GHz Amplifier MMICLiam M. Devlin, Plextek

Virtual Flight Testing of Radar System PerformanceDavid Leiss, Agilent EEsof

Phase Noise Choices in Signal Generation: Understanding Needs and TradeoffsBenjamin Zarlingo, Agilent

1420 SiGe BiCMOS Technologies for Applications above 100 GHzDr. René F. Scholz, Dr. B. Heinemann, Dr. Wolfgang Kissinger, Dr. H. Rücker, Prof. B. Tillack, IHP

24 GHz Radar SystemTobias Klein, Dr. Rüdiger Follmann, IMST

Strengths and Limitations of the SOLR Wafer Level S-Parameter Calibration TechniqueCraig Kirkpatrick, IMS2013 MicroApps Chair, Cascade Microtech

1435 XR & ISM, ISM optimized extremely rugged LDMOSMark Murphy, NXP

Scripting and Parameterization Approach for Complex Full-wave Electromagnetic ProblemsJohn LaDue, Dr. Raghu K. Settaluri, Dr. Ronald Posner, HeeSoo Lee, Agilent EEsof

1450 Measurement-based FET modeling using Artificial Neural Networks (ANNs)Jianjun Xu, Agilent EEsof

State of the Art and Future Directions in Electromagnetic SimulationBrian Rautio, Sonnet

MICROAPPS

Category LegendCAD, Semiconductor and Model-

ing Products and TechniquesMaterials, Mechanical and Passive

ComponentsActive Components Sub-Assemblies and

InterconnectsCalibration, Instrumentation and

Test Measurement Techniques

Page 128: IMS2012 Program

› 125

EXHIBITION

Category LegendCAD, Semiconductor and Model-

ing Products and TechniquesMaterials, Mechanical and Passive

ComponentsActive Components Sub-Assemblies and

InterconnectsCalibration, Instrumentation and

Test Measurement Techniques

TUESDAY WEDNESDAY THURSDAY1505 STAN TOOL – From Stability Analysis to the stabilization of multi-transistor

circuitsStéphane Dellier, PhD, AMCAD

Reducing active device temperature rise and RF heating effects with high thermal conductivity low loss circuit laminatesAllen F. Horn, III, Rogers

1520 Performing Accurate Wafer-Level TRL Calibration with Custom Calibration Sets using WinCal™Andrej Rumiantsev, Cascade Microtech

RF System Design – Moving Beyond a Linear DatasheetJoel Kirshman1, Takao Inoue2, 1AWR, 2National Instruments

1535 A Compact Harmonic TunerChristos Tsironis, Joseph Slanik, Raymond Jallad, Bryan Hosein, FOCUS Microwave

Improve Microwave Circuit Design Flow Through Passive Model Yield and Sensitivity AnalysisLarry Dunleavy1, Lars van der Klooster2, 1Modelithics, 2Ageto

1550 Two Tone Terminator (T3)Doug Jorgesen, Ph.D, Christopher Marki, Ph.D., Marki

RF System Architecture – Techniques for Optimal DesignJohn LaDue, Rulon VanDyke, Agilent EEsof

1605 Ease of implementation of High Performance Quadrature Modulators in wireless infrastructuresRandy Cochran, NXP Semiconductors

The Importance of Pulsing for Iso_Thermal ModelingTony Gasseling, AMCAD Engineering

1620 Comparison and Improvements in Active Injection Harmonic Load Pull SystemsOlivier Roy, Christos Tsironis, Zacharia Ouardirhi, FOCUS Microwave

OMP, 3 way Novel Doherty, Design challenges and trade off for OMPDave Hartskeerl, NXP

1635 SpurSIM – a fractional-N spur and phase noise simulatorDr. Rüdiger Follmann, IMST

Electrical/Thermal Coupled Solutions for Flip Chip DesignsJohn Fiala1, Mike Heimlich2, 1AWR, 2CapeSym

1650 System Simulation Featuring Signal Processing BlocksJoel Kirshman1, David Hall2, 1AWR, 2National Instruments

1705 Keynote 2: James Clerk Maxwell Part IIDr. James Rautio, Sonnet

HISTORICAL EXHIBITThis is the year of anniversaries!

The IMS2012 will be celebrating the 60th anniversary of the founding in 1952 of the MTT society. To mark this occasion, the Anniversary celebration at IMS2012 will include a suite of 3 special sessions, related to the overall history and key interests of the society.

To mark the occasion of the IMS being held outside of the US, for the second time ever, the theme for the IMS2012 historical exhibit is the evolution of microwaves in Canada.

Canada has been the land of much progress in microwaves and RF. To name a few:

• 111th anniversary of the first transatlantic wireless communication by Guglielmo Marconi on December 12, 1901, the message was received at Signal Hill in St John’s Newfoundland, Canada.

• 50th anniversary of Alouette 1 launched on 29 September 1962, the world’s first scientific satellite dedicated to atmospheric studies to learn more about the influence of aurora borealis (also called northern lights) on the propagation of radio waves.

• 40th anniversary of the ANIK A1 communication satellite, launched on 9 November 1972, then becoming the world’s first national domestic satellite.

• Hermes, launched on 17 January 1976, was the first direct-to-home satellite television broadcast; also used for tele-medecine and tele-education.

• 30th anniversary of the first rescue demonstration of the Cospas-Sarsat satellite system. Only nine days after testing began, on 9 September 1982, SARSAT demonstrated its effectiveness in searching for downed aircraft.

This year the historical exhibit will be conveniently located on the fifth floor, in the foyer of the session floor thus providing convenient and easy access for all the symposium attendees to enjoy this showcase.

The attendees will be treated to a number of exhibits featuring the numerous achievements accomplished by Canadian establishments including contributions from academia, industry and government laboratories such as the Communication Research Center, winner of three Emmy awards for their work in the field of broadcasting, the Defense R&D Centers, and the David Florida laboratories, the Canadian facility for the integration and testing of satellites of numerous space-faring countries.

Category LegendCAD, Semiconductor and Model-

ing Products and TechniquesMaterials, Mechanical and Passive

ComponentsActive Components Sub-Assemblies and

InterconnectsCalibration, Instrumentation and

Test Measurement Techniques

Page 129: IMS2012 Program

› 126

SOCIAL EVENTS/GUEST PROGRAM

SOCIAL EVENTS

Sunday, 17 June 2012RFIC Reception: 1900-2100 Palais des Congrès, Level 7 – Room 710a

Immediately following the RFIC Plenary Session is the RFIC Recep-tion to be held in adjacent Room 710a at the Palais des Congrès. This social event is a key component of the RFIC Symposium, providing an opportunity to connect with old friends, make new acquaintances, and catch up on the wireless industry. Admittance is included with RFIC Symposium registration. Additional tickets can also be purchased separately at registration.

Monday, 18 June 2012IMS 2012 Welcome Reception: 1900-2030 Palais des Congrès, Viger Lobby

All Microwave Week attendees and exhibitors are invited to attend a reception hosted by IMS2012 in the Palais des Congrès, Viger Lobby.

Chapter Chairs’ Meeting (CCM): 2000 - 2200 Hyatt, Grand Salon

All our Chapter Chairs and their designated Chapter representa-tives are cordially invited to our Reception/Poster Session followed by Dinner and Meeting. For further information contact your Regional Chapter Coordinator, or Bela Szendrenyi at [email protected].

Sponsor: IEEE MTT-S AdCom and the MGA Committee

Tuesday, 19 June 2012Women in Microwaves Reception: 1800-1930 Pointe A Calliere Museum, 350 Pl Royale, Montréal

Meet with old friends as well as make new connections to the growing community of women who make a career in the field of high-technology. Enjoy great food, refreshing beverages and warm conversation at the WIM Social Event.

Ham Radio Social: 1830-2130 Hyatt Hotel, Level 4 – Room: Soprano

While enjoying a buffet and open bar, the attendees will have the opportunity to see the accomplishments of amateur radio opera-tors who have skillfully designed and built transceivers for use from VHF to high millimeter wave bands. Some of these transceivers were made from surplus and commercially available components and some are state-of-the-art new designs including SDR. Several will be on display and their builders will be there to answer ques-tions.

All conference attendees are welcome. You will find that amateur ra-dio operators are utilizing their allocated frequency spectrum for very important uses and you may be interested in obtaining your license so you too can test your new designs and microwave propagation.

MTT-S Graduates of the Last Decade (GOLD) and Student Reception: 1930 to 2130 Pub St-Paul, Old Montréal

The IEEE MTT Graduates of Last Decade (GOLD) and Student Com-mittees invite all MTT GOLD and student members to a compli-mentary reception at the Pub St-Paul in Old Montréal. This will be an excellent opportunity not only to relax and entertain, but also to interact and network with other GOLD and student members.

Sponsor: IEEE MTT-S GOLD and Student Committees

Wednesday, 20 June 2012Industry Hosted Cocktail Reception: 1700-1800 Palais des Congrès, Level 2 – Exhibition Hall

Symposium Exhibitors will host a cocktail reception.

MTT-S Awards Banquet: 1800-2200 Palais des Congrès, Level 7 – Room 701

The MTT-S Awards Banquet includes dinner, major society awards presentation and entertainment. This year the entertainment will feature one of the most renowned attractions of Montréal: contemporary circus. Discover this nouveau cirque, which blends comedy, performances and visual effects in a unique way. Tickets can be purchased at the time of registration.

Thursday, 09 June 2012MTT-S Student Awards Luncheon: 1200-1400 Le Westin Hotel, Level 9 – Fortifications Ballroom

All students are invited to attend the luncheon which recognizes recipients of the MTT-S Undergraduate Scholarships, MTT-S Gradu-ate Fellowships, IMS2012 Student Volunteers, IMS2012 Student Paper Awards and the participants/winners of the IMS2012 Stu-dent Design Competitions.

IMS2012 Closing Reception:1730-1830 Palais des Congrès, Viger Lobby

All Microwave Week attendees and exhibitors are invited to attend the Closing Reception hosted by IMS2012 in the Palais de Congrès. Viger Lobby

Page 130: IMS2012 Program

SOCIAL EVENTS/GUEST PROGRAM

› 127

Special Tours:To register for the following tours, please refer to the Hotel and Travel tab on the conference website at http://ims2012.mtt.org.

City Tour: Montréal Mixes Trendiness & TraditionTuesday, 19 June 2012 9:00am to 12:00pm $48.00 CAD per person

This rate includes the following: • Professional English Speaking Guide• Deluxe motorcoach• Admission to the Notre-Dame Basilica• Bottle of water• All taxes

Discover Montreal with its bi-cultural heritage and cosmopolitan blend of the old and new on this comprehensive overview of our city. Old Montreal’s rich architectural and historical legacy, the financial district, Montreal’s leading museums, the cultural and performing arts institutions, Montreal’s elegant upper class communities and Montreal’s universities.

Along the way, the tour includes a stop at the summit of Mt. Royal for a panoramic view of Montreal and a guided visit of Notre-Dame Basilica, an outstanding example of neo-gothic architecture.

We will drive through St-Helen’s and Notre-Dame Islands, sites of Expo 67’, and the Montreal Casino

Please stop by the Tourisme Montréal information desk on the 5th level of the Palais des Congrès for tourist and restaurant information.

Sunday, 17 June - Thursday, 21 June, 1100-1600

Guest LoungeThe Guest Lounge will be located at the Hyatt Regency Montreal on the 6th Floor in the Inspiration Room. It will be a place to relax and meet. The Guest Lounge will also have suggestions and discount coupons for various activities to enjoy while in Montréal as well as fun games and crafts for the families. Guest registration is required and fees do apply.

Sunday, 17 June - Thursday, 21 June, 0700-1630 and Friday, 22 June, 0700-1200

© Tourisme Montréal

Page 131: IMS2012 Program

Old Montréal Walk and the Museum of Archeology Wednesday, 20 June 2012 9:00am to 12:30pm $45.00 CAD per person

This rate includes the following:• Professional Guide• Admission Fees

• Bottle of water• All taxes

You will first transfer to Old Montreal by foot with a professional guide. In this historic quarter, you will stroll through a maze of narrow cobblestone lanes and old buildings, providing a perfect opportunity to discover the history and charm of Old Montréal. En route you will see Place d’Armes, Jacques Cartier Square and Montréal City Hall.

One of the highlights of this tour is the visit of the museum of Archeology also called Pointe - à - Callières. The museum is recognized as a national historical and archaeological site, leading visitors through centuries of history. The Museum opened in 1992, the result of over 10 years of digs. It showcases major archaeological discoveries made on this site starting in the 1980s.

The visit will start with the multimedia show, Yours Truly, Montréal. It presents the history of Montréal in 18 spellbinding minutes. The City speaks to us and tells us its story over time: the birth of Mount Royal, the arrival of the Amerindians, the founding of Montréal, French and British regimes, the modern era and the development of networks.

The Where Montréal Was Born permanent exhibition takes visitors to the heart of an authentic archaeological site: Montréal’s birthplace. The unusual underground route covering six centuries of history, from the times when Natives camped here to the present day, is an emotion-packed look at the very essence of a city born over 360 years ago.

Your tour starts in the remains of the Royal Insurance Building (1861-1951), which housed Montréal’s Customs office from 1871 to 1917. Along the way, you’ll cross through an imposing vaulted stone tunnel, where the Little Saint-Pierre River once flowed, and see how it was converted into a collector sewer from 1832-1838.

You will return to the hotel by foot with your guide or, if you prefer, stay in Old Montreal on your own.

Flavors and Aromas of Old MontréalWednesday, 20 June 2012 9:00am to 12:00pm $50.00 CAD per person

This rate includes the following: • Professional English Speaking Guide • Tastings in 3 different boutiques• Bottle of water• All taxes

This gastronomic walk will make you discover the culinary, cultural and historical charms of the oldest district of Montreal. The group will first transfer from the hotel by walk to Old Montreal accompanied by a professional guide.

Hosted in old warehouses and showrooms of the 19th era, some food boutiques open their doors to make you taste their delicacies. Through small and narrow cobblestone streets, the guide explains the influence of the Natives and the nuns on our food habits, and how the venue of the World Expo in 1967 brought exotic food on our tables. That and much more, accompanied by a professional foodie guide who will feed you with historical and gastronomic comments all along.

The tour will include stops in 3 different boutiques. You will have the chance to taste local products including macaroon, duck paté and foie gras on baguette, and gelato sweeten with maple syrup. Return to the hotel by foot.

© Tourisme Montréal, Pierre-Luc Dufour

SOCIAL EVENTS/GUEST PROGRAM

› 128

© Crudessence

Page 132: IMS2012 Program

The Richelieu Valley: The Gourmet Region Thursday, 21 June 2012 10:00am to 3:00pm $105.00 CAD per person

This rate includes the following: • Professional Guide• Bus Transportation• Cider Wine Tastings • 3 Course Lunch including beer tasting

• Bottle of water• Taxes • Lunch Gratuities

The Richelieu Valley, colonized by the French, was the heart of the seigniorial system and is noteworthy for the panoramic scenery it offers.

The Richelieu Valley, also called the Apple Region offers panoramic scenery coupled with an ideal climate for the gardening of market produce. The Monteregians hills are surrounded by huge orchards and apple industries. You will also discover the tasty regional products like apples and apple by-products, as well as delicious wines. During the tour you will visit a cider mill “ La Cidrerie Jodoin” and taste their products derived from apples, as an ice sparkling cider or ice cider.

A delicious meal will follow in the village of Chambly at the restaurant Fourquet Fourchette on the banks of the Richelieu River before heading back to Montreal.

SOCIAL EVENTS/GUEST PROGRAM

› 129

A Day in Quebec City and Montmorency FallsFriday, 22 June 2012 8:00am to 21:00pm $ 105.00 CAD per person

The rate includes the following:• Transportation • Specialized tour guide in

Quebec City

• Bottle of water• All taxes

Classified as an international heritage site in 1985 by UNESCO, the city of Québec, capital of the Province of Québec, is the only remaining entirely fortified city in North America. Founded in 1608 by Samuel de Champlain, Québec was the first permanent European settlement in New France. A natural citadel, the site dominates the St. Lawrence. Renowned for its European flavor, the city offers a rich architecture.

Before entering Quebec City, you will first have a look at the 274ft Montmorency Falls. Then, lunch on your own in Old Québec City. After lunch, tour of the city with a specialized guide where you will see the Plains of Abraham, Notre-Dame Church, Place Royale, the National Assembly, the Citadelle and the famous Château Frontenac. See if you can resist the ambiance of Québec City!

Free time in petit Champlain before heading back to Montreal.

© Y

ves

Tess

ier,

Tess

ima

Page 133: IMS2012 Program

2012 International Microwave Symposium 1721 Boxelder St. Ste. 107 Louisville, CO 80027

CONVENTION CENTER MAPS