Top Banner
How to use the VHDL and schematic design entry tools.
19
Welcome message from author
This document is posted to help you gain knowledge. Please leave a comment to let me know what you think about it! Share it to your friends and learn new things together.
Transcript
Page 1: How to use the VHDL and schematic design entry tools.

How to use the VHDL and schematic design entry tools.

Page 2: How to use the VHDL and schematic design entry tools.

ABSTRACT

Demonstrates how to create a top-level schematic that contains instantiations of the modules, and describe how to wire together the modules, and determine the circuit behavior by computer simulation.

Page 3: How to use the VHDL and schematic design entry tools.

Starting the Xilinx’s Software

For PC users, start Xilinx program from the Start menu by selecting the following path: Start Programs Xilinx Foundation Series 2.1i Project Manager

Page 4: How to use the VHDL and schematic design entry tools.

Creating New Project

Select OKNew Project dialog box comes outGive “Name”—Lab5; create the directory path —a:\lab5; Select OK

Page 5: How to use the VHDL and schematic design entry tools.

Creating a New Schematic

Click on the third item named Schematic Editor in the first flow chart box.

Schematic Editor

Page 6: How to use the VHDL and schematic design entry tools.

Schematic Editor Window

Symbol Toolbox

Page 7: How to use the VHDL and schematic design entry tools.

Libraries

Click on the Symbols Toolbox iconClick on Select Libraries

Page 8: How to use the VHDL and schematic design entry tools.

Instantiating VHDL Modules

• Select FJKSRE from the SC Symbols Window.• Place four FJKSREs in the schematic editor

window by simply dragging from the SC Symbols Window.

Page 9: How to use the VHDL and schematic design entry tools.

Instantiating VHDL Modules

Similarly select AND2.Place three AND2’s in the Schematic Editor Window.

Page 10: How to use the VHDL and schematic design entry tools.

Instantiating VHDL Modules

Place one inverter in the Schematic Editor Window.Select VCC and GND and place it on the Schematic Editor Window.

Page 11: How to use the VHDL and schematic design entry tools.

Adding Hierarchy Connectors

Click on the Hierarchy Connector Symbol.

Page 12: How to use the VHDL and schematic design entry tools.
Page 13: How to use the VHDL and schematic design entry tools.

Wiring the Components

• Go to the left toolbar and click on Draw wires Symbol.• To make a connection:1. Click once at the vertex of a pin; 2. Extend the wire to the desired length; 3. Click on the location you want the wire to terminate.

Draw Wires

Page 14: How to use the VHDL and schematic design entry tools.

Simulation

Click on the Simulator button in the taskbar on the top of the workspace.

Simulator

Page 15: How to use the VHDL and schematic design entry tools.

Simulation

Inside the Waveform Viewer Window click on Add Signals.

Double Click

Page 16: How to use the VHDL and schematic design entry tools.

Setting the Field Values

Click on the text that says Clock, then click on the Select Simulator button in the Waveform Viewer Window.

Select Simulators

Click

Double Click

Click

Click

Page 17: How to use the VHDL and schematic design entry tools.

Setting the Field Values

Click on the text that says Reset, then click the Logic States Button.

Logic StatesClick

Simulation Step

Page 18: How to use the VHDL and schematic design entry tools.

Final Output

Page 19: How to use the VHDL and schematic design entry tools.

Reference

Lab Manual