Top Banner
May 2010 Page 1 of 54 SEMICONDUCTOR FABRICATION FACILITIES Table of Contents Page 1.0 SCOPE ................................................................................................................................................... 3 1.1 Changes ............................................................................................................................................ 3 2.0 LOSS PREVENTION RECOMMENDATIONS ....................................................................................... 3 2.1 Construction and Location ............................................................................................................... 3 2.1.1 Site Selection ........................................................................................................................ 3 2.1.2 Cleanroom Construction ........................................................................................................ 3 2.2 Utilities .............................................................................................................................................. 4 2.2.1 Cleanroom Air Handling System ........................................................................................... 4 2.2.2 Cleanroom Smoke/Contaminant Control Systems ................................................................ 5 2.2.3 Fume Exhaust System ........................................................................................................... 8 2.2.4 Electrical Power ...................................................................................................................... 9 2.2.5 Flammable and Corrosive Liquid Storage, Handling and Dispensing ................................. 12 2.2.6 Process Gas Cylinder Dispensing Location, Construction and Protection .......................... 13 2.2.7 Process Gas Cylinder Storage and Handling ...................................................................... 13 2.2.8 Dichlorosilane and Trichlorosilane ....................................................................................... 14 2.2.9 Chlorine Trifluoride ............................................................................................................... 14 2.2.10 Dopant Gas Sources ......................................................................................................... 15 2.2.11 Silane Delivery Systems .................................................................................................... 15 2.2.12 Process Gas Cabinets ....................................................................................................... 20 2.2.13 Cryogenic (Bulk) Gas Storage & Distribution System ...................................................... 24 2.2.14 Deionized (D.I.) Water Systems ........................................................................................ 24 2.2.15 Air Compressors ................................................................................................................ 25 2.2.16 Bulk Chemical Distribution ................................................................................................ 25 2.2.17 Valve Manifold Boxes (VMB) (Fig. 13) .............................................................................. 26 2.2.18 Waste Recovery and Handling Systems ........................................................................... 27 2.2.19 Waste Liquid Handling ...................................................................................................... 27 2.2.20 Effluent Treatment Systems .............................................................................................. 27 2.2.21 Acid Waste Neutralization Systems .................................................................................. 28 2.2.22 Scrubbers .......................................................................................................................... 29 2.2.23 Reprocessors ..................................................................................................................... 29 2.2.24 Steam and Chilled Water Systems ................................................................................... 30 2.2.25 Vacuum Pumps .................................................................................................................. 31 2.3 Human Element ............................................................................................................................. 31 2.3.1 Housekeeping ...................................................................................................................... 31 2.3.2 Emergency Organization ..................................................................................................... 31 2.4 Protection ....................................................................................................................................... 32 2.5 Equipment and Process Protection ............................................................................................... 32 2.5.1 Construction ......................................................................................................................... 32 2.5.2 Process Liquid Heating Equipment ..................................................................................... 33 2.5.3 Wet Benches ....................................................................................................................... 33 2.5.4 Spin Rinse Dryers ............................................................................................................... 35 2.5.5 Alcohol Vapor Dryers ............................................................................................................ 35 2.5.6 Mini-Environment Enclosures ............................................................................................... 36 2.5.7 Chemical Mechanical Polish Tools ...................................................................................... 36 2.5.8 Step and Repeat Exposure System (Steppers) .................................................................. 37 2.5.9 Pass-Through Cabinets ....................................................................................................... 37 2.5.10 Electron Beam Exposure Systems ................................................................................... 37 FM Global 7-7 Property Loss Prevention Data Sheets 17-12 ©2010 Factory Mutual Insurance Company. All rights reserved. No part of this document may be reproduced, stored in a retrieval system, or transmitted, in whole or in part, in any form or by any means, electronic, mechanical, photocopying, recording, or otherwise, without written permission of Factory Mutual Insurance Company.
54
Welcome message from author
This document is posted to help you gain knowledge. Please leave a comment to let me know what you think about it! Share it to your friends and learn new things together.
Transcript
Page 1: FMDS0707

May 2010Page 1 of 54

SEMICONDUCTOR FABRICATION FACILITIES

Table of ContentsPage

1.0 SCOPE ................................................................................................................................................... 31.1 Changes ............................................................................................................................................ 3

2.0 LOSS PREVENTION RECOMMENDATIONS ....................................................................................... 32.1 Construction and Location ............................................................................................................... 3

2.1.1 Site Selection ........................................................................................................................ 32.1.2 Cleanroom Construction ........................................................................................................ 3

2.2 Utilities .............................................................................................................................................. 42.2.1 Cleanroom Air Handling System ........................................................................................... 42.2.2 Cleanroom Smoke/Contaminant Control Systems ................................................................ 52.2.3 Fume Exhaust System ........................................................................................................... 82.2.4 Electrical Power ...................................................................................................................... 92.2.5 Flammable and Corrosive Liquid Storage, Handling and Dispensing ................................. 122.2.6 Process Gas Cylinder Dispensing Location, Construction and Protection .......................... 132.2.7 Process Gas Cylinder Storage and Handling ...................................................................... 132.2.8 Dichlorosilane and Trichlorosilane ....................................................................................... 142.2.9 Chlorine Trifluoride ............................................................................................................... 142.2.10 Dopant Gas Sources ......................................................................................................... 152.2.11 Silane Delivery Systems .................................................................................................... 152.2.12 Process Gas Cabinets ....................................................................................................... 202.2.13 Cryogenic (Bulk) Gas Storage & Distribution System ...................................................... 242.2.14 Deionized (D.I.) Water Systems ........................................................................................ 242.2.15 Air Compressors ................................................................................................................ 252.2.16 Bulk Chemical Distribution ................................................................................................ 252.2.17 Valve Manifold Boxes (VMB) (Fig. 13) .............................................................................. 262.2.18 Waste Recovery and Handling Systems ........................................................................... 272.2.19 Waste Liquid Handling ...................................................................................................... 272.2.20 Effluent Treatment Systems .............................................................................................. 272.2.21 Acid Waste Neutralization Systems .................................................................................. 282.2.22 Scrubbers .......................................................................................................................... 292.2.23 Reprocessors ..................................................................................................................... 292.2.24 Steam and Chilled Water Systems ................................................................................... 302.2.25 Vacuum Pumps .................................................................................................................. 31

2.3 Human Element ............................................................................................................................. 312.3.1 Housekeeping ...................................................................................................................... 312.3.2 Emergency Organization ..................................................................................................... 31

2.4 Protection ....................................................................................................................................... 322.5 Equipment and Process Protection ............................................................................................... 32

2.5.1 Construction ......................................................................................................................... 322.5.2 Process Liquid Heating Equipment ..................................................................................... 332.5.3 Wet Benches ....................................................................................................................... 332.5.4 Spin Rinse Dryers ............................................................................................................... 352.5.5 Alcohol Vapor Dryers ............................................................................................................ 352.5.6 Mini-Environment Enclosures ............................................................................................... 362.5.7 Chemical Mechanical Polish Tools ...................................................................................... 362.5.8 Step and Repeat Exposure System (Steppers) .................................................................. 372.5.9 Pass-Through Cabinets ....................................................................................................... 372.5.10 Electron Beam Exposure Systems ................................................................................... 37

FM Global 7-7Property Loss Prevention Data Sheets 17-12

©2010 Factory Mutual Insurance Company. All rights reserved. No part of this document may be reproduced,stored in a retrieval system, or transmitted, in whole or in part, in any form or by any means, electronic, mechanical,photocopying, recording, or otherwise, without written permission of Factory Mutual Insurance Company.

Page 2: FMDS0707

2.5.11 Wafer Tracks ...................................................................................................................... 382.5.12 Finished Product Storage .................................................................................................. 392.5.13 Ion Implanters ...................................................................................................................... 402.5.14 Furnaces and Reactors ..................................................................................................... 422.5.15 Fire Protection for Wet Benches and Other Processing Tools ......................................... 422.5.16 Fire Protection for Stockers ............................................................................................... 492.5.17 Liquid Damage Exposures ................................................................................................ 512.5.18 Protection Against Theft .................................................................................................... 512.5.19 Earthquake Protection ....................................................................................................... 51

3.0 SUPPORT FOR RECOMMENDATIONS ............................................................................................... 524.0 REFERENCES ....................................................................................................................................... 53APPENDIX A GLOSSARY OF TERMS ...................................................................................................... 54APPENDIX B DOCUMENT REVISION HISTORY ..................................................................................... 54

List of FiguresFig. 1. Local return cleanroom. ...................................................................................................................... 5Fig. 2. Partial return cleanroom. .................................................................................................................... 6Fig. 3. Sidewall return cleanroom. ................................................................................................................. 7Fig. 4. Perforated raised floor cleanroom. ..................................................................................................... 8Fig. 5. Perforated raised floor and open slab cleanroom. ............................................................................. 9Fig. 6. Recommended fab electrical one-line diagram. ............................................................................... 11Fig. 7. Process gas cylinder dispensing locations. ...................................................................................... 14Fig. 7a. Bulk silane storage facility. ............................................................................................................. 16Fig. 7b. Schematic view of proposed segmented bulk silane system. ........................................................ 17Fig. 8. Average silane concentration in a ventilated enclosure. .................................................................. 19Fig. 8a. Vent area requirement for prompt ignition of silane releases as a function of initial line pressure. . 21Fig. 8b. Vent area requirement for ignition of silane releases at shut-off as a function of enclosure

volume. .......................................................................................................................................... 21Fig. 9. Three cylinder gas cabinet with doors closed. ................................................................................. 22Fig. 10. Three cylinder gas cabinet with doors open. ................................................................................. 23Fig. 11. Gas cabinet located in distribution room. ....................................................................................... 24Fig. 12. Bulk chemical distribution unit. ....................................................................................................... 25Fig. 13. Valve manifold boxes. ..................................................................................................................... 26Fig. 14. Chemical waste collection unit. ...................................................................................................... 28Fig. 15. Point-of-use scrubber. ..................................................................................................................... 29Fig. 16. Outdoor regeneration thermal oxidizer installation. ........................................................................ 30Fig. 17. Front side of automated wet bench provided with mini-environment enclosure. ........................... 34Fig. 18. Back side of automated wet bench provided with mini-environment enclosure. ........................... 35Fig. 19. Stepper-wafer track cluster tool. ..................................................................................................... 36Fig. 20. Wafer track chemical distribution cabinet. ...................................................................................... 38Fig. 21. Wafer track chemical distribution cabinet with doors open. ........................................................... 39Fig. 22. Wafer stocker and associated automated materials handling system. .......................................... 40Fig. 23. Backside of ion implanter located in service corridor. .................................................................... 41Fig. 24. Vertical diffusion furnaces in service corridor. ................................................................................ 42Fig. 25. Wet bench detection arrangements. ............................................................................................... 45Fig. 26. Wet bench FWS suppression arrangements. ................................................................................. 47

List of TablesTable 1. Fabrication Area Smoke/Contaminant Control Arrangements (use in conjunction with Figs 1–7) . 7Table 2. Silane Flow Rates Through Restricted Flow Orifices Based on the Predictionsfrom the

FM Global Research Model ........................................................................................................... 19Table 3. Stocker Sprinkler Protection Design Requirements ..................................................................... 50

7-717-12 Semiconductor Fabrication FacilitiesPage 2 FM Global Property Loss Prevention Data Sheets

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 3: FMDS0707

1.0 SCOPE

This loss prevention data sheet covers the general processes of semiconductor device fabrication and theirassociated hazards.

1.1 Changes

May 2010. Minor editorial changes were made for this revision.

2.0 LOSS PREVENTION RECOMMENDATIONS

2.1 Construction and Location

2.1.1 Site Selection

2.1.1.1 Cleanrooms and related support facilities, such as subfabs, utility buildings, waste processingbuildings, chemical storage and delivery buildings, should be located to avoid the following exposures:

a) Exposures from other occupancies located within the facility. There should be no occupancies locatedabove cleanroom areas.

b) Exposure from natural catastrophe related occurrences such as flood, windstorm, geological events,freezing weather, hail, etc. Cleanroom buildings and related support areas should not be located within anyidentified flood zone.

c) Exposure from internal or external fire sources. This would include proposed adjacent occupancies,external adjacent structures, wildfire potentials, conflagration, etc.

d) Liquid damage exposure from piping and other utility services, such as drainage systems and liquiddelivery systems.

e) Contaminant exposure to air intakes from adjacent exhaust systems and occupancies.

2.1.1.2 Cleanrooms and related support facilities should be located at grade or above grade level to preventexposures to flooding, sewer backup and other hazards that could expose basement areas to loss.

2.1.2 Cleanroom Construction

2.1.2.1 Cleanrooms should be separated from adjacent occupancies, no greater than ordinary hazard, byminimum 1-hour rated construction. The exception to this would be the air showers (entry) between thecleanroom and the adjacent gowning area; these should be constructed of noncombustible materials. Also,where there are viewing windows provided in a cleanroom exterior wall, along an adjacent hallway, thehallway wall opposite the windows should be the rated assembly as long as the hallway is of noncombustibleconstruction. Adjacent occupancies greater than ordinary hazard should be located in separate buildings.

2.1.2.2 Noncombustible interior subdivision walls should be provided in cleanrooms to limit the spread ofsmoke and other contaminants in the event of an accident. These subdivision areas should run from theunderside of the roof to the subfab floor. Such subdivisions should be provided between photolithography andall adjacent areas, between product lines, and between wet etch and adjacent areas.

2.1.2.3 Wall and floor panels, as well as interior finishing materials used in cleanrooms should benoncombustible, or be of materials that are FM Approved (see glossary in Data Sheet 7-7R) andFM Approvals specification tested to meet the FM Approvals Cleanroom Materials Flammability Test Protocol.

2.1.2.4 Cleanroom designs in which the fab and subfab are separated by a solid noncombustible floor,should have utility penetrations in the floor tightly sealed with minimum one-hour rated Approved fire stopmaterials.

2.1.2.5 Any penetrations through rated wall systems should be properly sealed using Approved wallpenetration fire stops.

2.1.2.6 A semiannual inspection program should be established to verify that all wall and floor penetrationsare properly sealed and that the integrity of all subdivisions are maintained. All fire doors should be testedannually and those in MFL walls should be tested semiannually.

7-7Semiconductor Fabrication Facilities 17-12FM Global Property Loss Prevention Data Sheets Page 3

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 4: FMDS0707

2.1.2.7 HEPA (high efficiency particulate air) and ULPA (ultrahigh particulate air) filter modules used incleanrooms should be Approved for new installations.

2.1.2.8 Filter housing, including fan-filter unit housings louvers and ceiling grids should be noncombustibleor made of materials that are FM Approvals specification tested to meet the FM Approvals CleanroomMaterials Flammability Test Protocol.

2.1.2.9 The roof above the cleanroom should be one of the following in descending order of preference:

a) fire-resistive (e.g. reinforced concrete or protected steel frame)

b) Noncombustible (e.g. concrete over steel deck on steel frame)

c) Class I (e.g. insulated steel deck with limited above deck combustibles)

Combustible construction, including gypsum board sheathed roof (one-hour rated per code), is notrecommended.

2.1.2.10 Roofs should be designed to meet all FM Global requirements regarding windstorm, drainage, hail,rain and snow loading for the area.

2.1.2.11 Nonfab related occupancies and occupancies containing chemicals should not be located abovethe cleanroom. Totally liquid-tight (see Section 2.5.17, Liquid Damage Exposures) floors with adequatedrainage and containment to a safe location should be used whenever occupancies above the cleanroomhave potential for liquid leak, such as HVAC equipment in cleanroom plenums.

2.1.2.12. The acoustical lining for the air handling fan enclosures including fan-filter unit housings shouldbe constructed of noncombustible materials or of materials which are FM Approvals specification tested tomeet the FM Approvals Cleanroom Materials Flammability Test Protocol.

2.1.2.13 Piping and fittings located above the cleanroom should be minimized to prevent water damage tothe cleanroom in the event of a leak. Examples include recirculation air handlers, drains and other piping.

2.1.2.14 New cleanrooms under construction or existing rooms undergoing renovation present uniqueexposures which should be considered. A comprehensive loss prevention program should be establishedin accordance with Loss Prevention Data Sheet 1-0, Safeguards During Construction Alteration andDemolition.

2.2 Utilities

2.2.1 Cleanroom Air Handling System

2.2.1.1 The return air system of each fabrication area should not be connected to another air-handling systemwithin the building.

2.2.1.2 Makeup air fan intakes should be located away from any potential sources of smoke or fumes whichcould expose the fabrication area to contamination from a fire in the same or in adjacent buildings or areas.Makeup air fan intakes should be located remotely from exhaust stacks of smoke/ contaminant controlsystems and scrubbers. Smoke and/or fume dispersion calculations should be performed when there areany questions about the possibility of the makeup air intake becoming contaminated by a potential adjacentsource of smoke or fumes.

2.2.1.3 An Approved smoke detection system should be provided within the makeup and recirculating airhandler units (AHU) or close to them downstream. The smoke detection system should be arranged to alarmat a constantly attended location and shut down the air handler units upon alarm to prevent continued intakeof contaminated air.

2.2.1.4 Filters used in air handlers should meet UL900 Class I rating.

2.2.1.5 A Approved (high sensitivity) smoke detection system should be provided within the return air path.When the fabrication area smoke/contaminant control system is integrated with the fabrication area air-handling system, these detectors should be arranged to close dampers (upon alarm) which stop the flowof recirculating air to the cleanroom and open dampers which divert the flow of smoke/contaminant withinthe cleanroom to the atmosphere. In all cases these detectors should be arranged to alarm at a constantlyattended location.

7-717-12 Semiconductor Fabrication FacilitiesPage 4 FM Global Property Loss Prevention Data Sheets

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 5: FMDS0707

2.2.1.6 Emergency manual control switches for both the makeup and recirculating air fans should be installedoutside the entrance area to the cleanroom.

2.2.1.7 Air-handling ductwork installed between the recirculating air unit and the cleanroom filter modules(i.e. elephant trunks), should be of metallic construction.

2.2.1.8 At least one redundant fan of each type should be installed for the various air systems (n+1). Thisincludes building make-up air, VLF (vertical laminar flow) fans, and the various exhaust systems (scrubbedexhaust, general exhaust, VOC exhaust, and calamity exhaust).

2.2.1.9 The air handling system should be connected to an emergency power source through an automatictransfer switch and arranged to keep the fab under positive pressure in the event of a loss of utility power.

2.2.1.10 The electrical feed to the VLF fans should originate from at least two sources: about half from oneutility feeder, and the other from the second utility feeder. It is also preferable to supply adjacent fans fromalternate feeders and MCCs (motor control centers) so if one utility source were lost or one MCC were to fail,air flow could be maintained to all air zones of the fab.

2.2.2 Cleanroom Smoke/Contaminant Control Systems

2.2.2.1 Each cleanroom should be provided with means of removing smoke/contaminant during fire, chemicalspill, etc., to minimize the spread of contaminants within the cleanroom.

2.2.2.2 Smoke/Contaminant removal should be provided by a dedicated smoke/contaminant control systemarranged for automatic actuation by the smoke detection system in the fabrication area.

Exception 1: When allowed by Table 1, smoke/contaminant removal can be done by the fabrication areafume exhaust system when the fume exhaust duct system is Approved for smoke removal and is not providedwith fire dampers or interrupters or, when the exhaust duct system is noncombustible and is also not providedwith fire dampers or interrupters (Figs. 1 and 2).

Fig. 1. Local return cleanroom.

7-7Semiconductor Fabrication Facilities 17-12FM Global Property Loss Prevention Data Sheets Page 5

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 6: FMDS0707

Exception 2: When allowed by Table 1, smoke/contaminant removal can be integrated with the cleanroomair handling system when the cleanroom air is returned to the recirculation air fan via ducts (Figs. 3, 4 or 5).

2.2.2.3 A secondary means of manual actuation of the smoke/contaminant control system should be providedin a clearly marked and accessible area outside the cleanroom.

2.2.2.4 Where the fabrication area is arranged with the return air through service aisles (Fig. 3, 4 and 5),the ducted return air option is preferred.

2.2.2.5 The smoke/contaminant control system should have a functional test done annually of all detectionand control systems including system dampers and fans. The system should be designed so that operationaland functional tests can be done without interruption to the normal cleanroom air handling.

Fig. 2. Partial return cleanroom.

7-717-12 Semiconductor Fabrication FacilitiesPage 6 FM Global Property Loss Prevention Data Sheets

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 7: FMDS0707

Table 1. Fabrication Area Smoke/Contaminant Control Arrangements (use in conjunction with Figs 1–7)

IF the fabrication area is provided withTHEN smoke/contaminant control is provided by adedicated smoke/contaminant control system; or,

No room recirculating air system (Fig. 1) Fume exhaust system if duct construction is Type 1 and 2(see below)

Partial recirculating air system (Fig. 2) Fume exhaust system if duct construction is Type 1 and 2High volume laminar flow recirculating air system (Fig. 3)with return air duct

Damper arrangement to divert return air to atmosphere

High volume laminar flow recirculating air system withreturn air plenum (Fig. 3)

(Dedicated system)

A perforated raised floor and ducted return (Fig. 4) Damper arrangement to divert return air to atmosphereA perforated raised floor and attic return air plenum(Fig. 4)

(Dedicated system)

A perforated raised floor, open waffle slab and ductedreturn (Fig. 5)

Damper arrangement to divert return air to atmosphere

A perforated raised floor, open waffle slab and attic returnair plenum (Fig. 5)

(Dedicated system)

Duct construction materialsType 1: Unlined ferrous metal (steel, stainless steel, galvanized metal, etc.)Type 2: Approved fume exhaust and smoke control duct systems not requiring automatic sprinklers, fire dampers,

or interrupters of any kind.

Fig. 3. Sidewall return cleanroom.

7-7Semiconductor Fabrication Facilities 17-12FM Global Property Loss Prevention Data Sheets Page 7

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 8: FMDS0707

2.2.2.6 The smoke/contaminant control system for new semiconductor facilities should be fully tested duringmechanical system tests done near the end of the construction process. Testing should consist of a completefunctional test of detection and control systems plus activation of smoke/ contaminant removal fan anddamper systems. The performance of the smoke/contaminant removal system should be verified using eithersulfur hexafluoride (SF6) or another tracer gas method.

2.2.3 Fume Exhaust System

2.2.3.1 Approved fume/smoke exhaust duct systems which do not require dampers or interrupters shouldbe used for new installations where corrosive products are exhausted. When such exhaust duct systems arenot specifically approved for use in cleanrooms, their external surface should be noncombustible.

2.2.3.2 Approved ducts should not be used for liquid removal.

2.2.3.3 Low point condensate drains should be provided where condensate may accumulate in ducts usedfor fume exhaust.

2.2.3.4 All Approved ducts should have joints fabricated in accordance with manufacturer’s specifications.

2.2.3.5 For existing installations, where Approved duct systems are not used:

Replace all combustible rigid and combustible flexible ducts with Approved fume/smoke exhaust systems.If ducts with diameters of 6 in. (150 mm) or larger cannot feasibly be replaced, protect them with automaticsprinkler protection in accordance with Data Sheet 7-78, Industrial Exhaust Systems. Replace ducts withdiameters smaller than 6 in. (150 mm).

Fig. 4. Perforated raised floor cleanroom.

7-717-12 Semiconductor Fabrication FacilitiesPage 8 FM Global Property Loss Prevention Data Sheets

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 9: FMDS0707

2.2.3.6 Noncombustible (unlined ferrous metal) or Approved ducts should be used in new and existinginstallations where air and other noncorrosive products are exhausted. (For example, general exhaust andheat exhaust systems.)

a) Solvent exhaust should use noncombustible ducts.

2.2.3.7 The exterior surface of Approved ducts should not be painted or coated unless explicitly indicatedin the FM Approval listing.

2.2.3.8 The fume exhaust system should have an emergency source of power designed in accordance withthe applicable electrical code. The emergency power should operate the exhaust system at not less than50 percent of its normal flow.

2.2.4 Electrical Power

2.2.4.1 Prior to the final selection of a new semiconductor facility site, a complete utility reliability study ofthe local electric utility should be conducted by a qualified engineering consulting firm. This study should focuson the number, duration, and causes of major system outages to the proposed site location over a 10 yearperiod.

Fig. 5. Perforated raised floor and open slab cleanroom.

7-7Semiconductor Fabrication Facilities 17-12FM Global Property Loss Prevention Data Sheets Page 9

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 10: FMDS0707

2.2.4.2 The semiconductor facility should establish a close working relationship with the local electric utilityto ensure clean and reliable power from multiple sources is available at all times. If a reliable electric utilityis not available, such as in some power-deficient countries, on-site power generation should be provided. Acomprehensive maintenance and testing program should be in place for the electric utility equipment.

2.2.4.3 The input power from the utility should be monitored with a calibrated power disturbance analyzerto ensure that the quality of the plant power supply meets the requirements of the facility. The monitoringdevice and the parameters monitored should be acceptable to the utility.

Substations

2.2.4.4 The facility main substation should be fed from a minimum of two independent utility transmissionlines from separate utility substations. Substations should be electrically isolated from each other to preventa fault in one substation from tripping the second substation (Fig. 6). These lines should enter the facilityfrom different directions on separate sets of poles/towers. If the plant is large enough, multiple feeds fromeach utility source should be provided.

It is not suitable for one utility source to be the primary supply and normally switched closed, and thesecondary utility source to be the backup and switched open. This would result in 8 cycle switching outagesto the entire site in the event of a line breaker operating on the primary feed. Each feeder should be normallyswitched closed and feed a portion of the plant at all times. Adequate static line protection and surgeprotection should be provided for the feeders.

2.2.4.5 Primary switching arrangements should be a ‘‘primary selective system’’ so that any utility feedercan be tied to any on-site transformers.

2.2.4.6 A minimum of two main power transformers (facility or utility owned) should be provided. These shouldbe sized and arranged so that either transformer can supply 100% of the maximum site power demand.Larger plants may require more transformers which should be paired to provide redundancy in case any singletransformer (n+1) fails. Transformer protection should be in accordance with Data Sheet 5-4, Transformers.

2.2.4.7 The main medium voltage secondary side switchgear should be arranged in a ‘‘secondary selectivesystem.’’ Two series connected tie breakers, with one normally open, should be provided to completely isolateone side of the medium voltage system. This will allow for maintenance to be performed on the system.

2.2.4.8 Complete animal (birds, rodents, etc.) protection should be provided for all substation and switchgear.Monthly inspections should be made of the substation to remove bird nests, etc. Random noise generatorsand ‘‘scarecrow’’ type devices are available to address bird issues.

2.2.4.9 If two utility sources are provided to the site, the cabling from the main substation, on each utilitysource, to the fab and other critical buildings should be as isolated from each other as possible. If undergroundduct banks are used, the two feeds should be encased in concrete or be separated by a concrete wall. Ifoverhead lines are provided, separate sets of poles should be provided. The purpose is to prevent a cablefault from affecting both sets of feeder cables.

2.2.4.10 It is preferable to install current limiting fuses upline of the various secondary substations supplyingthe fab building. These will clear faults in 1/4 cycle and will limit fault currents to downstream equipment,as well as help prevent voltage dips to the remainder of the system during a fault condition.

Secondary Distribution Substations

2.2.4.11 The secondary substations (typically 480-V) should be both ‘‘primary and secondary selectivesystems’’ with each transformer capable of handling the combined load of the entire substation. Critical loadsshould be supplied via automatic transfer switches. Each transformer should normally be fed off alternateutility sources (one odd, one even).

2.2.4.12 Due to the numerous nonlinear loads in a fab, the electrical systems will experience high amountsof harmonics. These nonlinear loads include UPS systems, DC drive systems, variable speed AC drivesystems, etc. The secondary substation transformers should be rated to handle these harmonics. (See DataSheet 5-4, Transformers.)

7-717-12 Semiconductor Fabrication FacilitiesPage 10 FM Global Property Loss Prevention Data Sheets

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 11: FMDS0707

Fig. 6. Recommended fab electrical one-line diagram.

7-7Semiconductor Fabrication Facilities 17-12FM Global Property Loss Prevention Data Sheets Page 11

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 12: FMDS0707

Final Power Distribution

2.2.4.13 Uninterruptible power should be provided to all critical microprocessor controlled fab productiontools. This protects the facility from extended downtime due to power fluctuations and resultant spoilage ofsemiconductors in process. Uninterruptible power can be provided by:

• static switches

• diesel no-break systems

• static UPS modules

• hybrid rotary UPS modules, with or without emergency generator sets

2.2.4.14 UPS systems should be provided for all critical process safety controls, all PLC control systemsas well as Supervisory Control and Data Acquisition (SCADA) systems, life safety monitoring equipment,lighting, and furnace memory circuits.

General Comments

2.2.4.15 Spares should be maintained for all critical electrical equipment, such as breakers, transformers,cables, etc. These should be maintained and stored in accordance with manufacturer’s recommendations/instructions.

2.2.4.16 Electrical preventive maintenance should be in accordance with Data Sheet 5-20, Electrical Testing.

2.2.4.17 Fault current, load flow, harmonic, motor starting and protective device coordination studies shouldall be performed any time new construction or equipment expansion is planned. If double-ended substationarrangements are provided, fault current analysis should be conducted considering a worst case scenario.This would be if the tie breakers were closed and both transformers were tied together in parallel. Faultcurrent calculations should also be performed on the various tools in the fab to ensure adequate interruptingcapacity is provided.

2.2.4.18 The fab high and medium voltage electrical system should be monitored with a Supervisory Controland Data Acquisition (SCADA) system to reduce time associated with system diagnosis and to allow forelectrical power to be restored more quickly in the event of a partial system trip. The SCADA system allowsfor real time monitoring and control of critical parameters of the electrical system, such as power flows,breaker operations, transformer conditions, system power factor, system voltage and others, from a centrallocation. A SCADA system also has remote breaker operating capability.

2.2.4.19 Periodic infrared thermal scans should be performed on the fab tools to the extent possible. Thisshould especially be done at the fab startup and during any new tool hookup.

2.2.4.20 The design philosophy of the Normal/UPS/Standby/Emergency system should be coordinated sothat on a loss of normal power, the combination of the UPS/Emergency system will safely shut down fab tools.This includes providing power to tools and controls that are needed to complete the process that was ineffect at the time of the loss of normal power. Tools that require a significant recalibration period, which willresult in an extended fab startup time, should be kept on emergency power to enable the fab to resumeproduction almost immediately once normal power is restored.

2.2.4.21 Provide on-line redundancy for the controls of the automated material handling system (AMHS).Otherwise, develop, test and periodically review contingency plans for an AMHS failure.

2.2.5 Flammable and Corrosive Liquid Storage, Handling and Dispensing

2.2.5.1 The locations for storage and dispensing of flammable and corrosive liquids should be in accordancewith Data Sheet 7-29, Flammable Liquid Storage in Portable Containers and Data Sheet 7-32, FlammableLiquid Operations, as applicable. Corrosive liquids should be stored in a separate area isolated from thefabrication area.

2.2.5.2 Flammable and corrosive liquid storage in the fabrication area should be avoided. If these liquidsmust be present, they should be stored as follows:

a) Flammable liquids should be stored inside Approved safety cabinets. Additionally, the doors to thesecabinets should be self-closing.

7-717-12 Semiconductor Fabrication FacilitiesPage 12 FM Global Property Loss Prevention Data Sheets

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 13: FMDS0707

b) Corrosive liquids should be stored inside metal cabinets or inside cabinets made with plastic materialswhich are FM Approval listed to meet the FM Approvals Cleanroom Material Flammability Test Protocol,Class 4910. Cabinets should be able to contain at least 110 percent of the volume of the largest containeror spill that can be expected in the cabinet.

2.2.5.3 Acids should not be stored in the same cabinet with flammable liquids.

2.2.5.4 The following should be done for the handling of flammable liquids:

a) Pressurized stainless steel portable containers, safety cans, squeeze bottles and other small containersshould be filled in a room separate from the fabrication areas.

b) Flammable liquids used in the fabrication areas should be dispensed from stainless steel safety cansnot larger than 1 gallon (3.8 liters) in capacity.

c) If flammable liquids are handled in glass or plastic containers, carriers designed to protect containersduring transit should be used to prevent spillage.

2.2.5.5 Chemical transportation carts used to transport chemicals should be constructed of noncombustiblematerials or materials that are Listed to meet the 4910 protocol (non-flammable or corrosive chemicals only).Corrosives should not be transported in the same cart as flammable liquids. The cart should be designed totransport containers within an enclosure and to contain a spill from the largest single container transported(with a maximum container size of one gallon [3.8 liter]). The capacity of carts used for transporting processchemicals should not exceed 25 gallons (95 liters).

2.2.5.6 Flammable or combustible chemicals should not be stored within plastic wet benches.

2.2.6 Process Gas Cylinder Dispensing Location, Construction and Protection

2.2.6.1 Process gas cylinders containing pyrophoric, flammable, corrosive and toxic materials which supplyfabrication areas should be located in any one of the eight locations shown in Figure 7. When process gascylinders containing pyrophoric, flammable or highly reactive materials are located in recommendedlocations 3 through 8, the rooms and/or building should be provided with damage limiting construction (DLC)features in accordance with Data Sheet 1-44, Damage-Limiting Construction.

2.2.6.2 DLC used in locations 3 through 8 should be in accordance with Data Sheet 1-44. Design shouldbe based on hydrogen.

2.2.6.3 Process gas cylinder dispensing locations should be protected against external exposures such asoutdoor transformers, yard storage, etc. Depending on the exposure and the separation distance, exposureprotection in the form of increased separation distances, separation walls or fixed suppression systems shouldbe provided.

2.2.6.4 Cutoff rooms should have interior walls with a two-hour fire resistance rating as well as therecommended pressure resistance. All doors in these walls should be 1-1/2 hour rated Approved self-closingfire doors capable of resisting explosion forces in accordance with Data Sheet 1-44.

2.2.6.5 Automatic sprinkler protection should be provided inside cutoff rooms shown in Figure 7. Sprinklersshould be hydraulically designed to provide a density of 0.25 gpm/ft2 (10 mm/min) over the entire room areaor 3,000 ft2 (280 m2), whichever is smaller. Standard sprinkler heads rated at 286°F (141°C) should beinstalled.

2.2.6.6 Electrical equipment within cutoff rooms which contain flammable gases should conform to theprovisions of Data Sheet 5-1, Electrical Equipment in Hazardous Locations for Class I, Division 2 Process(Classified) Locations.

2.2.6.7 If a blast resistant containment structure (Fig. 7, location 2) is to be used, FM Global Research shouldbe consulted regarding explosion-resistance design features.

2.2.6.8 The number of process cylinders being dispensed should be the minimum necessary for efficientoperations.

2.2.7 Process Gas Cylinder Storage and Handling

The storage of gas cylinders should be arranged and protected in accordance with Data Sheet 7-50,Compressed Gases in Cylinders.

7-7Semiconductor Fabrication Facilities 17-12FM Global Property Loss Prevention Data Sheets Page 13

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 14: FMDS0707

2.2.8 Dichlorosilane and Trichlorosilane

2.2.8.1 Process cylinders/containers of dichlorosilane (DCS) and trichlorosilane (TCS) should be arrangedper Section 2.2.6, Process Gas Cylinder Dispensing Location, Construction and Protection. Heat tracing of thelines or a heated cylinder jacket with an appropriate high temperature cut-off switch may be necessary toachieve the required flow and pressure at the tool.

2.2.8.2 An excess flow valve or switch and a remotely actuated emergency shutoff valve should be providedon DCS and TCS process cylinders.

2.2.8.3 Shelf life should not exceed 12 months for DCS stored in carbon steel cylinders, due to the corrosiveaction of DCS on the cylinder and valve.

2.2.9 Chlorine Trifluoride

2.2.9.1 Process cylinders of chlorine trifluoride should be arranged per Section 2.2.6, Process Gas CylinderDispensing Location. In addition, process cylinders of chlorine trifluoride should be in separate gas cabinetfrom other process cylinders.

2.2.9.2 An emergency shutoff valve should be provided on each cylinder along with a means of remotelyactuating the valve.

2.2.9.3 Cylinders and piping should be constructed of nickel or nickel alloys, such as monel, hastelloy, orinconel suitable for ClF3 use.

2.2.9.4 Piping or tubing should be coaxial (double contained). The outer pipe should be monitored for leakageusing nitrogen.

Fig. 7. Process gas cylinder dispensing locations.

7-717-12 Semiconductor Fabrication FacilitiesPage 14 FM Global Property Loss Prevention Data Sheets

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 15: FMDS0707

2.2.9.5 Electrochemical detectors calibrated for chlorine dioxide or hydrogen fluoride should be providedwhere chlorine trifluoride is used. Other types of gas detectors could be used provided that their performanceis comparable or superior to electrochemical detectors in terms of concentrations and response time. Thealarm should initiate a shutdown of the gas supply as well as alarm to a constantly attended location.

2.2.10 Dopant Gas Sources

2.2.10.1 Use sub-atmospheric gas sources instead of high pressure cylinder sources whenever processcompatibility will allow.

2.2.10.2 Arrange sub-atmospheric gas cylinders and enclosures per Section 2.2.12, recommendations2.2.12.1 to 2.2.12.4 and 2.2.12.6, except that sprinkler protection is not needed within the enclosure.

2.2.10.3 Provide sub-atmospheric gas cylinders with a pressure sensor designed to shut off the cylinder ifthe pressure exceeds one atmosphere (760 torr).

2.2.11 Silane Delivery Systems

2.2.11.1 Bulk Delivery Systems

2.2.11.1.1 A backup silane supply should be provided for a bulk system with its own gas control system.

2.2.11.1.2 At least two silane feed lines between the gas pad and building isolation cabinet (BIC) should beprovided. The feed lines should be protected against mechanical damage.

2.2.11.1.3 The normal silane supply to the wafer fab should be periodically switched between the redundantfeed lines.

2.2.11.1.4 Appropriate interconnection switchover valves should be provided upstream and downstream ofthe silane gas control panels.

2.2.11.1.5 At least two silane gas supply lines should run from the BIC to the valve manifold boxes (VMB)supplying individual tools. These lines should be dual feed and provided with isolation valves; or alternativelyseparate lines to each VMB should be provided.

2.2.11.1.6. There should be written procedures for handling contamination of the bulk silane delivery system.The procedures should address the safety concerns for a pyrophoric gas as well as how to avoid spreadingany contamination. Appropriate personnel should be given periodic instructions relating to the procedures.

2.2.11.1.7 Tube Trailer Systems

a) Tube trailer systems with nominal 1000 psig (68 bar) storage pressure (Po) and nominal 1 in. (25.4 mm)diameter PRV (Do) should be located 130 ft (40 m) from important fab buildings (Lo). For tube trailersinstalled in accordance with this data sheet and with nominal pressure (P) or piping diameter (D) differentthan above, the base recommended separation distance (Lo) can be adjusted in accordance with theequation below. The adjusted separation distance (L) should not be less than 50 ft (15 m).

b) Provide an RFO for each tube trailer system. The RFO size should be as small as possible to meetprocess needs. (See Fig. 7b)

c) In the Building Isolation Cabinet (BIC), RFOs should be provided for each of the lines to Valve ManifoldBoxes (VMBs). (See Fig. 7b)

d) Provide each tube trailer system with an independent, remotely operated emergency shutoff valve(ESOV). Locate the ESOV downstream of the line RFO. Activation of the ESOV should be interlockedwith the actuation of flame detectors of the water spray protection system for the trailer. (See Fig. 7b)

e) An excess flow valve (EFV) or an excess flow switch (EFS) should be installed downstream of thepressure regulator if process considerations would allow it. Activation of EFV or EFS should be arrangedto shut the ESOV on each tube line.

L = Lo × (DDo

) × (PPo

) 0.5

7-7Semiconductor Fabrication Facilities 17-12FM Global Property Loss Prevention Data Sheets Page 15

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 16: FMDS0707

f) Pressure relief devices (PRVs) of the combination fusible plug and burst disk type (CG-4 type) shouldbe provided for each tube in a tube trailer. The release from these devices should be arranged in shortstacks discharging above the trailer, or manifolded to a main release stack away from the tube trailer, suchthat a gas flare resulting from a PRV release will not impinge on adjacent tubes, piping or control systems.Stacks should be provided with blow-off caps or other means of preventing rain and other foreign materialfrom entering the stacks.

g) Tube trailers should be separated from each other and from the regulator station by 2 hr fire ratedwalls extending 3 ft (1.0 m) above the tube trailers. The tube trailers should not be surrounded or confinedby these or other types of walls. Security fencing for the bulk storage areas should be provided by openchain link fences or equivalent. (See Fig.7a).

h) Automatic fixed water spray protection should be provided for the tube trailer storage area. The systemshould be designed to provide a density of 0.30 gpm/ft2 (12 mm/min) over the external tank surface areaof the tube trailers for a 2 hr duration. Regulator station and control panel areas should also be protectedby this system. The water spray system should be activated by Approved optical flame detectors that havebeen shown to respond to the flame signature of silane.

i) Arrangement of the piping, valve controls, and valve manifold boxes should be as recommended forsilane cylinder systems.

2.2.11.1.8 Cylinder Pack and 450 L Tonner Systems

a) Cylinder pack systems should not be surrounded or confined by walls. Security fencing should beprovided by open chain link fences or equivalent. Walls should be at least 10 ft (3.0 m) away from thecylinder pack.

Fig. 7a. Bulk silane storage facility.

7-717-12 Semiconductor Fabrication FacilitiesPage 16 FM Global Property Loss Prevention Data Sheets

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 17: FMDS0707

b) Aluminum cylinders should not be used in cylinder pack systems.

c) Approved optical flame detectors which will respond to the flame signature of silane should be providedto close automated cylinder valves.

d) Automatic fixed water spray protection should be provided for the cylinder pack system storage area.The system should be designed to provide a density of 0.30 gpm/ft2 (12 mm/min) over the cylinder packsystem plus 10 ft (3.0 m) on each side. Regulator systems and control panel areas should also beprotected by this system. The water spray system should be activated by Approved optical flame detectorsthat will respond to the flame signature of silane.

e) The general arrangement of piping, valves and controls should be as recommended for single cylindersystems.

f) If a Building Isolation Cabinet (BIC) is provided, RFOs should be provided for each of the lines in theBIC to Valve Manifold Boxes (VMBs). (See Fig.7b).

Fig. 7b. Schematic view of proposed segmented bulk silane system.

7-7Semiconductor Fabrication Facilities 17-12FM Global Property Loss Prevention Data Sheets Page 17

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 18: FMDS0707

2.2.11.2 Open Manifold Racks

2.2.11.2.1 When used in a manifold or dispensing rack system, separate silane gas cylinders from each otherby a 1⁄4 in. (7 mm) thick steel plate partition extending 3 in. (76 mm) beyond the foot print of the cylinder.The steel plate should extend from the top of the purge panel to 12 in. (305 mm) below the cylinder valve.Provide forced mechanical ventilation in accordance section 2.2.11.3 for silane. With forced ventilationprovided there is no need to locate the rack away from walls; however, if forced ventilation is not provided,then racks should be at least 10 ft (3 m) away from walls.

2.2.11.2.2 An Approved optical flame detection system should be provided for a silane dispensing racksystem. Activation of this system should close the automated cylinder valves on each cylinder and activatea deluge water spray system designed to cool the cylinders and valves.

2.2.11.3 Silane Cabinets and Enclosures

In addition to the recommendations for process gas cylinder cabinets provided in Section 2.2.12, the followingrecommendations should be applied to gas cylinder cabinets and enclosures (such as ‘‘source’’ cabinetswithin process equipment and VMBs) using 100 percent silane gas (SiH4) and silane gas mixtures.

2.2.11.3.1 Limit the inventory of silane to 1% of the net volume of the gas cabinet or enclosure. This resultsin a maximum average initial concentration of silane inside the cabinet or enclosure of 1 percent by volumeduring the period of discharge immediately following an accident. The silane inventory is the standard volumeof gas contained in the piping between RFOs or between an RFO and the end of the supply line (the pointof use). The net volume of the cabinet or enclosure is determined by excluding the volume occupied bycylinders, valves and other obstructions located inside the cabinet or enclosure. If the net volume of thecabinet (or enclosure) is too small for the available silane inventory, then additional RFOs should be installedto segment the supply lines and reduce the silane inventory to the desired value of 1% of the cabinet/enclosure net volume. Examples:

a) A gas cabinet with an RFO at the cylinder CGA fitting supplying a source cabinet via a pressureregulator:

Calculate the silane inventory based on the total length of tubing from the cylinder to the source cabinet,taking into account the different pressures upstream and downstream of the regulator; in such case, toachieve the 1% volume ratio it may be necessary to install additional RFOs in the source cabinet supplyline, downstream of the regulator.

b) A VMB supplying a source cabinet from a silane gas cabinet:

Calculate the inventory to the VMB by adding the standard volume of silane upstream of the VMB(i.e.,between the VMB and the cylinder CGA fitting RFO) to the standard volume of silane downstreamof the VMB (I.E., between VMB and the tool source cabinet). In such case, it may be necessary to installadditional RFOs in either or both the VMB and the source cabinet supply lines.

2.2.11.3.2 In gas cabinets, size the ventilation system to limit the maximum concentration of silane insidethe cabinet to 0.4 percent by volume. Base the maximum concentration of silane inside the gas cabinet onthe continuous release of SiH4 at a standard volumetric flow rate given by the size of the RFO in thedischarge line and the maximum SiH4 gas cylinder storage pressure (see Table 2). For a 0.4 percentconcentration, the required ventilation air flow rate can be estimated from Figure 8.

7-717-12 Semiconductor Fabrication FacilitiesPage 18 FM Global Property Loss Prevention Data Sheets

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 19: FMDS0707

Table 2. Silane Flow Rates Through Restricted Flow Orifices Based on the Predictionsfrom the FM Global Research Model

Silane Flow Rate [scfm](Source Temperature: 77°F; Downstream Pressure: 0 psig; Discharge Coefficient: 0.8)

RFODiameterin. (mm)

Source Pressure [psig]

1500 1200 1000 800 600 400 200 100 50

0.020(0.51)

10.0 7.88 6.04 4.34 3.02 1.92 0.949 0.497 0.288

0.014(0.36)

4.91 3.86 2.96 2.13 1.48 0.941 0.465 0.243 0.136

0.010(0.25)

2.50 1.97 1.51 1.08 0.755 0.480 0.237 0.124 0.069

Notes:1. The flows through the 0.014 in. (0.36 mm) and 0.010 in. (0.25 mm) RFOs are equal to 49 and 25% of the flow through

the 0.020 in. (0.5 mm) diameter RFO.2. To convert [scfm] to [slpm] multiply by 28.32.3. To convert from psig to bar, divide by 14.5.

2.2.11.3.3 In enclosures, other than gas cabinets, size the ventilation system to limit the maximumconcentration of silane inside the enclosure to 0.4 percent by volume. Base the maximum concentration ofsilane inside the enclosure on the continuous release of SiH4 at a standard volumetric flow rate given bythe size of the RFO in the discharge line and the maximum silane pressure downstream of the pressureregulator to the enclosure (see Table 2). For a 0.4 percent concentration, the required ventilation air flow ratecan be estimated from Figure 8.

Fig. 8. Average silane concentration in a ventilated enclosure.

7-7Semiconductor Fabrication Facilities 17-12FM Global Property Loss Prevention Data Sheets Page 19

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 20: FMDS0707

Silane Ventilated Enclosure Example:

Consider the case of a ventilated enclosure of 25.9 ft3 (0.73 m3) volume, swept by a ventilation flow of 450scfm (12,744 lpm). Silane is supplied to the enclosure by a 1/4-in. (6.3 mm) (OD) line at a regulated pressureof 50 psig (3.4 bar). This line is in turn fed from a source at 1450 psig (100 bar), through a 10-mil restrictedflow orifice (RFO), located at a distance of 80 ft (24.4 m) from the ventilated enclosure. Safe operation ofthe system is determined by the evaluation of two conditions: the magnitude of the initial silane inventory inthe 1/4-in. (6.3 mm) line relative to the size of the enclosure; and the magnitude of the RFO-controlled flowrelative to the ventilation in the enclosure. The first condition determines the severity of a potential ignitiontransient at flow start-up. The second provides a measure of the pressure development at flow shut-off.

The standard silane inventory in the line is calculated by first determining the volume of the line [assume0.035 in. (0.9 mm) wall thickness]:

Vline = π / 4 ( 0.25 – 2 x 0.035 )2 × 80 × 12 = 24.43 in3

The silane volume, when expanded to standard conditions, is given by (cf. Eq. 1):

∆Vstd = 24.43×0.0708 × 50 (1 + 8.19 10-7 × 502) = 86.66 in3 = 0.05 ft3

The ratio of the volume of the enclosure to the standard volume of silane is:

Vencl / ∆Vstd = 25.9 / 0.05 = 518

Since this value is greater than 100, the situation is acceptable from the point of view of potential pressuretransients caused by ignition of the release at flow startup.

Verifying the second condition requires calculating the RFO-controlled flow. By interpolation of the data inTable 2, the flow through a 10-mil orifice from a source at 1450 psig (100 bar) is estimated to be equal to2.41 scfm (68.25 lpm). Since the maximum silane flow rate that can be accepted for a ventilation of 450 scfm(12,744 lpm) is 1.8 scfm (51 lpm) (450/250, see recommendation 3 above), this situation is not acceptable.It would become acceptable if the ventilation flow was increased to 600 scfm (16,992 lpm) (2.41 × 250) orif the conditions detailed in recommendation 4 above were to be satisfied.

2.2.11.3.4 Provide explosion venting for gas cabinets and enclosures to limit overpressure inside gascabinets and enclosures to 0.25 psig (1.7 kPag). Explosion venting can be provided by door panels, windows,louvers or exhaust duct openings. Size explosion venting to satisfy both the requirements for line inventorypressure (Fig. 8a.) and for the volume of the enclosure (or cabinet) (Fig.8b).

2.2.11.3.5 The RFO size should be as small as allowed by the process.

2.2.12 Process Gas Cabinets

2.2.12.1 Locate process gas cylinders containing pyrophoric, flammable, corrosive and toxic materials whichsupply fabrication areas in cabinets constructed of at least 12 gauge metal, equipped with a self-closing,self-latching door and a purge assembly. Install a 165°F (74°C) rated sprinkler in cabinets with flammablegas cylinders (see Figs. 9, 10, 11). Cabinets are needed no matter where the gas cylinders are physicallylocated (see Fig. 7). However, open cylinder manifold racks are acceptable for silane.

2.2.12.2 Provide continuous internal ventilation inside gas cabinets and other enclosures with flammable,corrosive and toxic gases. Arrange ventilation system to prevent the formation of dead zones near likelyleakage sites. This will prevent accumulation of gas at higher concentrations. Size the ventilation system toprovide a minimum of 100 linear ft/min (0.51 m/sec) of internal ventilation velocity across any potentialleakage points such as cylinder heads, pressure regulators and controls. This air flow should be availablewith the cabinet doors and access window closed, i.e., air taken from cabinet louvers only.

Exception: For silane gas and silane mixtures, size the ventilation system in gas cabinets and other enclosuresin accordance with Section 2.2.11.3.

2.2.12.3 Cabinets containing flammable, corrosive and toxic gases should be provided with a gas monitoringsystem. Activation of the monitoring system should automatically shut off the process gas flow at the cylinderand initiate an alarm to the emergency control system.

a) For flammable and toxic gases, automatic shut off of the gas flow should be by normally closed,pneumatically held open, automated cylinder valves (ACVs) located on the cylinder.

7-717-12 Semiconductor Fabrication FacilitiesPage 20 FM Global Property Loss Prevention Data Sheets

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 21: FMDS0707

b) For other gases, emergency shutoff valves (ESOV) should be as close as practical to each cylinderCGA (threaded outlet on gas cylinder valve body) connection.

c) Remote manual actuation of ACVs and ESOVs should be provided outside of the gas distribution roomand at the fabrication area exits.

Fig. 8a. Vent area requirement for prompt ignition of silane releases as a function of initial line pressure.

Fig. 8b. Vent area requirement for ignition of silane releases at shut-off as a function of enclosure volume.

7-7Semiconductor Fabrication Facilities 17-12FM Global Property Loss Prevention Data Sheets Page 21

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 22: FMDS0707

2.2.12.4 The emergency shutoff valves should also automatically close upon any of the following conditions:

• activation of the gas monitoring system

• sustained (>15 seconds) power failure

• loss of cabinet ventilation airflow

• activation of cabinet fire detectors, if provided

• activation of an excess flow switch

• seismic activity

2.2.12.5 Provide an excess flow valve, or an excess flow switch connected to the emergency shutoff valve,for all cylinders of process gases. In addition to this shutoff valve, a restrictive flow orifice (RFO) should beprovided in the gas cylinder valve body. For all process gases, except for silane and silane mixtures, theRFO should be sized at 0.010 in. (0.25 mm) unless a larger orifice is needed to meet process demands. For100 percent silane and silane mixtures, RFO size should be in accordance with Section 2.2.11.3 SilaneCabinets and Enclosures. Cylinders containing any one of the following compressed and liquefied gasesshould be equipped with a restrictive flow orifice: silane, arsine, phosphine, diborane, hydrogen, methane,disilane, germane, hydrogen selenide, hydrogen sulfide and stibine. Certain gases such as ammonia,dichlorosilane, chlorine trifluoride, trichlorosilane and boron trichloride may not be able to be provided withan RFO due to corrosion problems or low vapor pressure.

Exception: If coaxial piping is used to convey process gases and the envelope is monitored for leakageand interlocked to shut down the flow of gas on detection of a leak, the lack of an excess flow valve isacceptable.

Fig. 9. Three cylinder gas cabinet with doors closed.

7-717-12 Semiconductor Fabrication FacilitiesPage 22 FM Global Property Loss Prevention Data Sheets

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 23: FMDS0707

2.2.12.6 All gas cylinder cabinets should be labeled as to gases they contain and the particular gasconcentration. All gas lines and valves within the cabinet should be labeled as to their function, i.e., process,purge or vent.

2.2.12.7 Process gas cylinder cabinets should contain no more than two compatible process gas cylinders.Only one of these cylinders should be connected to the process piping. The other cylinder is available toexpedite cylinder changeover. There may also be a purge gas cylinder located between the two process gascylinders. An automatic changeover system for the two process cylinders is acceptable.

2.2.12.8 Purge gases such as nitrogen, argon or helium should be supplied from cylinders rather than acentral supply, which is susceptible to a backflow of process gas. A check valve alone should not be the onlyisolation device between the purge and process gas.

2.2.12.9 If flammable gases in concentrations above 25% of the LEL can be present in gas cylinder purgepanel vent lines, process equipment purge lines or process equipment vacuum pump exhaust, then aneffluent treatment system should be provided.

2.2.12.10 Cylinders containing silane should preferably be constructed of steel. If aluminum is used, it shouldbe the 6061 alloy. In addition, if aluminum cylinders are used, a two-cylinder gas cabinet (one aluminumsilane cylinder and one purge cylinder) should be used, or if a three-cylinder cabinet (two aluminum silanecylinders and one purge gas cylinder) is used, then a steel partition should be provided between the silanecylinders as described in this section. This will prevent flame impingement to an adjacent silane cylinderin the event of a gas cabinet fire.

2.2.12.11 Electrical equipment inside the gas cabinet should conform to the provisions of Data Sheet 5-1,Electrical Equipment in Hazardous Locations for Class I, Division 2 Process (Classified) Locations.

Fig. 10. Three cylinder gas cabinet with doors open.

7-7Semiconductor Fabrication Facilities 17-12FM Global Property Loss Prevention Data Sheets Page 23

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 24: FMDS0707

2.2.12.12 Piping connections should be kept to a minimum. If possible, continuous lengths of A-316Lseamless stainless steel piping should be used. Orbital welding should be used to make connections onstainless steel piping. Piping should be labeled as to the gas it contains.

2.2.13 Cryogenic (Bulk) Gas Storage & Distribution System

2.2.13.1 Cryogenic storage and distribution of oxygen and hydrogen should have protection features providedin accordance with Data Sheets 7-52, Oxygen and 7-91, Hydrogen.

2.2.13.2 Excess flow valves should be provided on oxygen and hydrogen systems as close to the supplyas possible.

2.2.13.3 Backflow prevention at the tool connection should be provided to prevent line contamination.

2.2.13.4 The level sensors for the telemetry system should be checked for accuracy (calibration) at a regularfrequency and should be compared to the telemetry readings.

2.2.13.5 The actual tank levels should be visually checked daily.

2.2.14 Deionized (D.I.) Water Systems

2.2.14.1 The DI water system should be located in a noncombustible building or an area free of combustiblestructures and storage. Automatic sprinkler protection should be provided for the DI water system.

2.2.14.2 Redundancy (n+1) should be provided for all pumping and process equipment in the DI water plant.This may not be practical for all equipment, such as vessel type degassifiers. In this case, a contingencyplan for timely repairs, vessel replacement, or operation of the DI water plant without the unit should bedeveloped, tested and periodically reviewed.

Fig. 11. Gas cabinet located in distribution room.

7-717-12 Semiconductor Fabrication FacilitiesPage 24 FM Global Property Loss Prevention Data Sheets

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 25: FMDS0707

2.2.14.3 Emergency power should be supplied for all critical systems in the DI water plant to preventcontamination of the DI water in process during an electrical outage.

2.2.14.4 All DI water plant vessels should be built in accordance with the ASME code and registered withthe National Board. Safety valve protection should be in accordance with ASME codes, and local jurisdictionalrequirements.

2.2.14.5 The pressure vessel type vacuum degassifiers should be built in accordance with the ASME code,rated and stamped for full vacuum.

2.2.14.6 Water quality monitoring should be performed at critical points in the purification process. It ispreferable to have continuous monitoring at the exit of the DI water plant as well as in the final polishing loop.If water quality does not meet specifications, an alarm should be sounded at a constantly attended location.

2.2.15 Air Compressors

2.2.15.1 Air compressors should be arranged in accordance with Data Sheet 7-95, Compressors.

2.2.15.2 Intakes for air compressors should be arranged so that contaminants cannot be drawn into thesystem and distributed to manufacturing tools and other susceptible equipment. Consideration should begiven to providing forced draft to the air intake at the compressor if contaminated air can be drawn in at thecompressor casing.

2.2.15.3 A redundant air compressor should be provided for the system. If one is not available, a contingencyplan to obtain a rental unit should be developed, tested and periodically reviewed. Temporary hookups shouldbe provided for water, electricity, and discharge air.

2.2.16 Bulk Chemical Distribution

2.2.16.1 When bulk chemical distribution systems (Fig. 12) are monitored and controlled by a ProgrammableLogic Controller (PLC), the PLC should be arranged in accordance with Data Sheet 7-45 Instrumentationand Control in Safety Applications.

Fig. 12. Bulk chemical distribution unit.

7-7Semiconductor Fabrication Facilities 17-12FM Global Property Loss Prevention Data Sheets Page 25

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 26: FMDS0707

2.2.16.2 Bulk chemical distribution units handling flammable/combustible liquids should be constructed ofmetal.

2.2.16.3 Bulk chemical distribution units should be double contained and provided with drainage to a bulkwaste tank, a disposal area or to a treatment plant.

2.2.16.4 Leak detection should be provided for the distribution piping network and interlocked to shut offflow and to alarm at a constantly attended location and in the area of origin.

2.2.16.5 Metallic tubing or piping should be used to convey flammable or combustible liquids. The tubingor piping should be welded stainless steel. If plastic tubing is used, the tubing should be contained withinwelded stainless steel outer piping. Piping should be located to prevent physical damage or breakage.

2.2.16.6 An excess flow valve or switch designed to shut down the bulk chemical modules should be providedas close to the supply as possible.

2.2.16.7 Corrosives should be pumped in coaxial (or double contained) piping pitched back to the bulkchemical system. Coaxial plastic piping and tubing can be used.

2.2.16.8 An emergency manual shutoff switch should be provided at each tool served by the bulk chemicalsystem and at the bulk chemical distribution area. The emergency shutoff should be arranged tosimultaneously shut down all chemical systems.

2.2.16.9 When bulk chemical storage tanks are utilized, the tank fill connection arrangement should be uniqueto each different chemical. The vendor supplying the chemicals should have the supply hose connectionoutlet arranged to only fit the exact chemical tank fill connection.

2.2.17 Valve Manifold Boxes (VMB) (Fig. 13)

2.2.17.1 Do not locate valve manifold boxes in the cleanroom and clean subfab support areas.

Fig. 13. Valve manifold boxes.

7-717-12 Semiconductor Fabrication FacilitiesPage 26 FM Global Property Loss Prevention Data Sheets

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 27: FMDS0707

2.2.17.2 Valve manifold boxes handling flammable liquids and gases should be constructed of metal. VMBshandling corrosive liquids or corrosive gases should be constructed of materials which are FM Approvalsspecification tested to meet the FM Approvals Cleanroom Materials Flammability Test Protocol if they arelocated in the cleanroom or clean subfab support areas.

2.2.17.3 Provide liquid leak or vapor detection for liquid VMBs. Detection should be interlocked to shut downthe pneumatic valves in the liquid VMB and arranged to alarm at a constantly attended location.

2.2.17.4 Provide gas detection for gas VMBs. Detection should be arranged to alarm at a constantly attendedlocation and to shut down pneumatic shutoff valves for the gas lines.

2.2.17.5 Constantly ventilate valve manifold boxes. Monitor the ventilation at a constantly attended location.

2.2.18 Waste Recovery and Handling Systems

Facilities using waste solvent recovery systems such as carbon bed adsorbers should protect these systemsin accordance with Loss Prevention Data Sheet 7-2, Waste Solvent Recovery.

2.2.19 Waste Liquid Handling

2.2.19.1 Collection of waste flammable liquids beneath tools within the fab should not be conducted.

2.2.19.2 Waste liquid handling systems can be gravity flow, pumped or a hybrid (i.e. gravity flow from toolto collection cabinet, then pumped from the collection cabinet to a disposal or neutralization site.)

a) Double containment piping should be provided for corrosive chemicals which are pumped.

b) Gravity flow systems do not need double containment piping due to intermittent flow and low pressureon these systems.

c) Combustible and flammable waste should be in metallic piping.

2.2.19.3 Collection containers located in the subfab (Fig. 14) should be protected as follows:

a) Level controls should be provided on collection drums or totes and arranged to alarm at a constantlyattended location if a high liquid level is achieved.

b) Secondary containment should be provided.

c) Sprinkler protection or an Approved gaseous suppression system should be provided for flammablewaste liquid containers when located inside a cabinet or other enclosure.

d) Leak detection should be provided and should be interlocked to alarm at the tool(s) and at a constantlyattended location.

2.2.20 Effluent Treatment Systems

2.2.20.1 Effluent treatment systems (Figs. 15 and 16) should be located outside the fabrication and relatedsupport areas and arranged to minimize the exposure to the surrounding occupancy.

2.2.20.2 If high pressure vent lines are connected to direct flame contact systems, calculations should beprovided which confirm the restrictive flow orifice is adequately sized to limit the vent flow to the rated flowprocessing capacity of the unit.

2.2.20.3 Flash back arrestors should be provided, as near as possible to the incinerator, on the vent lineof direct flame contact systems. (See Data Sheet 6-11)

2.2.20.4 The following conditions on a direct flame contact system should cause an alarm:

a) Exhaust over temperature.

b) 50 percent reduction in air flow.

c) High fuel pressure.

d) Low fuel pressure.

e) High reaction chamber temperature.

f) Low reaction chamber temperature.

7-7Semiconductor Fabrication Facilities 17-12FM Global Property Loss Prevention Data Sheets Page 27

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 28: FMDS0707

Alarm conditions a, b, d, and e should be interlocked to cause a safe shutdown of the process equipmentconnected to the system, as well as a safe shut down of the direct flame contact system.

2.2.20.5 The exhaust branch ductwork from the outlet of a direct flame contact system should benoncombustible from the system point of connection to the point of connection to the collector duct. Collectorducts should be noncombustible or Approved.

2.2.20.6 Fixed bed adsorbers should be protected as outlined in Data Sheet 7-2, Waste Solvent Recovery.

2.2.20.7 Fume incinerators such as regenerative thermal oxidizer (Fig. 16) should be protected as outlinedin Data Sheet 6-11, Fume Incinerators.

2.2.20.8 Conduct Hazard and Operability Studies (HAZOP) for effluent treatment systems to ensure safe,reliable operation for normal and upset conditions.

2.2.20.9 Discharge effluent streams with flammable gas concentrations above 25% of the LEL to a treatmentsystem prior to discharge into the exhaust ducts. If the effluent contains both corrosive and flammable gascomponents, treat the flammable effluent first.

2.2.21 Acid Waste Neutralization Systems

2.2.21.1 Provide N+1 redundancy for critical components, emergency power and backup emergency holdingtanks, if needed to prevent the loss of the AWN system from affecting plant production.

2.2.21.2 Provide secondary containment capable of containing 110% of the capacity of the largest tank inthe AWN system.

Fig. 14. Chemical waste collection unit.

7-717-12 Semiconductor Fabrication FacilitiesPage 28 FM Global Property Loss Prevention Data Sheets

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 29: FMDS0707

2.2.22 Scrubbers

2.2.22.1 Scrubbers should be noncombustible or constructed of materials which are FM Approvalsspecification tested to meet the FM Approvals Cleanroom Materials Flammability Test Protocol.

2.2.22.2 Scrubbers should not be located in the cleanroom or on the floors above the cleanroom.

2.2.22.3 For scrubbers constructed of combustible material or containing combustible fill, automatic sprinklersshould be installed at the inlet and exhaust openings. Each head should be designed to flow a minimumof 25 gpm (95 lpm).

2.2.22.4 Scrubber discharge stacks of combustible construction should be provided with automatic sprinklerprotection.

2.2.22.5 Scrubber discharge stacks should be remotely located away from the cleanroom air handling systemair intakes. Fume dispersion calculations should be performed to confirm safe separation distances if thereis uncertainty regarding actual separation distances.

2.2.22.6 The water make-up line and drainage line from the sump of the scrubber should be designed tobe inherently safe (i.e. the drainage line capacity should exceed the water make-up capacity of the system).

2.2.23 Reprocessors

2.2.23.1 Acid and solvent reprocessors should be located outside the cleanroom area.

2.2.23.2 The system should be arranged per Sections 2.2.16, Bulk Chemical Distribution and 2.2.18, WasteLiquid Handling.

Fig. 15. Point-of-use scrubber.

7-7Semiconductor Fabrication Facilities 17-12FM Global Property Loss Prevention Data Sheets Page 29

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 30: FMDS0707

2.2.24 Steam and Chilled Water Systems

2.2.24.1 Built in redundancy should be provided for every major piece of mechanical equipment. This appliesto boilers, deaerators, chillers, pumps, compressors, etc. An n+1 philosophy should be used when designingthese systems.

2.2.24.2 All boilers and pressure vessels should be built in accordance with ASME codes and registeredwith the National Board. These units should also be installed and operated in accordance with localjurisdictional requirements.

2.2.24.3 If a single deaerator is provided, a bypass should be built around the deaerator to allow the unitto be taken out of service for inspection or maintenance.

2.2.24.4 Even with the redundancy, piping systems will still present bottleneck exposures. For this reason,a comprehensive piping inspection and valve maintenance program should be in force. Contingency plansfor quick repair of piping systems should be developed, tested and periodically reviewed.

2.2.24.5 Chilled water systems in fab buildings are typically large and can have from several thousand gpm(m3/min) to over 10,000 gpm (37.8 m3/min) of water flow in the loop. The major chilled water lines shouldnot be located on the same floor as the fab. It is preferable to locate these on a floor below the fab so a majorwater leak will not expose the fab floor directly. Adequate isolation valving should be provided on the system.All piping and valves should be properly labeled. If valves are located above false ceilings, their locationshould be marked on the ceiling.

2.2.24.6 All operators should be fully trained in operations of the utilities. Formal emergency training shouldbe provided for loss of critical services as well as failure of major equipment. Emergency procedures shouldalso be in place for a major leak in a chilled water main.

Fig. 16. Outdoor regeneration thermal oxidizer installation.

7-717-12 Semiconductor Fabrication FacilitiesPage 30 FM Global Property Loss Prevention Data Sheets

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 31: FMDS0707

2.2.24.7 All process chilled water (PCW) pumps critical to cooling of fab tools should be connected toemergency power. This includes epitaxial reactors and crystal growing furnaces. The process chilled wateris typically cooled through a heat exchanger by the main chilled water loop.

2.2.24.8 Many fabs use unfired DI steam generators to make ‘‘clean’’ steam to control humidity in the fab.These units, typically heated off a main hot water or steam loop, should be constructed in accordance withthe ASME code, Section VIII. Redundancy should be provided. Typically the units are not provided with lowwater cutoffs, because the units can operate dry without adversely affecting the heating coil. Low wateralarms should be provided.

2.2.25 Vacuum Pumps

2.2.25.1 Whenever possible mechanical vacuum pumps should be of the dry type.

2.2.25.2 Dry pumps or pumps using inert vacuum pump oil (chloro/fluoro based) with no fire or flash pointshould be used in all vacuum pumps whenever the process will allow it. This includes diffusion type pumps.

2.2.25.3 All process equipment vacuum pumps using hydrocarbon oils should be equipped with a demisteror coalescing type oil filter to trap out oil mist before it collects in exhaust ducts.

2.2.25.4 A foreline trap should be installed between the vacuum pump and the process equipment. Thisshould be installed where oil ‘‘backstreaming’’ from the pump is possible.

2.3 Human Element

2.3.1 Housekeeping

2.3.1.1 Good Housekeeping practices should be established throughout the cleanroom, subfab and fan atticplenum spaces. No combustible material should be stored in these areas.

2.3.1.2 Trash containers used in cleanrooms, service corridors, computer rooms and areas containingvaluable equipment should be of metal construction, have self-closing/ extinguishing covers, and preferablybe Approved. When corrosion resistance is necessary, plastic-lined metal containers can be used. The plasticshould be FM Approvals specification tested to meet the FM Approvals Cleanroom Materials Flammability TestProtocol.

2.3.2 Emergency Organization

2.3.2.1 Emergency operating procedures should be documented and a fire emergency plan posted at theentrance to the fabrication areas. Personnel should be trained in these emergency procedures which shouldinclude instructions for sounding an alarm, shutting off all process gases, maintaining fume exhaust andmanually activating smoke/ contaminant control systems where systems are not automatic.

2.3.2.2 An emergency response team (ERT) should be provided for all operating shifts, properly trained tohandle all incipient type emergencies that may occur at their location. This would include personnel trainedto properly respond in a safe manner to flammable liquid or gas incidents, perform incipient firefighting, handleliquid leakage releases, and conduct salvage operations.

2.3.3.3 The ERT should receive quarterly, documented training in the above, and should train with the localfire department, or other responding agencies. They should be familiar with the location of emergencyshutoffs for various gases and liquids, emergency exhaust systems, power disconnects, and protection ofvital processes and equipment. The ERT should also be prepared to handle other emergencies such asearthquake, flood, windstorm, etc.

2.3.2.4 A pre-incident plan should be developed by the local fire department and any other respondingagencies to address the above items. The facility should work closely with these agencies to develop theplan to make certain they are fully aware of the items outlined above, and to assure proper coordination ofemergency efforts. At least yearly, there should be a joint exercise (drill) involving the facility and the publicagencies that would normally respond to emergencies. The plan should be reviewed and updated asnecessary following these exercises.

7-7Semiconductor Fabrication Facilities 17-12FM Global Property Loss Prevention Data Sheets Page 31

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 32: FMDS0707

2.4 Protection

2.4.1 Automatic sprinkler protection in semiconductor fabrication areas and all other nonstorage occupanciesshould be as follows:

a) Provide a wet system hydraulically designed to provide a density of 0.2 gal/min/ft2 (8 mm/min) overthe hydraulically most remote 3,000 ft2 (280 m2) plus 250 gal/min (946 dm3/min) allowance for hosestreams for a 60-minute duration of supply.

Approved quick response, standard coverage, pendant-type sprinkler heads with a temperature rating of135°-170°F (57°-77°C) should be used for new installations or retrofits during cleanroom renovations.Extended coverage sprinklers should not be used.

The sprinkler system should be designed and installed in accordance with Data Sheet 2-0, InstallationGuidelines for Automatic Sprinklers . Sprinkler piping used in or above cleanrooms should be threadedschedule 40 pipe. Unless required for earthquake protection of piping against breakage, mechanical pipecouplings (plain end or grooved) should not be used because misinstalled pipe joints might failcatastrophically, causing severe water damage to the cleanroom. Schedule 40 pipe should be used becauseof its potential for having fewer corrosion-related problems than schedule 10 and lower pipe schedules.

When flexible metal hoses are used to connect sprinkler branch lines to sprinkler heads installed in ceilinggrids or ducts, these flexible hoses should be Approved for the intended application. Flexible hoses should beused when frequent changes in the sprinkler system layout are needed to accommodate changes in layoutof equipment in the cleanroom or to facilitate the removal of sprinkler heads in ducts for inspection. ChargedApproved flexible metal hoses should not be moved; the sprinkler system should be depressurized wheneversprinkler heads connected to Approved flexible hoses are moved.

b) Provide sprinkler protection in plenum spaces and for attics above the cleanrooms if any one of thefollowing undesirable conditions exist and cannot be feasibly corrected:

• Combustible roof, floor system or wall construction materials

• HEPA or ULPA filter modules which do not meet the intent of Section 2.1.2.

• Combustible plastic fume exhaust ductwork and/or flexible ductwork used to connect HEPA/ULPAfilter modules to air-handling fans.

• Combustible air handler enclosures or plenum boxes

• Exposed electrical cable or pipe insulation

• Plastic piping

• Flammable or combustible liquid piping

Plenum space and fan attic sprinklers should be hydraulically designed to provide a density of 0.2 gal/min/ft2

(8 mm/min) over the hydraulic most remote 3,000 ft2 (280 m2) plus 250 gal/min (946 liters/min) allowancefor hose streams for a 60 minute duration of supply. Use standard quick response pendent sprinklers with atemperature rating of 135°-170°F (57°-77°C).

2.4.2 Fire extinguishers in accordance with Data Sheet 4-5 should be provided throughout the fabricationareas. Dry chemical extinguishers should not be used since they will cause additional corrosion and/orcontamination. If organometallic compounds, such as trimethylaluminum, diethylzinc and trimethylgallium areused, Class D type fire extinguishers should be provided. Attention should be given to the compatibility ofthe fire extinguisher agent and the various process chemicals in use.

2.5 Equipment and Process Protection

2.5.1 Construction

2.5.1.1 New wet benches and other processing tools should be of noncombustible construction. Processingtools of noncombustible construction require no additional fire protection when flammable and combustibleliquids are not present in the tool.

2.5.1.2 Wet benches and other processing tools handling corrosive, nonflammable, products should be ofnoncombustible construction or be constructed of materials which are FM Approvals specification tested tomeet the FM Approvals Cleanroom Materials Flammability Test Protocol. This includes equipment inside

7-717-12 Semiconductor Fabrication FacilitiesPage 32 FM Global Property Loss Prevention Data Sheets

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 33: FMDS0707

the tools, such as process tanks, piping, valves and pumps. Such tools require no additional fire protectionwhen flammable and combustible liquids are not present in the tool.

2.5.2 Process Liquid Heating Equipment

2.5.2.1 Whenever possible, process liquid heating should be done using heat transfer systems using hotwater or other noncombustible heat transfer media. Another option would be to remotely heat the chemicalsthemselves in a properly designed heat exchanger that is external from the tool.

2.5.2.2 The heating of any flammable or combustible liquid should only be done in a noncombustible (metal)bench or tool.

2.5.2.3 Electric immersion heaters should be replaced with other heating methods as discussed in thissection.

2.5.2.4 Do not use hot plates. At existing locations, if it is determined that their use is unavoidable, incorporatethe following safeguards in the design of these systems:

a) Redundant high temperature limit switches, independent of process temperature controls, should beprovided for all hot plates. These switches should be arranged to shut off power to the heating system andsound an alarm.

b) All hot plates should be provided with a power-on indicator light and a temperature control knob whichstops at a clearly marked ‘‘OFF’’ position. In addition, hot plates should only be used at noncombustibletools. If it is necessary to use a hot plate on an existing combustible tool, a stainless steel insert shouldbe provided for the hot plate.

c) Hot plates should not be left in the ‘‘ON’’ position during nonworking hours. To ensure this, a timershould be installed on the hot plate which will automatically shut it off if left unattended.

2.5.2.5 For bonded or embedded heating systems, similar power interlocks should be provided as inRecommendation 4 above. Low liquid level safety interlocks should also be provided in addition to processcontrol of the liquid level. In addition, any outer enclosure around a bonded heating system should be madeof noncombustible material such as stainless steel, quartz or of materials which are FM Approvalsspecification tested to meet the FM Approvals Cleanroom Materials Flammability Test Protocol. The locationof bonded heating systems should also be reviewed with respect to distance to other combustible materials.

2.5.2.6 The following recommendations relate to quartz tanks:

a) Suitable metal tanks should immediately replace quartz tanks containing flammable or combustibleliquids and equipped with bonded heaters.

b) Do not heat flammable or combustible liquids in quartz tanks as the tank can fail resulting in a fire.

c) Use noncombustible tanks or suitable process compatible materials that meet the FM ApprovalsCleanrooms Flammability Test Protocol to heat noncombustible liquids where possible.

d) Quartz tanks containing heated noncombustible liquids should be replaced regularly as per themanufacturer’s guidelines or at most after 2 years of service if the manufacturer is unknown.

2.5.2.7 Preventive maintenance and testing of all safety interlock systems outlined above should beconducted as follows:

a) At least monthly, low liquid level and high temperature interlocks should be tested to assure properoperation.

b) All electrical connections to heating systems and their controls should be visually inspected at leastsemiannually. An annual physical check for tightness of connections, or an appropriate infrared scan of allcomponents should be conducted.

2.5.3 Wet Benches

2.5.3.1 Existing wet benches of combustible construction (Figs. 17 through 18) handling corrosive productsshould be replaced by wet benches in compliance with Section 2.5.1. In addition, until wet benches arereplaced, they should be protected with an Approved Water Mist, CO2 or FM-200 systems in accordancewith the guidelines of this data sheet. All areas of the bench should be protected, i.e., the working surfacearea, the subsurface area, the bench headcase and any other compartments.

7-7Semiconductor Fabrication Facilities 17-12FM Global Property Loss Prevention Data Sheets Page 33

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 34: FMDS0707

The subsurface in a wet bench is the area directly below the working surface of the bench where the differentbath tanks are located. All other spaces in a wet bench, with the exception of the bench working surfaceand headcase, are defined as ‘‘other compartments.’’

2.5.3.2 Existing wet benches of combustible construction handling flammable liquids or other noncorrosiveproducts should be replaced by wet benches in compliance with 2.5.1. In addition, until benches handlingflammable liquids are replaced, they should be protected with Approved Water Mist, CO2 or FM-200 systemsin accordance with the guidelines given in this data sheet.

2.5.3.3 Working and subsurface areas of noncombustible wet benches handling flammable liquids shouldbe protected by Approved Water Mist, CO2 or FM-200 systems per this data sheet.

Protection of the subsurface area of noncombustible wet benches handling flammable liquids is not requiredwhen:

• there are no plastic tanks, plastic piping or other combustible material in the subsurface area, includingplastic parts made of materials which meet the FM Approvals Cleanroom Materials Flammability TestProtocol and,

• existing branch duct systems connecting to the wet bench are noncombustible or protected by automaticsprinkler systems.

2.5.3.4 Wet benches used in cleanrooms provided with a perforated raised floor and/or open waffle slabshould be provided with spill containment features sized to contain or remove the entire contents of the bench.

2.5.3.5 There should be no chemical or flammable liquid storage within the wet bench at any given time.As recommended under section 2.2.5, any storage in the fabrication area should be located inside Approvedsafety cabinets.

2.5.3.6 Before deciding to use a flammable liquid in a given process, nonflammable alternatives, or theflammable liquid with the highest flash point should be considered.

Fig. 17. Front side of automated wet bench provided with mini-environment enclosure.

7-717-12 Semiconductor Fabrication FacilitiesPage 34 FM Global Property Loss Prevention Data Sheets

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 35: FMDS0707

2.5.3.7 Automated wet benches should be provided with emergency power to lift boats of wafers out of thechemical baths in the event of a fab power loss.

2.5.4 Spin Rinse Dryers

2.5.4.1 Spin rinse dryers should be of noncombustible construction. Until the existing tools or enclosurescan be replaced, they should be protected with a Approved fixed fire suppression system in accordance withSection 2.5.15.

2.5.4.2 Fire protection should be provided for any combustible compartment in a stand-alone spin rinse dryer.Fire protection for the top loading surface areas in stand-alone dryers is not required.

2.5.4.3 When nitrogen heating or other heating methods are used, a thermal snap switch (thermally activatedelectrical cutoff switch) should be installed on the heating system.

2.5.4.4 If an external brake resistor device is used, it should be located a minimum of 1 ft (0.3 m) from anycombustible material to prevent ignition of combustible material by this high energy device.

2.5.5 Alcohol Vapor Dryers

2.5.5.1 An Approved fixed fire suppression system should be provided for all alcohol vapor dryers inaccordance with Section 2.5.15.

Fig. 18. Back side of automated wet bench provided with mini-environment enclosure.

7-7Semiconductor Fabrication Facilities 17-12FM Global Property Loss Prevention Data Sheets Page 35

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 36: FMDS0707

2.5.5.2 The dryer should be provided with vapor detectors in each control cabinet and exhaust plenum asfollows:

• arranged to alarm at a concentration of 0.5 percent (25 percent of the lower explosive limit) isopropylalcohol (IPA). The alarm should shut off the heater, drain the IPA tank and flush the system with deionizedwater.

• interlocked to alarm on detector failure.

2.5.5.3 The heater system should be provided with overcurrent protection, over-temperature protection, andlow/high liquid level sensor interlocked to shut off power to the dryer.

2.5.6 Mini-Environment Enclosures

2.5.6.1 Noncombustible materials, or materials which are Approved and/or FM Approvals specification testedto meet the FM Approvals Cleanroom Materials Flammability Test Protocol criteria, should be used formini-environment enclosures (Figs. 17 through 19). In the event other materials are used, or the processtool enclosed by mini-environment requires fixed fire protection, such protection should be designed inaccordance with guidelines for the specific tool, as outlined in this data sheet.

2.5.7 Chemical Mechanical Polish Tools

2.5.7.1 New Chemical Mechanical Polish (CMP) tools, casings and enclosures should be constructed ofnoncombustible materials or of materials which are FM Approvals Specification Tested to meet theFM Approvals Cleanroom Materials Flammability Test Protocol.

2.5.7.2 Existing CMP tools with combustible plastic enclosures and tool casings should be protected withan Approved fire suppression system. Protection should be for the subsurface (due to combustible casing)and the surface due to the combustible enclosure. The protection should be designed in accordance withSection 2.5.15 of this Data Sheet.

Fig. 19. Stepper-wafer track cluster tool.

7-717-12 Semiconductor Fabrication FacilitiesPage 36 FM Global Property Loss Prevention Data Sheets

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 37: FMDS0707

2.5.8 Step and Repeat Exposure System (Steppers)

Provide the following protection for steppers (Fig. 19) which are enclosed in an environmental chamberconstructed of plastic materials, or when the stepper power supply unit, or the plastic rack which holds thecircuit boards, are enclosed within the stepper optics chamber.

2.5.8.1 The enclosure(s) should be constructed of noncombustible materials. If plastics are used (for examplefor viewing windows) they should be Listed to the FM Approvals Flammability Test Protocol.

2.5.8.2 Internal plastic materials (including wafer or reticle containers that are enclosed within the stepper)should be Listed to the FM Approvals Flammability Test Protocol. Electrical cables should be FM ApprovalsGroup 1 rated or have insulation that is Listed to the FM 4910 Test Protocol.

2.5.8.3 Smoke detection systems (high sensitivity types) should be provided for all steppers (preferably inthe exhaust ducting connected to the tool). The system should alarm to a constantly attended location andshould be interlocked to safely shutdown power to the tool.

2.5.8.4 An automatic gaseous suppression system should be installed inside areas where power supply,circuit boards and associated wiring result in a significant combustible loading. The suppression systemshould be designed in accordance with Section 2.5.15 of this data sheet.

2.5.9 Pass-Through Cabinets

Normally pass-through cabinets in the exterior walls of the cleanroom are used to allow materials to passfrom the non-cleanroom side into the cleanroom area. Usually small containers of corrosives and/or flammableliquids are transferred into the cleanroom through these cabinets. The doors on each side of these cabinetswill normally be rated glass or other fire rated materials.The following items should be provided for allpass-through cabinets or flammable liquid cabinets used as pass-through cabinets:

2.5.9.1 Do not use pass-through cabinets/rooms for the storage of flammable and/or corrosive liquids. Inexisting situations where these areas are needed for storage, it should be limited to the minimum neededfor efficient production and no greater than an 8-hr. supply.

2.5.9.2 Construct the cabinets out of noncombustible material. Existing cabinets made of ordinary plasticcomponents should be replaced. Corrosive liquids can be stored inside cabinets made with plastic materialsthat are FM 4910 Specification Tested.

2.5.9.3 Pass-through cabinets used for flammable liquids should not be used for other chemicals. Separatepass-through cabinets should be provided for other chemicals.

2.5.9.4 Containment for any potential spills (at least 110% of the volume of the largest container), or andan associated drainage system should be provided for each cabinet.

2.5.9.5 The doors provided on each side of the cabinet should be made of materials that will maintain thefire rating of the wall in which they are mounted.

2.5.9.6 Protection of dumb waiters (i.e., vertical passthroughs) should be in accordance withrecommendations in this section where applicable. In addition, Data Sheet 1-23, Protection of Openingsprovides additional guidance.

2.5.10 Electron Beam Exposure Systems

2.5.10.1 These installations should be separated from the adjacent occupancy in a room with 1-hour ratedwalls and should be provided with a separate air-handling system. If there is more than one system, eachsystem should be enclosed in a separate room or chamber.

2.5.10.2 Provide automatic sprinkler protection and a total room flooding gaseous suppression system.Sprinklers should be hydraulically designed to provide a density of 0.2 gpm/ft2 (8 mm/min) over thehydraulically most remote 3,000 ft2 (280 m2), 130 ft2 (12 m2) spacing per head and low temperature(135°F-170°F [57°C-77°C]) rated quick response heads should be used. The gaseous suppression systemshould be designed in accordance with the applicable loss prevention data sheet.

2.5.10.3 An acceptable alternative to sprinkler protection would be to install a total room flooding gaseoussuppression system provided with a connected reserve supply. In addition, a formal service contract whichprovides a thorough semi-annual inspection should be in place.

7-7Semiconductor Fabrication Facilities 17-12FM Global Property Loss Prevention Data Sheets Page 37

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 38: FMDS0707

2.5.10.4 Provide a cooling water leak detection system connected to a local and/or supervised alarm system.

2.5.10.5 The computer aided design (CAD) system computer equipment associated with electron beamexposure system should be provided with fire protection in accordance with Data Sheet 5-32, Electronic DataProcessing Systems.

2.5.11 Wafer Tracks

2.5.11.1 Flammable and combustible liquids used in spin coating operations should be kept in stainless steelcontainers. The containers should be equipped with a pressure relief valve.

2.5.11.2 Liquid transfer by gas pressure, nitrogen or another inert gas should be used at 15 psi (1 bar) orless.

2.5.11.3 The arrangement for in-process storage and supply of chemicals to photoresist coating anddeveloping track tools should be evaluated for fire and liquid release exposures. The following protectionshould be provided:

a) Storage and distribution cabinets (Figs. 20 and 21) and the wafer track process tool should benoncombustible and designed to contain the minimum amount of in-process chemical storage for efficientproduction. Liquids in plastic or glass containers should have adequate secondary containment for themaximum expected liquid release. Liquid leak detection or vapor detection should be interlocked to shutdown the flow of chemicals and alarm to a constantly attended location.

b) Flammable and combustibles liquids stored in plastic or glass containers that do not meet a) aboveshould be provided with an automatic Approved suppression system.

c) Electric equipment should be suitable for Class I, Division 2 locations, unless it can be shown thatadequate ventilation is provided to ensure the atmosphere is less than 25% of the LEL.

Fig. 20. Wafer track chemical distribution cabinet.

7-717-12 Semiconductor Fabrication FacilitiesPage 38 FM Global Property Loss Prevention Data Sheets

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 39: FMDS0707

d) Cabinets used to store wafer track chemicals should be subdivided to separate differing classes ofchemicals, e.g., flammables, corrosives etc.

e) Metallic (stainless steel or other suitable material) piping should be used for conveying flammable andcombustible liquids from storage containers to point of application. If the liquid is being aspirated (pulled)from the container to the equipment, plastic tubing is satisfactory.

f) Where single walled plastic tubing is used to convey pumped corrosive chemicals from storagecontainers to the point of application, secondary containment should be provided, either through the useof coaxial tubing or other suitable methods.

g) Tubing conveying pumped flammable liquids within the wafer track process tool should be metallicor if plastic, then adequate secondary containment should be provided either through the use of coaxialtubing or other suitable methods. Otherwise an automatic Approved suppression system should beprovided.

2.5.12 Finished Product Storage

2.5.12.1 To reduce the extent of fire spread within the shelf storage of finished products, a metal dividershould be located every 4 to 6 ft (1.2 m to 1.8 m) to prevent horizontal fire spread. If two shelf storage racksare located back-to-back, a partition should be provided between the racks. Very high value or critical storageshould be inside closed metal cabinets.

2.5.12.2 To provide an early warning in the event of a fire, an Approved smoke detection system shouldbe provided in finished product storage areas.

2.5.12.3 Wafer boxes and carriers should be made of noncombustible materials or of materials which areApproved or meet the FM 4910 Protocol (Fig. 22).

Fig. 21. Wafer track chemical distribution cabinet with doors open.

7-7Semiconductor Fabrication Facilities 17-12FM Global Property Loss Prevention Data Sheets Page 39

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 40: FMDS0707

2.5.13 Ion Implanters

2.5.13.1 Existing Installations — Mineral Oil Filled Units

2.5.13.1.1 Replace mineral oil filled transformers and associated oil filled power supply units with:

a) Cast resin dry-type transformers.

b) Motor-Generator (MG) sets.

c) As an interim measure until cast resin dry-type or MG sets are installed, replace the oil filledtransformers with transformers containing a listed less flammable fluid (LFF - such as silicone based oils).In addition, the requirements of 2.5.13.1.2 should be provided for these listed less flammable fluid filledtransformers.

d) For cast resin dry-type transformers and MG sets, install circuit breakers with equipment ground faultprotection on the main breaker in the tool as well as any breakers that feed transformer circuits.

2.5.13.1.2 If mineral oil filled transformers and associated power supplies cannot feasibly be replaced bycast resin dry-type transformers or MG sets, the following safeguards should be provided:

a) Install circuit breakers with equipment ground fault protection on the main breaker in the tool as wellas any breakers that feed transformer circuits.

b) Install current limiting fuses upstream of the main breakers to interrupt high energy faults within theunit.

c) Provide transformer tank overpressure protection in the form of a pressure relief device on all oil filledtransformer tanks per Institute of Electrical and Electronic Engineers (IEEE) standards.

Fig. 22. Wafer stocker and associated automated materials handling system.

7-717-12 Semiconductor Fabrication FacilitiesPage 40 FM Global Property Loss Prevention Data Sheets

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 41: FMDS0707

The pressure relief device should be connected to a properly sized pipe that does not restrict flow fromthe pressure relief device. This pipe should terminate at a safe location approved by the authority havingjurisdiction.

d) Perform maintenance and testing of the electrical protection system as detailed in Data Sheet 5-20Electrical Testing.

2.5.13.2 New and Existing Installations

2.5.13.2.1 Ion Implanters (Fig. 23) should be constructed of noncombustible materials or of materials thatmeet the FM Approvals Cleanroom Material Flammability Test Protocol. This includes the ion implanterenclosure as well as the equipment, tubing and cables within the ion implanter.

2.5.13.2.2 Ion implanters should be provided with cast resin dry-type transformers or motor generator sets.Provide new cast resin transformers and power supply units with temperature monitoring devices (e.g.,thermocouples) inside the units. The devices should be interlocked to safely shut down the power by meansof a shunt trip device on the implanter circuit breaker or an upstream circuit breaker to the implanter in theevent of over-temperature.

2.5.13.2.3 Provide an automatic Approved suppression system if inadequately protected oil filled transformersand/or significant combustibles such as wiring, cables, shield, etc., are present.

2.5.13.2.4 Provide optical or air sampling type smoke detection systems interlocked to de-energizehigh-voltage, shut off the gas supply from the ‘‘gas-box’’, and alarm at the tool and at a constantly attendedlocation.

2.5.13.2.5 Dopant gas sources in ion implanters should be arranged according to section 2.2.10.

2.5.13.2.6 The interrupting capability of circuit breakers used in ion implanters should be greater than theavailable fault current.

Fig. 23. Backside of ion implanter located in service corridor.

7-7Semiconductor Fabrication Facilities 17-12FM Global Property Loss Prevention Data Sheets Page 41

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 42: FMDS0707

2.5.14 Furnaces and Reactors

2.5.14.1 Provide UPS power to the process menu control circuits (typically 120 V power) on all furnacesand reactors (diffusion [Fig. 24], CVD, LPCVD, PECVD, etc.).

2.5.14.2 Provide Emergency Power to the ventilation fans for furnaces equipped with HEPA or ULPA filterassemblies.

2.5.14.3 Provide Approved HEPA or ULPA filter assemblies for furnaces.

2.5.15 Fire Protection for Wet Benches and Other Processing Tools

The following guidelines apply to fixed fire suppression systems installed in wet benches and other processingtools as required by recommendations for the protection of processing tools in Section 2.5.

In open style tools, applications of these guidelines are limited to tools with air exhaust flow rates notexceeding 150 cfm/linear ft, unless the suppression system selected is Approved for higher air exhaust flowrates.

2.5.15.1 General Protection Requirements

2.5.15.1.1 Use Approved fixed fire suppression systems specifically evaluated for this application.

2.5.15.1.2 Design suppression systems to discharge with the ventilation/exhaust systems in continuousoperation. If there are filter units supplying air to a mini-environment, these units may be arranged to shutdown upon detector activation. However, the tool exhaust should always remain operational.

2.5.15.1.3 Interlock the electrical power supply to the tool to shut down upon system discharge, except forthe electrical power necessary to keep the exhaust/ventilation system in operation.

Fig. 24. Vertical diffusion furnaces in service corridor.

7-717-12 Semiconductor Fabrication FacilitiesPage 42 FM Global Property Loss Prevention Data Sheets

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 43: FMDS0707

2.5.15.1.4 Base total agent supply demand for each extinguishing system on a one shot discharge of thesystem over the entire tool and on the recommended duration of discharge for the specific agent used (watermist, C02 or FM-200). The duration of discharge for each of these agents is different and is covered underthe respective section of this data sheet.

2.5.15.1.5 Preferably each tool should be protected by an individual fire extinguishing system; however,protection of a group of tools by a single system may be acceptable when the agent supply is sized for thelargest hazard and an equally-sized, connected, reserve supply is provided.

A connected reserve supply is not needed for individual extinguishing systems provided the tool is notoperated until the agent supply is restored after a discharge.

2.5.15.1.6 Zoning of a fire extinguishing system protecting a processing tool (for example, one zoneprotecting the working surface and subsurface of a wet bench and a separate second zone protecting theheadcase and other compartments of the same bench) may be acceptable if tools exceed 8 ft (2.4 m) in length.Each zone should be provided with a separate agent supply and connected reserve or the system shouldbe sized for the entire bench and provided with an equally sized connected reserve. Zoning should not allowthe working surface of the wet benches or other processing tools to be subdivided into multiple zones ofdischarge if the working surface is protected by gaseous extinguishing systems. When gaseous extinguishingsystems are used there should be a physical barrier separating each zone.

2.5.15.1.7 Working Surface and Subsurface Protection. A 30 sec. time delay prior to discharge of theextinguishing system over the working surface (after fire detection) is acceptable where it is desirable to allowfor preparation of the working surface for the system discharge. The 30 sec. time delay can be acceptedin other areas of a tool (subsurface, headcase, etc.) in a single protection zone system.

2.5.15.1.8 Tool Headcase and Other Compartments. Provide protection for the headcase and all othercompartments of processing tools. Protection is not needed for the following cases:

a) Compartments of combustible construction inerted with N2 in accordance with Data Sheet 7-59, Inertingand Purging of Tanks, Process Vessels and Equipment, to a maximum oxygen concentration of 5 percent,and provided with fire detection (preferably by ionization type smoke detection or by linear heat detection)when electrical equipment is present. The inert environment inside each compartment should be monitoredby the pressure difference between the compartment and the ambient. Loss of N2 pressure in acompartment should sound an alarm at the tool and at a constantly attended location. When linear heatdetection is used, it should be placed as near as possible to electrical equipment. The detection systemshould be interlocked to shut down the electrical power to the bench upon detection.

Nitrogen inerting will prevent ignition and combustion within a compartment; however, inerting will not preventoverheating or arcing caused by faults within electrical equipment. Such conditions can decompose and/ormelt exposed plastic materials, and lead to a possible fire on the outside wall of the compartment or eveninside the compartment, if the N2 atmosphere within the compartment is somehow compromised. Detectionis recommended to help provide early warning of problems within an inerted compartment containing electricalequipment.

b) Compartments of noncombustible construction or constructed of materials which are FM ApprovalsSpecification Tested to meet the FM Approvals Cleanroom Material Flammability Test Protocol when:

• they contain no fire hazardous materials such as flammable or combustible liquids

• they contain electrical equipment, however, the contents of the compartment are noncombustible.

The protection criteria above is represented by the following decision tree.

2.5.15.1.9 Nozzle and Piping Materials. Tubing and/or piping as well as nozzles used in fire extinguishmentsystems should resist the corrosive nature of the environment of tools handling corrosive products.

7-7Semiconductor Fabrication Facilities 17-12FM Global Property Loss Prevention Data Sheets Page 43

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 44: FMDS0707

2.5.15.2 Fire Detection and Alarm systems

This section covers general requirements for fire detection and alarm systems used with fire suppressionsystems which are Approved for wet bench and other processing tools application.

2.5.15.2.1 Use Approved fire detectors (Fig. 25) with alarm at the tool and at a constantly attended location.

2.5.15.2.2 Flame-actuated detectors should be Approved to include the distance along the center axis ofthe detector Field of View (FOV) for the detectors to respond to the fires described in (a) and (b) below ina time not to exceed five (5) seconds.

a) A fully involved pan fire involving pellets of plastic material which match typical plastic material usedin wet benches being protected (polypropylene, PVC or other material). The diameter of the pan should notexceed 8 in. (200 mm) which, when polypropylene pellets are used, corresponds to a heat release rateof approximately 13 kW.

b) A 4 in. (100 mm) diameter IPA (Isopropyl Alcohol) pan fire.

The fire sizes listed above are ‘‘maximums’’ and do not preclude manufacturers from specifying smallerfires or from establishing a prealarm level at any fire size below the maximum specified size.

2.5.15.2.3 When optical detectors are used, avoid obstructions that can block their field of view. Evenpartitions made of Plexiglas, Lexan or other transparent plastics may block certain spectra of UV or IRradiation necessary for the operation of optical flame detectors. When such partitions exist within the protectedspace, the manufacturer of the detector should be consulted to determine if such partitions will constitutean obstruction for the operation of the detectors.

Indirect reflective radiation from a fire should not be considered as a reliable source of radiation for theactuation of optical flame detectors. Indirect radiation may delay the detector response or prevent the detectoractuation altogether for certain optical detectors which are programmed to minimize interference fromreflective radiation.

Note 1. Compartments of combustible construction completely lined with 22 gauge steel sheets can betreated as noncombustible. Lining should leave no plastic wall surface exposed and should be firmly installed.

7-717-12 Semiconductor Fabrication FacilitiesPage 44 FM Global Property Loss Prevention Data Sheets

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 45: FMDS0707

The coverage of an optical detector, and consequently its installation spacing, is a function of the sensitivityof the detector for a given fire, its field of view and the desired threshold for detection. Since optical detectorsrespond to radiant electromagnetic emissions of specific wavelengths and not all fuels give rise to the sameemissions, the detector selected must be matched with the fuels within the hazard area.

2.5.15.2.4 Fire Detection System for Wet Bench Subsurface (Plenum):

a) Use Approved flame detectors (UV, UV/IR, dual wavelength infrared [Dual IR] or multi-spectrumflame-actuated detectors), or digital linear heat detection systems.

b) For linear heat detection, the local flow velocity across the LHD should not exceed 260 ft/min (1.3 m/s).The linear heat detection cable should be placed across the centerline of all exhaust openings and aroundthe top perimeter of the plenum. Time delays are not permitted for ventilated plenums.

c) When optical detectors are used inside the plenum, they should be installed in adequate numbersand positions to ensure that any possible fire is in the field of view of at least one detector.

2.5.15.2.5 Fire Detection System for Wet Bench Working Surface. Use Approved flame detectors (UV/IR,dual wavelength infrared [Dual IR] or multispectrum flame-actuated detectors). Install detectors in adequatenumbers and positions to ensure that a fire in any part of the working surface area of the bench is in thefield of view of at least one detector.

2.5.15.2.6 Fire Detection System for Headcase and Compartments other than the Sub-Surface Plenum:

a) Use Approved detectors. Consider using ‘‘air-sampling’’ or ‘‘addressable analog type’’ smoke detectorsor linear heat detection.

b) For linear heat detection, the local flow velocity across teh LDH should not exceed 260 ft/min (1.3 m/s).The linear heat detection cable should be placed across the centerline of all exhaust openings and aroundthe top perimeter of the headcase or compartment. Time delays are undesirable and should only beaccepted after careful consideration.

Fig. 25. Wet bench detection arrangements.

7-7Semiconductor Fabrication Facilities 17-12FM Global Property Loss Prevention Data Sheets Page 45

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 46: FMDS0707

c) In areas subject to migration of corrosive fumes, that can impair smoke detection or subject the systemto false alarms, digital linear heat detection or optical flame detection can be accepted.

2.5.15.3 Protection Guidelines for Processing Tools Using Approved Fine Water Spray (FWS) Systems (alsoknown as water mist systems).

2.5.15.3.1 Use Approved systems and components specifically evaluated for this application.

2.5.15.3.2 The following sources of water and air, or N2, supply (when air or N2 is required by the FWS systemselected) can be acceptable:

• A self-contained source of air (or N2) and water supply, or

• The plant fire protection (or DI water or domestic) system and plant air (or N2) system or,

• A hybrid system between the two sources of supply discussed above, e.g., water from the sprinkler systemand air taken from a pressurized tank.

2.5.15.3.3 The system should be designed to meet the requirements of Data Sheet 4-2, Water Mist Systems.In addition, the system should follow additional specific installation recommendations given by the systemmanufacturer and any limitations listed in the Approval Guide, a publication of FM Appovals.

2.5.15.3.4 Arrange FWS systems for automatic operation and for a minimum discharge time of 2 minutes(120 sec). The system demand should be based on the operation of all nozzles within a hazard.

2.5.15.3.5 Provide each system with a properly identified secondary manual means of operation. Locatemanual controls for system actuation to be readily accessible at all times. Manual operation of the systemshould not cause any time delays to recycle.

2.5.15.3.6 Protection of Subsurface (Plenum). Install nozzles inside the subsurface area (plenum) (Fig. 26)centered on the lateral side walls of the tool, mounted in the horizontal position to project water mistlongitudinally, toward the center of the plenum. Locate the nozzle to minimize obstructions to the fine waterspray discharge and allow for a spray discharge pattern to develop.

Determine the required number of nozzles based on the total gross internal volume of the plenum, and onthe nozzle performance criteria. The volume of the plenum should be measured from the inside walls, withoutdeducting the volume occupied by the equipment inside the plenum, such as tanks, heaters, piping and otherequipment.

2.5.15.3.7 Protection of Working Surface. Install nozzles in line, centered over the working surface of thetool and mounted in the vertical position, to project the fine water spray, unobstructed, downwards toward theworking surface of the tool.

Base the required number of nozzles to protect the working surface of a tool on the coverage of the entireworking surface area. Coverage is based on the height of the nozzle above the working surface and dischargepattern (spray angle) of the nozzle used.

2.5.15.3.8 Protection of Tool Headcase and Other Compartments.

Locate nozzles in each compartment (Fig. 26) preferably in the pendent position, centered under the toppartition of the compartment; if necessary, nozzles can be installed on the sidewall position, centered in oneof the lateral partitions of the compartment. Locate the nozzle to minimize obstructions to the fine water spraydischarge and allow for a spray discharge pattern to develop.

2.5.15.3.9 Use of Deionized (DI) Water and Nitrogen.

In most cleanroom applications discharge of water and air may contaminate the wet bench and the wafersin process. This is especially critical if there is an accidental discharge of the system.

When allowed by the operation of the fab, FWS systems may be connected to fab deionized water (DI water)or to the fab nitrogen supply, replacing water and air respectively, provided that the fab DI water system and/orN2 system can meet the following minimum performance criteria:

• Meet the total FWS system demand for the required duration of supply (at least 2 minutes for wet benchesand other processing tools).

• Be continuous in operation. Loss of pressure, flow or shut down of the system should cause a troublefire alarm to sound.

• A supervised indicating control valve should be installed on the feed main of the supply to each wet bench.

7-717-12 Semiconductor Fabrication FacilitiesPage 46 FM Global Property Loss Prevention Data Sheets

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 47: FMDS0707

2.5.15.3.10 Acceptance of FWS Systems

Final acceptance of fine water spray systems should be done in accordance with Data Sheet 4-0. When awet discharge test is not practical, a dry discharge test should be conducted. If dry discharge tests are notallowed, then acceptance tests should include operating all components (except for a discharge test) in boththe suppression and detection systems in each bench. These tests should verify system response. Nozzlepositioning should be visually checked.

2.5.15.4 Protection Guidelines for Processing Tools Using Carbon Dioxide (CO2) Systems

Carbon dioxide (CO2) extinguishing systems can be either high pressure or low pressure systems.

Local application systems are designed to protect unconfined hazards (such as the working surface of openstyle wet benches) by discharging CO2 directly over the burning surface. Total flooding systems are usedto protect hazards within a confined space (such as the subsurface area of the benches) by discharging CO2

into the enclosure.

2.5.15.4.1 Use Approved systems and components specifically evaluated for this application.

Exception: Wet benches and other tools enclosed within mini-environments, or other enclosed hazards, canbe protected with standard Approved CO2 systems using a total flooding application when:

— The total amount of gas is sized to compensate for the tool ventilation and for gas leaks through‘‘uncloseable’’ openings in the enclosure during the system discharge period.

Fig. 26. Wet bench FWS suppression arrangements.

7-7Semiconductor Fabrication Facilities 17-12FM Global Property Loss Prevention Data Sheets Page 47

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 48: FMDS0707

— Nozzles are located away from exhaust openings and process baths, so that a gas discharge will notbe directly exhausted or cause liquid baths to splash.

— A full discharge test is performed in the protected hazard with the ventilation system of the tool in fulloperation to verify that a 50% gas concentration is achieved throughout the protected volume.

2.5.15.4.2 In addition to the requirements of this data sheet, carbon dioxide systems should be designed,installed and maintained in accordance with Data Sheets 4-0 and 4-11N, Carbon Dioxide ExtinguishingSystems.

2.5.15.4.3 Protection of Tool Subsurface (Plenum). Design the CO2 system on a total flooding basis toachieve a minimum concentration of 50 percent within 1 minute. The quantity of CO2 required should beadjusted to compensate for the air exhaust flow rate of the processing equipment.

a) When the CO2 system is arranged to protect the working surface area and plenum simultaneously,the discharge rate for the plenum should be calculated in accordance with Data Sheet 4-11N.

b) Determine the size and the required number of nozzles based on the total required discharge rate ofCO2 and on the discharge characteristics of the nozzle selected. Use discharge nozzles, FM Approvedfor total flooding application. Locate nozzles preferably centered on the lateral side walls, arranged todischarge longitudinally towards the center of the plenum.

2.5.15.4.4 Protection of Working Surface

a) For open style tools, design the CO2 system on a local application basis, rate-by-volume method, fora minimum discharge time of 30 seconds. The basic system discharge rate of 1 lb/min/ft3 (16 kg/min/m3)of assumed volume may be proportionately reduced to account for barriers that surround the workingsurface, such as: side panels, back walls, and headcase, in accordance with Data Sheet 4-11N. Theassumed volume enclosing the working surface should be determined as outlined in Data Sheet 4-11N.

b) For tools provided with mini-environment enclosures, design the CO2 system on a total flooding basisto achieve a minimum concentration of 50 percent within one minute. The quantity of CO2 required shouldbe adjusted to compensate for the air exhaust flow rate of the wet bench. If there are filter units supplyingair to a mini-environment these units may be arranged to shut down upon detector activation. However, thetool exhaust should always remain operational. If the supply air is not interlocked to shut down, then theCO2 system needs to be designed to compensate for the greater of the two air flows (either the exhaustor the supply air). A sufficient number of discharge nozzles should be used to cover the entire protectedvolume. Nozzles should be located and directed to prevent CO2 from splashing the liquid surfaces duringdischarge.

2.5.15.4.5 Protection of Wet Bench Headcase and Other Compartments

a) Design the CO2 system on a total flooding basis to achieve a minimum concentration of 50 percentwithin 1 minute in each compartment.

b) Determine the size and the required number of nozzles based on the total required discharge rate ofCO2 and on the discharge characteristics of the nozzle selected. Use discharge nozzles which areApproved for total flooding application. Locate nozzles preferably centered on the lateral sidewalls.

2.5.15.4.6 Acceptance of CO2 Systems

Final acceptance tests of a CO2 system should be done in accordance with Data Sheets 4-0 and 4-11N.When a discharge test cannot be done, acceptance tests should include operating all components (exceptfor a discharge test) in both the suppression and detection systems in each bench. These tests should verifysystem response. Nozzle positioning should be visually checked.

2.5.15.5 Protection Guidelines for Processing Tools Using FM-200 Systems

FM-200 is a clean agent, or Halon alternative agent, also known by its generic name HFC-227ea(heptafluoropropane). FM-200 is currently used in several Approved clean agent (Halon alternative) fireextinguishing systems.

Currently all the Approved clean agent systems using FM-200 are designed according to the total floodingmethodology described in NFPA 2001. At this time, NFPA 2001 does not contemplate a design methodologyfor local application systems.

2.5.15.5.1 Use Approved systems and components specifically evaluated for this application.

7-717-12 Semiconductor Fabrication FacilitiesPage 48 FM Global Property Loss Prevention Data Sheets

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 49: FMDS0707

2.5.15.5.2 FM-200 systems should be designed, installed and maintained in accordance with Data Sheets4-0 and 4-8N.

2.5.15.5.3 Protection of Tool Subsurface (Plenum). Design the FM-200 system on a total flooding basis toachieve a minimum concentration of 7 percent within 10 seconds. The quantity of FM-200 required should beadjusted to compensate for the air exhaust flow rate of the tool.

Determine the size and the required number of nozzles based on the total required discharge rate of FM-200and on the discharge characteristics of the nozzle selected. Locate nozzles preferably centered on the lateralside walls, arranged to discharge longitudinally towards the center of the plenum.

2.5.15.5.4 Protection of Tool Working Surface. For tools provided with mini-environment enclosures, designthe FM-200 system on a total flooding basis to achieve a minimum concentration of 7 percent within 10seconds. The quantity of FM-200 required should be adjusted to compensate for the air exhaust flow rateof the tool.

Determine the size and the required number of nozzles based on the total required discharge rate of FM-200and on the discharge characteristics of the nozzle selected.

2.5.15.5.5 Protection of Tool Headcase and Other Compartments

a) Design the FM-200 system on a total flooding basis to achieve a minimum concentration of 7 percentwithin 10 seconds in each compartment.

b) Determine the size and the required number of nozzles based on the total required discharge rate ofFM-200 and on the discharge characteristics of the nozzle selected. Locate nozzles preferably centeredon the lateral side walls.

2.5.15.5.6 Acceptance of FM-200 Systems.

Final acceptance tests of an FM-200 system should be done in accordance with Data Sheet 4-0. When adischarge test cannot be done, acceptance tests should include operating all components (except for adischarge test) in both the suppression and detection systems in each bench. These tests should verify systemresponse. Nozzle positioning should be visually checked.

2.5.16 Fire Protection for Stockers

2.5.16.1 General

2.5.16.1.1 Stockers containing wafer carriers, reticle boxes or FOUPs that are Approved for use in stockersor constructed of noncombustible or materials meeting the FM 4910 protocol do not require fire protection.

2.5.16.1.2 Wafer and mask stockers (Fig. 22) of combustible contruction should be replaced. In themeantime, protect these stockers as described in one of the methods below.

2.5.16.2 Protection Guidelines for Stockers Using Gaseous Suppression Systems — Applicable to any heightstocker.

2.5.16.2.1 Use Approved Total Flooding gaseous suppression systems actuated by Air Sampling smokedetection system located where the normal airflow exits the stocker.

2.5.16.2.2 Base the total amount of gas on the required agent concentration for total flooding of the stocker,compensating for exhaust ventilation and leaks through ’’uncloseable’’ openings. For Carbon Dioxide (CO2),the system should be designed to achieve a minimum concentration of 50% within 1 minute. For CleanAgents, the design concentration should be in accordance with Data Sheet 4-8N.

2.5.16.2.3 System acceptance should be based on a full discharge test to verify design concentrations aremet in at least three points, i.e., near-ceiling, mid-height and near-floor. Discharge tests should be conductedwith the ventilation system of the stocker in full operation.

2.5.16.3 Protection Guidelines for Stockers Using Fine Water Spray (FWS) Systems — Applicable to anyheight stocker.

2.5.16.3.1 Use Approved water mist systems specifically evaluated for this application.

2.5.16.3.2 The FWS system should meet the requirements of Data Sheet 4-0.

7-7Semiconductor Fabrication Facilities 17-12FM Global Property Loss Prevention Data Sheets Page 49

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 50: FMDS0707

2.5.16.3.3 Water Supply. The FWS system should be supplied from the existing automatic sprinkler systemand from the plant air or N2 supply (when air or N2 supply is required). Self-contained or hybrid systemsshould not be used in stocker protection because they will not offer the required duration of supply. Whenallowed by the fab, the FWS can be connected to the fab DI water supply providing the DI water systems cansupply the FWS demand for at least 60 minutes.

2.5.16.3.4 Each stocker should be protected by an individual FWS system.

2.5.16.3.5 FWS Arrangement. Arrange the FWS system for automatic operation. Provide each system witha properly identified secondary manual means of operation. Locate manual controls for actuation of thesystems to be readily accessible at all times.

2.5.16.3.6 FWS Actuation. The fine water spray system should be actuated preferably by Approved AirSampling smoke detection system located in the plenum or airflow path within the stocker. Use of Approvedflame detectors located at the ceiling of the stocker to actuate the system can be accepted but the responseof the system to a fire will probably be slower.

Optical detectors used to actuate the system should be Approved UV/IR, dual wavelength infrared (dualIR) or multispectrum flame-actuated. Mount detectors inside the stocker under the ceiling, in adequatenumbers and positions to ensure that a fire in any area inside the stocker can be viewed by at least onedetector.

2.5.16.3.7 Interlocks. Interlock the electrical power supply to the stockers to shut down upon fire detection.

2.5.16.3.8 Base the water supply demand of the fine water spray system on operation of all nozzles in thelargest stocker being protected and on duration of supply of 60 minutes. Water supply should meet both theautomatic sprinkler system demand and the largest fine water spray system demand for the entire durationof supply. The sprinkler system should be hydraulically balanced at the point of connection to fine water spraysystems.

2.5.16.3.9 Final acceptance of a fine water spray system should be done in accordance with Data Sheet 4-2Water Mist Systems.

2.5.16.4 Protection Guidelines for Stockers Using Automatic Sprinkler Systems

2.5.16.4.1 General: Automatic sprinkler systems should be designed in accordance with Data Sheet 2-0,Installation Guidelines for Automatic Sprinklers. Center one sprinkler at the ceiling of the stocker for units upto 8 ft (2.4 m) in length; use additional automatic sprinklers for stockers longer than 8 ft (2.4 m), keepingthe spacing between sprinklers to a maximum of 8 ft (2.4 m).

2.5.16.4.2 Use Table 3 to design the stocker sprinklers.

Table 3. Stocker Sprinkler Protection Design Requirements

StorageHeight

Sprinkler Specifications

Type

Distance FromBottom of

Sprinkler Headto Top OfStocker

ClearanceFrom Bottom ofSprinkler Head

To Top OfCarrier

SprinklerSpacing

Min.K-factor

MinimumPressure

20’ (6.1 m) orless

Standard Quick Response(QR) Sprinkler Heads

(pendent)

4″ to 13″(10 to 33 cm)

N/A 8’(2.4 m)

8 50 psi(3.4 bars)

(see Note 2)20 - 45’

(6.1 - 13.7 m)Suppression Mode

Sprinkler Heads4″ to 13″

(10 to 33 cm)There must bea minimum of3’ clearance

8’(2.4 m)

14 (see Note 1)

Notes:1. Refer to DS 8-9 (Storages) for suppression mode sprinkler minimum pressure for K-factor and building height. Need to use 3⁄4 (min)

npt fittings for suppression mode sprinklers to avoid severe friction losses.

2. Use Approved, ordinary temperature rated, quick response large orifice (17⁄32 in. [20 mm], K=8.0) pendent automatic sprinklers. Designthe sprinkler system for a minimum pressure of 50 psi (3.4 bar), assuming all sprinklers operate inside the stocker. Use of sprinklerswith 1⁄2 in. (15 mm) diameter is acceptable, but a less desirable option because of the high operating pressure required to deliver the sameflow rate. Sprinkler protection of stockers will not result in the lowest loss expectancy for the location. In fact, a multi-million dollar lossexpectancy is likely in the event of a sprinkler protected stocker fire.

7-717-12 Semiconductor Fabrication FacilitiesPage 50 FM Global Property Loss Prevention Data Sheets

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 51: FMDS0707

2.5.16.5 Protection Guidelines for Stockers Using Gaseous Suppression Systems

2.5.16.5.1 Use Approved Total Flooding gaseous suppression systems actuated by Air Sampling smokedetection system located in the air plenum of the stocker.

2.5.16.5.2 Base the total amount of gas on the required agent concentration for total flooding of the stocker,compensating for exhaust ventilation and leaks through ‘‘uncloseable’’ openings. For Carbon Dioxide (CO2),the system should be designed to achieve a minimum concentration of 50% within 1 minute. For CleanAgents, the design concentration should be in accordance with Data Sheet 4-8N.

2.5.16.5.3 System acceptance should be based on a full discharge test to verify design concentrations aremet in at least three points, i.e., near-ceiling, mid-height and near-floor. Discharge tests should be conductedwith the ventilation system of the stocker in full operation.

2.5.17 Liquid Damage Exposures

2.5.17.1 Bracing of liquid pipes above the cleanrooms should be protected per section 2.5.19 EarthquakeProtection.

2.5.17.2 Roof drainage systems should be evaluated to ensure water from overflowing drains will not enterthe cleanroom.

2.5.17.3 Sprinkler pipework should be briefly air tested before filling with water to ensure all drain valvesand potential leak points have been closed.

2.5.18 Protection Against Theft

2.5.18.1 Theft of finished electronic products, including memory chips and processors can be preventedby a variety of security measures which need to be tailored to each site and product. The generalrecommendations or targeted location recommendations of Data Sheet 9-16, Burglary and Theft should befollowed depending upon theft potential.

2.5.18.2 Sites handling products with a high unit value, which are susceptible (or likely to become susceptible)to theft, should have a procedure in place for identifying susceptible parts and products before productionbegins. This will enable appropriate protection measures to be developed and installed as soon as theinventory arrives.

2.5.18.3 Site security systems should be reviewed regularly to ensure that the system still meets the changingneeds of the site. FM Global should be consulted whenever changes are being made to the site or to theproduction or storage arrangements for high value items.

2.5.19 Earthquake Protection

The following recommendations apply to FM Global 50-year through 500-year earthquake zones as shownin Data Sheet 1-2, Earthquakes.

2.5.19.1. Automatic sprinkler systems above the fabrication area should be reviewed for strict compliancewith Data Sheet 2-8.

2.5.19.2 Hot and chilled water supply and return piping systems (typically for air-handler units [AHU]) abovethe fabrication area should have earthquake bracing designed to resist a seismic design load for a minimumhorizontal acceleration (G factor) of 0.5.

2.5.19.3 A consulting firm specializing in earthquake design and evaluation should be retained. They shouldreview all aspects of facility design as well as process and building service equipment for code compliance.

2.5.19.4 Raised floors should be designed to resist a seismic design load for a minimum horizontalacceleration (G factor) of 0.5. In active seismic areas, pedestals should be anchored with bolts and not simplyglued down to concrete substrate. This is particularly important if large, heavy tools are on the raised floor.

2.5.19.5 Anchoring and bracing of equipment such as steppers, diffusion furnaces, gas cabinets and ionimplanters should be designed to resist a seismic design load for a minimum horizontal acceleration (G factor)of 0.5.

7-7Semiconductor Fabrication Facilities 17-12FM Global Property Loss Prevention Data Sheets Page 51

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 52: FMDS0707

2.5.19.6 Flammable and corrosive liquid piping as well as flammable and hazardous gas systems shouldbe provided with devices to safely shut down the systems in the event of strong ground movement that cancause such systems to fail and release material.

3.0 SUPPORT FOR RECOMMENDATIONS

Refer to Reference document 7-7R for information.

7-717-12 Semiconductor Fabrication FacilitiesPage 52 FM Global Property Loss Prevention Data Sheets

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 53: FMDS0707

4.0 REFERENCES

In addition to the recommendations provided in this data sheet, the following FM Global Property LossPrevention Data Sheets apply when referenced:

1-0 Safeguards During Construction, Alteration and Demolition1-2 Earthquakes1-23 Protection of Openings1-44 Damage-Limiting Construction1-56 Cleanrooms2-8 Earthquake Protection for Sprinkler Systems2-0 Installation Guidelines for Automatic Sprinklers4-0 Special Protection Systems4-5 Portable Extinguishers4-8N Halon 1301 Fire Extinguishing Systems4-11N Carbon Dioxide Extinguishing Systems5-1 Electrical Equipment in Hazardous Locations5-4 Transformers5-10 Protective Grounding for Electric Power Systems & Equipment5-11 Lightning and Surge Protection for Electrical Systems5-17 Motors and Adjustable Speed Drives5-18 Protection of Electrical Equipment5-19 Switchgear and Circuit Breakers5-20 Electrical Testing5-23 Emergency and Standby Power Systems5-24 Miscellaneous Electrical Equipment5-31 Cables and Bus Bars

5-32 Electronic Data Processing Systems

6-0 Elements of Industrial Heating Equipment6-4 Oil- and Gas-Fired Single Burner Boilers6-11 Fume Incinerators

7-2 Waste Solvent Recovery

7-29 Flammable Liquid Storage in Portable Containers

7-32 Flammable Liquid Operations7-45 Instrumentation and Control in Safety Applications7-49 Emergency Venting of Vessels

7-50 Compressed Gases in Cylinders

7-52 Oxygen

7-59 Inerting and Purging of Tanks, Process Vessels, and Equipment

7-78 Industrial Exhaust Systems

7-79 Fire Protection for Combustion Turbines

7-91 Hydrogen

7-95 Compressors8-33 Carousel Storage and Retrieval Systems9-16 Burglary and Theft9-18/17-18 Prevention of Freeze-ups12-0 Applicable Pressure Equipment Codes and Standards6-22 Firetube Boilers6-23 Watertube Boilers6-12 Low-Water Protection12-43 Pressure Relief Devices12-61 Mechanical Refrigeration13-3 Steam Turbines13-7 Gears13-17 Steam Turbines13-24 Fans and Blowers13-26 Internal Combustion Engines17-4 Monitoring and Diagnosis of Vibration in Rotating Machinery

7-7Semiconductor Fabrication Facilities 17-12FM Global Property Loss Prevention Data Sheets Page 53

©2010 Factory Mutual Insurance Company. All rights reserved.

Page 54: FMDS0707

APPENDIX A GLOSSARY OF TERMS

Refer to Reference document 7-7R for information.

APPENDIX B DOCUMENT REVISION HISTORY

May 2010. Minor editorial changes were made for this revision.

September 2004. References to FM Global earthquake zones have been modified for consistency with DataSheet 1-2, Earthquakes.

January 2003. This edition contains major new or revised recommendations under the following sections:

2.2.10 New recommendation and additional information has been added for dopant gas sources.

2.2.11.1.7 Revision to recommendations for Tube Trailer Systems.

2.2.17 Revision to recommendations for Valve Manifold Boxes.

2.2.20 Additional recommendations provided for Effluent Treatment Systems.

2.2.21 New section added ‘‘Acid Waste Neutralization Systems’’.

2.2.25 Additional information provided for Vacuum Pumps.

2.5.8 Distinction for new and existing steppers eliminated.

2.5.9 Revisions to Pass-Through Cabinets recommendations.

2.5.11 Revised recommendations for wafer tracks.

2.5.15.2.4 Linear heat detection (LHD) requirements revised for fire detection system for wet benchsubsurface.

2.5.16 Revised and added new recommendations for stocker fire protection.

May 2001 Changes.

Editorial changes only were made to this data sheet.

January 2001 Changes.

The January 2001 edition of Data Sheet 7-7/17-12 contains changes in recommendations under the followingsections:

2.2.2.5 & 2.2.2.7 Recommendations from May, 2000 version have been combined and revised to clarifyfunctional testing requirement.

2.2.5.6 Revised recommendation for chemical transportation carts.

2.2.5.7 Revised recommendation for storage within plastic wet benches.

2.5.8 Revised recommendations for Step and Repeat exposure systems.

2.5.11.3 Revised recommendation sections a, b, c to clarify requirements for storage and distribution cabinets.

2.5.12 Ion implanter recommendations. Complete revision of this section. Requirements for electricalprotection of existing oil filled transformers have been provided.

2.5.15.2 Fire Detection and Alarm Systems. This section has been revised to include allowances for usinglinear heat detection.

2.5.15.4.4 Revised recommendation for tools provided with mini-environment enclosures.

September 1999 Changes.

The September 1999 edition of Data Sheet 7-7/17-12 contains minor editorial changes to various sections.

January 1997 Changes.

This document was completely re-written January, 1997.

7-717-12 Semiconductor Fabrication FacilitiesPage 54 FM Global Property Loss Prevention Data Sheets

©2010 Factory Mutual Insurance Company. All rights reserved.