Top Banner
M.Tech. Programme in VLSI DESIGN AND EMBEDDED SYSTEMS 2019 Regulations GAYATRI VIDYA PARISHAD COLLEGE OF ENGINEERING (AUTONOMOUS) AFFILIATED TO JNTU- KAKINADA MADHURAWADA, VISAKHAPATNAM
81

EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

Mar 22, 2020

Download

Documents

dariahiddleston
Welcome message from author
This document is posted to help you gain knowledge. Please leave a comment to let me know what you think about it! Share it to your friends and learn new things together.
Transcript
Page 1: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. Programme in

VLSI DESIGN AND EMBEDDED SYSTEMS

2019 Regulations

GAYATRI VIDYA PARISHAD COLLEGE OF ENGINEERING

(AUTONOMOUS)

AFFILIATED TO JNTU- KAKINADA

MADHURAWADA, VISAKHAPATNAM

Page 2: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

SEMESTER – I

Course Category

Course Code Theory / Lab L P C

PC 19EC2201 RTL Simulation and Synthesis with PLDs

3 0 3

PC 19EC2202 CAD of VLSI Systems

3 0 3

PC 19EC2203 Embedded Systems

3 0 3

PE-I 19EC2250

19EC2251

19EC2252

1. Digital Design through Verilog

2. VLSI Testing

3. Programming Languages for Embedded Software

3 0 3

PE-II 19EC2253

19EC2254 19EC2153

1. System Design with Embedded Linux 2. VLSI signal Processing 3. Optimization Techniques

3 0 3

Core Lab 19EC2204 RTL Simulation and Synthesis with PLDs Lab

0 3 1.5

Core Lab (Elective-I)

19EC2255

19EC2256

1. CAD of VLSI Systems Lab

2. Embedded Systems Lab

0 3 1.5

1

Page 3: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

19HM2101 Research Methodology & IPR

2 0 2

TOTAL 17 6 20

SEMESTER – II

Course Category

Course Code Theory / Lab L P C

PC 19EC2205 VLSI Design Verification and Testing 3 0 3

PC 19EC2206 Analog and Digital CMOS VLSI Design

3 0 3

PC 19EC2207 Communication Buses and Interfaces 3 0 3

PE-III 19EC2257

19EC2258

19EC2259

19EC2159

1. Physical Design Automation

2. Memory Technologies

3. SoC Design

4. Biomedical Signal Processing

3 0 3

PE-IV 19EC2260

19EC2261

19EC2160

1. Low power VLSI Design

2. Internet of Things and Applications

3. DSP Architecture

3 0 3

2

Page 4: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

OE 19CH21P1

19ME21P1

19ME21P2

1.Waste as a Source of Energy

2.Operations Research

3.Composite Materials

2 0 2

Core Lab 19EC2208 VLSI Design Verification and Testing Lab

0 3 1.5

Core Lab (Elective-II)

19EC2262

19EC2263

1.Analog and Digital CMOS VLSI Design Lab

2. Internet of Things and Applications Lab

0 3 1.5

TOTAL 17 6 20

SEMESTER – III

Course Category

Course Code Theory / Lab L P C

Audit Course-1

19HE21A1 English for Research Paper Writing

3 0 0

Audit Course-2

19HM21A1 Constitution of India 3 0 0

IT/PT 19EC22IT/19E C22PT

Industrial Training/ Pedagogy Training

2

Dissertation 19EC22T1 Dissertation Phase-I 0 20 10

3

Page 5: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

TOTAL 4 20 12

SEMESTE R – IV

Course Category

Course Code Theory / Lab L P C

Dissertation 19EC22T2 Dissertation Phase-II 0 32 16

TOTAL 0 32 16

GRAND TOTAL 68

4

Page 6: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

RTL SIMULATION AND SYNTHESIS WITH PLDs

Course Code: 19EC2201 L P C

3 0 3

Prerequisites: VLSI Design, Digital Logic Design Course Outcomes: At the end of the course the student will be able to CO1: Describe Finite State Machines and comprehend concepts of clock related issues. CO2: Model digital circuits using Verilog and understand the concepts of analog and mixed signal Systems design using Verilog AMS. CO3: Outline the concepts of different design flows in VLSI. CO4: Illustrate different low power latches and Flip-flops. CO5: Explain the concepts of IP cores and Prototyping. UNIT-I 10 Lectures Design strategies Top down approach to design, Design of FSMs (Synchronous and asynchronous), Static timing analysis, Meta-stability, Clock issues, Need and design strategies for multi-clock domain designs. Learning outcomes: At the end of this unit, the student will be able to

1. Describe the Top down approach to design (L2) 2. Summarize the concepts of Finite state machines (L2) 3. Illustrate the concepts of clock related issues (L3)

UNIT-II 10 Lectures Modelling of digital circuits Design entry by Verilog, Combinational and Sequential Logic Design : Multiplexer/ Demultiplexer, ALU, parity circuits, Flip-flops, Shift Registers, Counters, Finite State Machines, Sequence generator, Sequence detector, Verilog AMS. Learning outcomes: At the end of this unit, the student will be able to

1. Model various combinational circuits using Verilog (L3) 2. Model various Sequential circuits using Verilog (L3) 3. Discuss the concepts of analog and mixed signal systems design using Verilog

AMS (L2) UNIT-III 10 Lectures

5

Page 7: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

Design methodologies Programmable Logic Devices, FPGA, SoC, Introduction to ASIC Design Flow, Floor Planning, Placement, Clock tree synthesis, Routing, Physical verification. Learning outcomes: At the end of this unit, the student will be able to 1. Describe the concepts of programmable Logic Devices (L2) 2. Demonstrate FPGA and ASIC design flows (L3) 3. Illustrate the concepts of CPLD and FPGA architectures (L3) UNIT-IV 10 Lectures Low power Latches and Flip-flops Introduction, Need for low power latches and flip-flops, Evolution of Latches and Flip-flops, Quality measures for latches and flip-flops, Design perspective. Learning outcomes: At the end of this unit, the student will be able to 1. Demonstrate latches and flip-flop designs to improve performance (L3) 2. Interpret different low power design techniques (L2) 3. Illustrate the concepts of quality measures for latches and flip-flops (L3) UNIT-V 10 Lectures IP and Prototyping IP in various forms: RTL Source code, Encrypted Source code, Soft IP, Netlist, Physical IP, use of external hard IP during prototyping. Learning outcomes: At the end of this unit, the student will be able to 1. Describe the concepts of IP in various forms (L2) 2. Differentiate Soft IP and Hard IP (L2) 3. Illustrate the concepts of prototyping (L3) Text Books 1. Richard S. Sandige, Modern Digital Design , MGH, International Editions,1990 2. T. R. Padmanabhan and B. F.V.G. Bala Tripura Sundari, Design through Verilog HDL , WSE, IEEE Press, 2004. 3. Zeidman, Bob. Designing with FPGAS and CPLDS . CRC Press, 2002. 4. KiatSeng Yeo, Samir S. Rofail, Wang-Ling Goh, CMOS/Bi CMOS ULSI Low Voltage Low Power , Pearson Education Asia 1st Indian reprint, 2002. 5. Doug Amos, Austin Lesea, Rene Richter, FPGA based prototyping methodology manual , Xilinx. References

6

Page 8: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

1. Palnitkar, Samir. Verilog HDL: a guide to digital design and synthesis . Pearson Education India, 2003. 2. Givone, Donald D. Digital principles and design . Palgrave Macmillan, 2003. 3. Roth, Charles H. Digital systems design using VHDL . Wadsworth Publ. Co., 1998.

***

7

Page 9: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

CAD OF VLSI SYSTEMS

Course Code: 19EC2202 L P C 3 0 3 Prerequisites: VLSI Design Course Outcomes: At the end of the course the student will be able to CO1: Explain the fundamentals of modelling, design, test and verification of VLSI Systems CO2: Describe various phases of CAD including simulation, physical design, test, and Verification. CO3: Demonstrate knowledge of computational algorithms. CO4: Summarize about the simulation, synthesis and verification of digital circuits CO5: Analyze various MCM technologies

UNIT-I 8 Lectures VLSI Methodologies Design problem, Design domains, Design actions, methods and technologies. Design and Fabrication of VLSI Devices- Fabrication materials, Transistor fundamentals, Fabrication of VLSI circuits. Fabrication Process and its impact on Design- Issues related to fabrication processes, solution for interconnect issues. Learning outcomes: At the end of this unit, the student will be able to

1. Describe different VLSI Methodologies(L2) 2. Illustrate the design and Fabrication of VLSI Devices(L3) 3. Analyze Issues related to fabrication processes(L4)

U NIT-II 12 Lectures VLSI design automation tools Algorithmic and system design, Structural and logic design, transistor level design, layout design, verification methods. Data structures and basic algorithms- Data structure for the representation of graphs, Graph theory and algorithms like Depth first search, Breadth first search, Dijkstra’s shortest path algorithm, Prim’s Algorithm for minimum spanning trees, Computational complexity, tractable and intractable problems- Combinational optimization problems, Decision problems, complexity classes. Learning outcomes: At the end of this unit, the student will be able to

1. Summarize various VLSI design automation tools (L2) 2. Describe Data structures and basic algorithms (L2) 3. Solve tractable and intractable problems(L3)

8

Page 10: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

UNIT-III 10 Lectures Combinational Optimization General purpose methods for combinatorial optimization, Basic concepts on partitioning, floor planning and pin assignment, placement, routing algorithms, The Unit-size placement problem, backtracking and branch and bound, Dynamic programming, Integer Linear programming, Local search, Simulated annealing, Tabu Search, Genetic Algorithms.

Learning outcomes: At the end of this unit, the student will be able to

1. Summarize methods for combinatorial optimization (L2) 2. Describe floor planning and pin assignment algorithms(L2) 3. Analyze Unit-size placement problem (L4)

UNIT-IV 10 Lectures Simulation and Synthesis General remarks on VLSI simulation, Gate Level modeling and simulation, Introduction to combinational logic synthesis and verification, Binary decision diagrams, High level Synthesis- hardware models, internal representation of the input algorithm.

Learning outcomes: At the end of this unit, the student will be able to 1. Discuss Gate Level modeling and simulation (L2) 2. Illustrate logic synthesis and verification (L3) 3. Demonstrate internal representation of the input algorithm (L3)

UNIT-V 10 Lectures MCM Technologies MCM Physical design cycle, partitioning, placement-chip array based approach, full custom approach, routing-classification of MCM routing algorithms, maze routing, multiple stage routing, topological routing, integrated pin distribution and routing, routing in programmable multichip modules. Learning outcomes: At the end of this unit, the student will be able to

1. Summarize MCM Technologies (L2) 2. Describe placement-chip array based and full custom approach (L2) 3. Demonstrate various MCM routing algorithms (L3)

Text Books

1. S. H. Gerez, Algorithms for VLSI Design Automation , WILEY student edition, John wiley & Sons (Asia) Pvt. Ltd. 1999.

2. Naveed Sherwani, Algorithms for VLSI Physical Design Automation , Springer International Edition 3rdedition, 2005.

9

Page 11: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

References 1. Hill &Peterson, Computer Aided Logical Design with Emphasis on VLSI , John

Wiley, 1993. 2. Wayne Wolf, Modern VLSI Design: Systems on silicon , Pearson Education Asia,

2 nd Edition, 1998.

10

Page 12: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

EMBEDDED SYSTEMS Course Code: 19EC2203 L P C

3 0 3

Prerequisites: Microcontrollers Course Outcomes: At the end of the course the student will be able to CO1: Summarize ARM Instruction set. CO2: Identify pipeline, Thumb instruction set. CO3: Compare and select several features/peripherals of SoC LPC 29xx. CO4: Describe knowledge about Cortex-M3 Operations. CO5: Analyze Cortex Interrupts. UNIT-I 10 Lectures ARM Instructions ARM Architecture, ARM 9, 32/16 bit ARM instruction set, Data Transfer, Bit clear, Masking, Arithmetic, Logic Instructions, Data and Bit manipulations Learning outcomes: At the end of this unit, the student will be able to

1. Explain ARM9 architecture (L2) 2. Compare ARM 32 bit,16 bit instructions (L5) 3. Illustrate Arithmetic and Data Manipulation instructions(L3)

UNIT-II 10 Lectures Pipelining and Thumb Instructions Pipelining: 3 stage and 5 stage, Exception handling, Thumb instruction Set: Thumb bit, branch and data processing instructions, single register and multiple register data transfer instructions. Learning outcomes: At the end of this unit, the student will be able to

1. Compare 3 stages, 5 stage Pipelining (L5) 2. Explain Thumb Data Processing Instructions (L2) 3. Interpret single register and multiple register data transfer instructions (L2)

UNIT-III 10 Lectures LPC 29XX peripherals LPC 29xx features, Timers, ADC, UART, I2C, SPI, PWM, APB, GPIOs, ARM development tools, JTAG boundary scan test architecture. Learning outcomes: At the end of this unit, the student will be able to

1. Explain timers (L2) 2. Describe I2C Protocol (L2) 3. Illustrate JTAG architecture (L3)

11

Page 13: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

UNIT-IV 10 Lectures Cortex-M3 Instructions and Memory map ARM Cortex-M3 processor: Programming model, Registers, Operation modes, Interrupts, Reset Sequence Instruction Set, Memory Maps, Memory Access Attributes, Permissions, Bit-Band Operations, Unaligned and Exclusive Transfers. Learning outcomes: At the end of this unit, the student will be able to

1. Explain Cortex Operating Modes (L2) 2. Describe Cortex Reset Sequence (L2) 3. Outline Unaligned and Exclusive Transfers(L4)

UNIT-V 10 Lectures Interrupt Vectors and sequences Vector Tables, Interrupt Inputs and Pending behavior, Fault Exceptions, Supervisor Service Call, Nested Vectored Interrupt Controller, Basic Configuration, SYSTICK Timer, Interrupt Sequences, Exits, Interrupt Latency. Learning outcomes: At the end of this unit, the student will be able to

1. Explain Interrupt Vector Table(L2) 2. Describe Interrupt Latency (L2) 3. Compare Interrupt and fault Exceptions(L5)

Text Books

1. Kamal, Raj. Microcontrollers: Architecture, programming, interfacing and system design . Pearson Education India, 2011.

2. Furber, Stephen Bo. ARM system-on-chip architecture . Pearson Education, 2000. 3. Yiu, Joseph, The definitive guide to the ARM Cortex-M3 . Newnes, 2009. 4. Technical references and user manuals from NXP Semiconductor www.nxp.com

References

1. Das, Lyla B. Embedded Systems: An Integrated Approach . Pearson Education India, 2012. 2. Prasad, Dr KVKK. Embedded/Real-Time Systems-Concepts, Design & Programming-Black Book . 2010.

***

12

Page 14: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

DIGITAL DESIGN THROUGH VERILOG (Elective-I)

Course Code: 19EC2250 L P C

3 0 3

Prerequisites: Digital Logic Design.

Course outcomes: At the end of the course the student will be able to CO1: Outline the basic concepts of Verilog language. CO2: Design and develop different circuits in gate level modelling. CO3: Develop circuits in data flow level modelling and switch level modelling. CO4: Design different circuits in behavioral modelling using blocking and non-blocking statements. CO5: Design Finite state machines and comprehends concepts of functions, tasks, and user defined primitives. UNIT-I 10 Lectures INTRODUCTION TO VERILOG Verilog as HDL, Levels of Design Description, Concurrency, Simulation and Synthesis, Functional Verification, System Tasks, Programming Language Interface (PLI), Module, Simulation and Synthesis Tools, Test Benches. Language Constructs and Conventions: Introduction, Keywords, Identifiers, White Space Characters, Comments, Numbers, Strings, Logic Values, Strengths, Data Types, Scalars and Vectors, Parameters, Memory, Operators. System Tasks, Functions, and Compiler Directives: Parameters, Path Delays, Module Parameters, System Tasks and Functions, File-Based Tasks and Functions, Compiler Directives, Hierarchical Access, General Observations. Learning outcomes: At the end of this unit, the student will be able to

1 . Summarize the levels of design description (L2) 2. Describe the basic language constructs in VERILOG (L2) 3. Develop Test Bench and verify using simulation (L6)

UNIT-II 10 Lectures GATE LEVEL MODELING Introduction, AND Gate Primitive, Module Structure, Other Gate Primitives, Illustrative Examples, Tri-State Gates, Array of Instances of Primitives, Additional Examples, Design of Flip-flops with Gate Primitives, Delays, Strengths and Contention Resolution, Net Types, Design of Basic Circuits.

13

Page 15: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

Learning outcomes: At the end of this unit, the student will be able to 1. Build Gate primitives with different examples (L6) 2. Design and Development of Flip-Flops with gate primitives (L6) 3. Describe Strengths and Net Types (L2)

UNIT-III 10 Lectures DATA FLOW LEVEL and SWITCH LEVEL MODELING Introduction, Continuous Assignment Structures, Delays and Continuous Assignments, Assignment to Vectors, Operators. Switch Level Modeling Introduction, Basic Transistor Switches, CMOS Switch, Bidirectional Gates, Time Delays with Switch Primitives, Instantiations with Strengths and Delays, Strength Contention with Trireg Nets. Learning outcomes: At the end of this unit, the student will be able to

1. Describe Continuous Assignment Structures and data flow modeling(L2) 2. Design different circuits using Data flow and switch level modeling (L6) 3. Develop switch level circuits (L6)

UNIT-IV 12 Lectures BEHAVIORAL MODELING Introduction, Operations and Assignments, Functional Bifurcation, Initial Construct, Always Construct, Examples, Assignments with Delays, Wait construct, Multiple Always Blocks, Designs at Behavioral Level, Blocking and Non-blocking Assignments, The case statement, Simulation Flow. If and if-else constructs, assign-deassign construct, repeat construct, for loop, the disable construct, while loop, forever loop, parallel blocks, force-release construct, Event. Learning outcomes: At the end of this unit, the student will be able to

1. Design different circuits using behavioral modeling(L6) 2. Describe the concept of blocking and non-blocking (L2) 3. Develop different designs using loop constructs (L6)

UNIT-V 8 Lectures FUNCTIONS, TASKS AND USER-DEFINED PRIMITIVES Introduction, Function, recursive functions, Tasks, User Defined Primitives (UDP)- combinational UDPs, sequential UDPs, FSM Design -Moore and Mealy Machines. Learning outcomes: At the end of this unit, the student will be able to

1. Describe the language constructs like functions, tasks, UDP and FSM design (L2) 2. Design different circuits using functions and UDP (L6) 3. Develop Moore and Mealy machines using FSM Design (L6)

Text Books

1. T. R. Padmanabhan and B. Bala Tripura Sundari, Design through Verilog HDL , WSE, IEEE Press, 2004

14

Page 16: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

2. Bhasker, Jayaram. A Verilog HDL Primer , Star Galaxy Publishing, 1999.

References 1. Michael D. Ciletti, Advanced Digital Design with Verilog HDL , PHI, 2005. 2. John F. Wakerly, Digital Design Principles & Practices , PHI/Pearson Education

Asia, 3rd Ed., 2005. ***

15

Page 17: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

VLSI TESTING (Elective-I)

Course Code: 19EC2251 L P C

3 0 3 Prerequisites: VLSI Design Course Outcomes: At the end of the course the student will be able to CO1: Analyze the need for fault modeling and testing of digital circuits CO2: Describe test generation for Combinational Logic circuits CO3: Summarize test generation for Sequential circuits CO4: Illustrate design of testable sequential circuits using scan path and non-scan path techniques CO5: Design of Built in self-test for RAM chips UNIT-I 10 Lectures Faults and Logic Simulation Faults in digital circuits: Failures and Faults, Modeling of faults, Temporary Faults. Logic Simulation: Applications, Problems in simulation based design verification, types of simulation, The unknown logic values, compiled simulation, event-driven simulation, Delay models, Element evaluation, Hazard detection, Gate-level event-driven Simulation. Learning outcomes: At the end of this unit, the student will be able to

1. Describe various types of faults (L2) 2. Summarize different types of simulation (L2) 3. Analyze problems in simulation based design verification (L4)

UNIT-II 10 Lectures Fault diagnosis and Testing Test generation for Combinational Logic circuits: Fault Diagnosis of digital circuits, Test generation techniques for combinational circuits, Detection of multiple faults in Combinational logic circuits. Testable Combinational logic circuit design-I: The Read-Muller, expansion technique, three level OR-AND-OR design, Automatic synthesis of testable logic. Learning outcomes: At the end of this unit, the student will be able to

1. Demonstrate fault diagnosis of digital circuits (L3) 2. Describe test generation techniques for combinational circuits (L2) 3. Illustrate testable combinational logic design (L3)

16

Page 18: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

UNIT-III 10 Lectures Testing of logic circuits Testable Combinational logic circuit design-II : Testable design of multilevel combinational circuits, Synthesis of random pattern testable combinational circuits, Path delay fault testable combinational logic design, Testable PLA design. Test generation for Sequential circuits: Testing of sequential circuits as Iterative combinational circuits, state table verification, Test generation based on Circuit Structure, Functional Fault models, test Generation based on Functional Fault models. Learning outcomes: At the end of this unit, the student will be able to

1. Describe testable design of multiline combinational circuits (L2) 2. Discuss testable PLA design (L2) 3. Demonstrate test generation for sequential circuits (L3)

UNIT-IV 10 Lectures Design of testable sequential circuits Controllability and observability, Ad-Hoc design rules for improving testability, design of diagnosable sequential circuits, the scan-path technique for testable sequential circuit design, Level Sensitive Scan Design(LSSD), Random Access Scan Technique, Partial scan, testable sequential circuit design using Nonscan Techniques, Cross check, Boundary Scan. Learning outcomes: At the end of this unit, the students will be able to

1. Discuss the concepts of controllability and observability (L2) 2. Describe diagnosable sequential circuits (L2) 3. Illustrate sequential circuit design using Nonscan Techniques (L3)

UNIT-V 10 Lectures Fault diagnosis of memories Built-In Self-Test: Test pattern generation for BIST, Output response analysis, Circular BIST, BIST Architectures. Testable Memory Design: RAM Fault Models, Test algorithms for RAMs, Detection of pattern-sensitive faults, BIST techniques for RAM chips, Test generation and BIST for embedded RAMs. Learning outcomes: At the end of this unit, the student will be able to

1. Describe Test pattern generation for BIST (L2) 2. Illustrate Test generation and BIST for embedded RAMs (L3) 3. Demonstrate BIST techniques for RAM chips (L3)

Text Books

1. Lala Parag K., Digital Circuit Testing and Testability , New York, Academic Press, 1997. 2. Abramovici M, Breuer M A and Friedman A D, Digital Systems Testing and Testable Design , Wiley, 1994.

17

Page 19: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

References

1. Vishwani D Agarwal, Essential of Electronic Testing for Digital, Memory and Mixed Signal Circuits , Springer, 2002. 2. Wang, Wu and Wen, VLSI Test Principles and Architecture s, Morgan Kaufmann, 2006.

***

18

Page 20: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

PROGRAMMING LANGUAGES FOR EMBEDDED SOFTWARE (Elective-I)

Course Code: 19EC2252 L P C

3 0 3 Prerequisites: C Programming, Embedded systems Course outcomes: At the end of the course the student will be able to CO1: Develop drivers for low speed peripherals. CO2: Describe OOPS concepts. CO3: Develop CPP programming. CO4: Illustrate Inheritance, overloading concepts. CO5: Explain PERL scripting. UNIT-I 10 Lectures Embedded Peripherals Embedded ‘C’ Programming, Bitwise operations, Dynamic memory allocation, OS services, Linked stack and queue, Sparse matrices, Binary tree, Interrupt handling in C, Code optimization issues, Writing LED drivers, Drivers for serial port communication, Embedded Software Development Cycle and Methods (Waterfall, Agile). Learning outcomes: At the end of this unit, the student will be able to

1. Explain memory allocation (L2) 2. Illustrate drivers for peripherals (L3) 3. Interpret software devotement methods (L2)

UNIT-II 10 Lectures OOPs Programming techniques Object Oriented Programming: Introduction to procedural, modular, object-oriented and generic programming techniques, Limitations of procedural programming, objects, classes, data members, methods, data Encapsulation, data abstraction and information hiding, inheritance, polymorphism. Learning outcomes: At the end of this unit, the student will be able to

1. Explain object-oriented programming techniques (L2) 2. Describe data Encapsulation (L2) 3. Discuss inheritance and polymorphism (L2)

19

Page 21: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

UNIT-III 10 Lectures Memory allocation techniques CPP Programming: ‘cin’, ‘cout’, formatting and I/O manipulators, new and delete Operators, Defining a class, data members and methods, ‘this’ pointer, constructors, destructors, friend Function, dynamic memory allocation. Learning outcomes: At the end of this unit, the student will be able to

1. Discuss I/O manipulators (L2) 2. Illustrate class and data members (L3) 3. Explain dynamic memory allocation (L2)

UNIT-IV 12 Lectures Overloading and Inheritance Need of operator overloading, overloading the assignment, Overloading using friends, type conversions, single inheritance, base and derived classes, friend classes, types of inheritance, hybrid inheritance, multiple inheritance, virtual base class, polymorphism, virtual functions. Learning outcomes: At the end of this unit, the student will be able to

1. Explain Overloading concepts (L2) 2. Discuss types of inheritance (L2) 3. Interpret virtual functions (L2)

UNIT-V 8 Lectures Templates Function template and class template, member function templates and template Arguments, Multiple Exceptions. Scripting Languages, PERL: Operators, Statements Pattern Matching. Learning outcomes: At the end of this unit, the student will be able to

1. Describe Function and class templates (L2) 2. Explain template Arguments (L2) 3. Demonstrate Pattern Matching using PERL (L3)

Text Books

1. Michael J. Pont, Embedded C , Pearson Education, 2 nd Edition, 2008. 2. Michael Berman, Data structures via C++ , Oxford University Press, 2002. 3. Randal L. Schwartz, Learning Perl , O’Reilly Publications, 6 th Edition 2011.

References

1. Robert Sedgewick, Algorithms in C++ , Addison Wesley Publishing Company, 1999.

20

Page 22: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

2. Abraham Silberschatz, Peter B, Greg Gagne, Operating System Concepts , John Willey& Sons, 2005.

***

21

Page 23: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

SYSTEM DESIGN WITH EMBEDDED LINUX (Elective-II)

Course Code: 19EC2253 L P C

3 0 3 P rerequisites: Real Time Operating Systems Course Outcomes: At the end of the course the student will be able to CO1: Explain the environment. CO2: Analyze Kernel Architecture functions. CO3: Summarize the Embedded drivers. CO4: Analyze case studies of embedded OS. CO5: Interpret RT LINUX UNIT-I 10 Lectures Overview of LINUX Introduction to UNIX/LINUX, LINUX commands, File I/O (open, create, close, Iseek, read, write), Process Control (fork, vfork, exit, Wait, waitpid, exec), Embedded Linux Vs Desktop Linux, Embedded Linux Distributions. Learning outcomes: At the end of this unit, the student will be able to

1. Summarize Linux commands (L2) 2. Demonstrate File I/O commands (L3) 3. Compare embedded and Desktop Linux (L5)

UNIT-II 10 Lectures Linux Kernel Embedded Linux Architecture, Kernel Architecture, Hardware Extraction layer, Memory manager, Scheduler, File System, I/O and Networking subsystem, Inter process communication, User space, Start-up sequence. Learning outcomes: At the end of this unit, the student will be able to

1. Discuss Kernel architecture (L2) 2. Explain Scheduler features (L2) 3. Describe Inter process communication (L2)

UNIT-III 12 Lectures Embedded drivers Board Support Package: Embedded Storage, Memory Technology Devices (MTD), Architecture. Embedded Drivers: Serial, I2C, USB, Ethernet, Timer, Kernel Modules. Embedded File System

22

Page 24: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

Learning outcomes: At the end of this unit, the student will be able to 1. Discuss Memory Technology Devices (L2) 2. Explain I2C, Serial Driver porting (L2) 3. Summarize Kernel modules (L2)

UNIT-IV 10 Lectures Building and Debugging Kernel, Root file system, Case studies: RTL LINUX, Micro C/OS-II, Vx Works, Embedded LINUX, Tiny OS. L earning outcomes: At the end of this unit, the students will be able to

1. Discuss Debugging (L2) 2. Describe Linux Root File system (L2) 3. Analyze Micro C test case (L4)

UNIT-V 8 Lectures Linux Tasks Porting Applications, Real-Time Linux basics, kernel priority, Task creation, Print commands, compilation, Safety critical features, components, programs. Learning outcomes: At the end of this unit, the student will be able to

1. Summarize RT Linux Basics (L2) 2. Describe OS Safety (L2) 3. Explain various programs (L2)

Text Books

1. Prasad, Dr KVKK. Embedded/Real-Time Systems-Concepts, Design & Programming-Black Book . 2010.

2. Yaghmour, Karim. Building embedded Linux systems . O'Reilly Japan, 2003. 3. Raghavan, Pichai, Amol Lad, and Sriram Neelakandan. Embedded Linux system

design and development . Auerbach Publications, 2005.

References 1. Christopher Hallinan, Embedded Linux Primer: A Practical Real World

Approach , Prentice Hall, 2 nd Edition, 2010. 2. Derek Molloy, Exploring Beagle Bone: Tools and Techniques for Building with Embedded Linux , Wiley, 1 st Edition, 2014.

***

23

Page 25: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

VLSI SIGNAL PROCESSING (Elective-II)

Course Code: 19EC2254 L P C

3 0 3 Prerequisites: VLSI Design, Digital Signal Processing Course outcomes: At the end of the course the student will be able to CO1: Explain DSP algorithms, its DFG representation, pipelining and parallel processing approaches. CO2: Describe iteration bound, retiming techniques. CO3: Summarize the folding and unfolding algorithms. CO4: Outline the systolic architecture design. CO5: Explain different convolution techniques and features of DSP Processors. UNIT-I 10 Lectures DSP systems and algorithms Introduction to DSP systems: Introduction, Overview of typical DSP Algorithms, Representation of DSP Algorithms: Block Diagrams, Signal-Flow Graph, Data-Flow Graph, Dependence Graph. Pipelining and parallel processing: Introduction, Pipelining of FIR Digital Filters, Parallel Processing. Learning outcomes: At the end of this unit, the student will be able to

1. Summarize the different representations of DSP Algorithms (L2) 2. Describe the pipelining for Low Power (L2) 3. Illustrate the parallel processing for Low Power (L3)

UNIT-II 10 Lectures Iteration Bound and Retiming Introduction to Iteration bound, Data-Flow Graph Representations, Loop Bound and Iteration Bound, Algorithms for Computing Iteration Bound, Iteration Bound of Multirate Data-Flow Graphs. Introduction to retiming, Definitions and Properties, Solving Systems of Inequalities, Retiming Techniques. Learning outcomes: At the end of this unit, the student will be able to

1. Derive Loop Bound and Iteration Bound (L6) 2. Formulate the iteration bound of multirate data-flow graphs (L6) 3. Describe Retiming Techniques (L2)

24

Page 26: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

UNIT-III 10 Lectures Folding and Unfolding Unfolding: Introduction, an Algorithm for Unfolding, Properties of Unfolding, Critical Path, Unfolding and Retiming. Folding: Introduction, Folding transformation, Register Minimization Techniques, Register Minimization in Folded Architectures. Learning outcomes: At the end of this unit, the student will be able to

1. Describe unfolding (L2) 2. Summarize folding and folding transformation (L2) 3. Analyze unfolding and folding concepts for register minimization (L4)

UNIT-IV 10 Lectures Systolic architecture design Introduction, systolic Array Design Methodology, FIR Systolic Arrays, Selection of Scheduling Vector, Matrix-Matrix Multiplication and 2D Systolic Array Design, Systolic Design for Space Representations Containing Delays. Learning outcomes: At the end of this unit, the student will be able to

1. Discuss systolic array design methodology (L2) 2. Summarize FIR Systolic Arrays (L2) 3. Model 2D Systolic Array and Systolic design for space representations containing

delays (L3) UNIT-V 10 Lectures Convolution and Digital Signal Processors Fast Convolution: Cook-Toom Algorithm, Winograd Algorithm, Iterated Convolution and Cyclic Convolution. Programmable Digital Signal Processors: Introduction, Evolution of Programmable Digital Signal Processors, Features of DSP Processors. Learning outcomes: At the end of this unit, the student will be able to

1. Apply fast convolution algorithms for signal processing applications (L3) 2. Summarize performance improvements and evolution of Programmable DSPs (L2) 3. Discuss features of DSP Processors (L2)

Text Books Keshab K. Parhi, VLSI Digital signal processing systems, design and implementation ’, Wiley, Inter Science, 1999.

25

Page 27: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

References 1. Mohammad Isamail and Terri Fiez, Analog VLSI signal and information

processing , McGraw Hill, 1994 2. S.Y. Kung, H.J. White House, T. Kailath, VLSI and Modern Signal Processing ,

Prentice Hall, 1985.

***

26

Page 28: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

OPTIMIZATION TECHNIQUES (Elective-II)

Course Code: 19EC2153 L P C

3 0 3

Prerequisites: Mathematics Course Outcomes: At the end of this course, the student will be able to CO1: Comprehend the techniques and applications of Engineering optimization. CO2: Analyze characteristics of a general linear programming problem CO3: Apply basic concepts of mathematics to formulate an optimization problem CO4: Analyse various methods of solving the unconstrained minimization problem CO5: Analyze and appreciate variety of performance measures for various optimization problems

UNIT-I 10 Lectures Introduction to optimization Introduction to Classical Methods & Linear Programming Problems Terminology, Design Variables, Constraints, Objective Function, Problem Formulation. Calculus method, Kuhn Tucker conditions, Method of Multipliers. Learning outcomes: At the end of this unit, the student will be able to

1. Understand importance of optimization. (L2) 2. Understand the design variables and constraints and objective function for

optimization techniques. (L2) 3. Analyze Kuhn Tucker conditions and method of multipliers. (L4)

UNIT-II 10 Lectures Linear Programming Problem Linear Programming Problem, Simplex method, Two-phase method, Big-M method, duality, Integer linear Programming, Dynamic Programming, Sensitivity analysis. Learning outcomes: At the end of this unit, the student will be able to

27

Page 29: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

1. Analyze problems in which the objective function and the constraints appear as linear functions of the decision variables. (L4)

2. Analyze the concept of simplex, two-phase and big-M method. (L4) 3. Understand integer linear and dynamic programming. (L2)

UNIT-III 10 Lectures Single Variable Optimization Problems Optimality Criterion, Bracketing Methods, Region Elimination Methods, Interval Halving Method, Fibonacci Search Method, Golden Section Method. Gradient Based Methods: Newton-Raphson Method, Bisection Method, Secant Method, Cubic search method. Learning outcomes: At the end of this unit, the student will be able to

1. Understand the concept of single variable optimization problems. (L2) 2. Analyze solution of nonlinear programming problems. (L4) 3. Analyze various optimization methodologies. (L4)

UNIT-IV 10 Lectures Multivariable and Constrained Optimization Techniques Multi Variable and Constrained Optimization Technique, Optimality criteria , Direct search Method, Simplex search methods, Hooke-Jeeve‘s pattern search method, Powell‘s conjugate direction method, Gradient based method, Cauchy‘s Steepest descent method, Newton‘s method, Conjugate gradient method. Kuhn - Tucker conditions, Penalty Function, Concept of Lagrangian multiplier, Complex search method, Random search method Learning outcomes: At the end of this unit, the student will be able to

1. Analyze various methods of solving the unconstrained minimization problem. (L4) 2. Understand the concept of multivariable optimization technique. (L2) 3. Analyze the optimality criteria for various optimization techniques. (L4)

UNIT-V 10 Lectures Intelligent Optimization Techniques Introduction to Intelligent Optimization, Genetic Algorithm: Types of reproduction operators, crossover & mutation, Simulated Annealing Algorithm, Particle Swarm Optimization (PSO), Genetic Programming (GP): Principles of genetic programming, terminal sets, functional sets, differences between GA & GP, random population generation, solving differential equations using GP. Learning outcomes: At the end of this unit, the student will be able to

28

Page 30: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

1. Analyze optimization methods based on the behaviour of biological and swarm of insects. (L4)

2. Understand the concepts of Genetic programming. (L2) 3. Analyze the differences between GA and GP. (L4)

Textbooks

1. S. S. Rao, Engineering Optimisation: Theory and Practice , Wiley, 2008. 2. K. Deb, Optimization for Engineering design algorithms and Examples , Prentice

Hall, 2 nd edition 2012. References

1. C.J. Ray, Optimum Design of Mechanical Elements , Wiley, 2007. 2. R. Saravanan, Manufacturing Optimization through Intelligent Techniques , Taylor & Francis Publications, 2006. 3. D. E. Goldberg, Genetic algorithms in Search, Optimization, and Machine Learning , Addison-Wesley Longman Publishing, 1989.

***

29

Page 31: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

RTL SIMULATION AND SYNTHESIS WITH PLDs LAB

Course Code: 19EC2204 L P C

0 3 1.5

Prerequisite: RTL Simulation and Synthesis with PLDs, Digital Design through Verilog

Course Outcomes: At the end of the course the student will be able to

CO1: Design, simulate and synthesize combinational circuits using Verilog.

CO2: Design, simulate and synthesize sequential circuits using Verilog

CO3: Demonstrate EDA tools like Cadence and Xilinx.

CO4: Develop the digital systems on FPGAs.

CO5: Calculate delay and power for digital circuits using CADENCE software tool.

List of Experiments:

1. 8:1 Multiplexer and 1: 8 De-multiplexer

2. 4-bit ALU

3. 8-bit Comparator

4. 8-bit shift Register

5. Sequence Detector

6. Single Port SRAM

7. Universal Asynchronous Receiver/Transmitter (UART)

8. 4 to 16 Decoder

9. BCD Adder

10. CMOS NAND/NOR Gates

11. Parity Checker

12. 8-bit Up/Down Counter

13. Sequence Generator

14. Traffic Light Controller

Note: Any TWELVE of the above experiments are to be conducted.

***

30

Page 32: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

CAD OF VLSI SYSTEMS LAB (Elective-I)

Course Code: 19EC2255 L P C

0 3 1.5

Prerequisite: Digital Logic Design, CAD of VLSI Systems

Course Outcomes: At the end of the course the student will be able to

CO1: Design and verify the functionality of different digital circuits.

CO2: Demonstrate CADENCE Virtuoso Tool.

CO3: Design combinational and sequential circuits.

CO4: Interpret area, delay and Power calculations of digital circuits.

CO5: Illustrate Functional Design, Verification, Backend Design Flow

List of Experiments:

1. Schematic, Symbol and layout of Inverter.

2. Schematic, Symbol and layout of a CMOS Buffer

3. Schematic, Symbol and layout of NAND

4. Schematic, Symbol and layout of NOR

5. Schematic, Symbol of XOR Gate using instance of NAND Gates

6. Schematic and Symbol of Half Adder using instance of XOR and AND Gates.

7. Schematic and Symbol of Full Adder using instance of Half Adder

8. Schematic and Symbol of Grey to Binary code converter

9. Schematic and Symbol of D-Flip flop

10. Schematic of 2:1Multiplexer using Pass Transistor Logic and Transmission Gate

11. Schematic symbol of CMOS Inverter and Calculation of Noise Margin,

Propagation Delay and power Dissipation.

12. Schematic and Symbol of ALU.

13. Schematic and Symbol of 4-bit Shift Register

14. Schematic and Symbol of 4-bit up counter.

Note: Any TWELVE of the above experiments are to be conducted.

***

31

Page 33: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

EMBEDDED SYSTEMS LAB

(Elective-I)

Course Code: 19EC2256 L P C

0 3 1.5

Prerequisite: Microcontrollers, C Programming skills

Course Outcomes: At the end of the course the student will be able to

CO1: Explain the control of LED intensity using C program

CO2: Demonstrate low speed external UART communication

CO3: Illustrate ADC operations

CO4: Test on board LCD for various operations

CO5: Examine the DAC operations with I2C/SPI interface

List of Experiments:

1. Perform basic Arithmetic operations

2. Control intensity of an LED using PWM implemented in software and hardware.

3. Control seven segment display.

4. Control UART for sending and receiving messages.

5. Control DC motor/ Stepper motor

6. Control LCD

7. ADC channel testing with a rotary Potentiometer

8. Control an LED using switch by polling method.

9. Test SPI/I2C interface

10. Control DAC

11. Control Timer

12. Task switching Semaphore

13. Design Pulse Width Modulation

14. Sine wave generator using look-up table method

Note: Any TWELVE of the above experiments are to be conducted.

***

32

Page 34: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

RESEARCH METHODOLOGY & IPR

Course Code: 19HM2101 L P C

2 0 2

Course Outcomes: At the end of the course the student will be able to CO1: Illustrate research problem formulation.

CO2: Analyse research related information and research ethics

CO3: Summarise the present day scenario controlled and monitored by Computer and Information Technology, where the future world will be ruled by dynamic ideas,concept, creativity and innovation. CO4: Explain how IPR would take such an important place in growth of individuals & nation, to summarise the need of information about Intellectual Property Right to be promoted among student community in general & engineering in particular. CO5: Relatethat IPR protection provides an incentive to inventors for further research work and investment in R & D, which leads to creation of new and better products, and in turn brings about economic growth and social benefits.

Unit I: 8 Lectures Research Methodology: An Introduction Meaning of research problem, Sources of research problem, Criteria and Characteristics of a good research problem, Errors in selecting a research problem, Scope and objectives of research problem. Approaches of investigation of solutions for research problem, data collection, analysis, interpretation, Necessary instrumentations.

Learning outcomes: At the end of this unit, the student will be able to

1. Explain the scope and objectives of a research problem (L2) 2. List out criteria and characteristics of a good research problem(L1) 3. Summarize the approaches of investigation of solutions for a research problem (L2)

Unit II: 6 Lectures Literature Survey and Ethics Effective literature studies approaches, analysis Plagiarism, Research ethics.

33

Page 35: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

Learning outcomes: At the end of this unit, the student will be able to

1. Outline the Literature study approaches (L2) 2. Adapt Research ethics in professional life (L6) 3. Explain legal compliances of Plagiarism (L2)

Unit III: 6 Lectures Interpretation and Report Writing Effective technical writing, how to write a report, Paper Developing a Research Proposal, Format of research proposal, presentation and assessment by a review committee. Learning outcomes: At the end of this unit, the student will be able to

1. Demonstrate technical report writing (L2) 2. Develop research paper writing skills (L3) 3. Develop Power Point Presentation skills (L3)

Unit IV: 8 Lectures Intellectual Property Rights and Patents Nature of Intellectual Property: Patents, Designs, Trade and Copyrights. Process of Patenting and Development: technological research, innovation, patenting, development. International Scenario: International cooperation on Intellectual Property, Procedure for grants of patents, Patenting under PCT

Learning outcomes: At the end of this unit, the student will be able to

1. Explain Intellectual Property Rights and differentiate amongPatents, Designs, Trade Marks and Copyrights (L2)

2. Outline the process of patenting and development (L2) 3. Explain the procedure for granting patent (L2)

Unit V: 6 Lectures Intellectual Patent Rights and Developments Scope of Patent Rights. Licensing and transfer of technology, Patent information and databases, Geographical Indications.New Developments in IPR: Administration of Patent System, New developments in IPR; IPR of Biological Systems, Computer Software etc. Traditional knowledge, Case Studies, IPR and IITs / NITs/ IIITs.

Learning outcomes: At the end of this unit, the student will be able to

1. Explain patent right and its scope (L2) 2. Make use ofPatent information and databases (L3) 3. Discover the new developments in IPR (L4)

34

Page 36: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

Text Books

1. C.R.Kothari, “Research Methodology” , 3 rd Edition, New Age International, 2017. 2. Ranjit Kumar, “Research Methodology – A Step by Step for Beginner’s” , 2 nd Edition,

Pearson, Education, 2016. 3. T. Ramappa, “ Intellectual Property Rights Under WTO” , 2 nd Edition, S Chand, 2015 4. Kompal Bansal &Par shit Bansal,“ Fundamentals of IPR for Beginner’s ”, 1 st Edition, BS

Publications, 2016.

References

1. Mark Saunders, Philip Levis, Adrain Thornbill, “ Research Methods for Business Students ”, 3 rd Edition (Reprint), Pearson Education, 2013.

2. KVS Sharma, “ Statistics made simple, Do it yourself ”, 2 nd Edition (Reprint), Prentice Hall, 2010.

35

Page 37: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

VLSI DESIGN VERIFICATION AND TESTING

Course Code: 19EC2205 L P C

3 0 3 Prerequisites: Digital Design through Verilog Course Outcomes: At the end of the course the student will be able to CO1: Outline the concepts of verification methodologies. CO2: Explain the concepts of various data types CO3: Develop test bench environment for Design under Test CO4: Summarize the System Verilog assertions CO5: Describe the applications of randomization techniques UNIT-I 10 Lectures Verification guidelines Verification Process, Basic Test bench functionality, directed testing, Methodology basics, Constrained-Random stimulus, Functional coverage, Test bench Components Layered test bench, Building layered test bench, Simulation environment phases, Maximum code reuse, Test bench performance. Learning outcomes: At the end of this unit, the student will be able to

1. Discuss basic test bench functionality (L2) 2. Compare directed and random test cases (L5) 3. Analyze test-bench performance (L4)

UNIT-II 10 Lectures Data types Built-in data types, Fixed-size arrays, Dynamic arrays, Queues, Associative Arrays, Linked lists, Array methods, choosing a storage type, creating new types with typedef, Creating user-defined structures, Type conversion, Enumerated types, Constants, strings, expression width. Learning outcomes: At the end of this unit, the student will be able to 1. Describe array concepts (L2) 2. Discuss array methods (L2) 3. Interpret Enumerated data types (L2)

36

Page 38: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

UNIT-III 10 Lectures Procedural statements and routines Procedural statements, tasks, functions and void Functions, Routine arguments, returning from a routine, local data storage, Time values Connecting the test bench and design: Separating the test bench and design, Interface constructs, Stimulus timing, Interface driving and sampling, connecting it all together, Top-level scope, Program – Module interactions. Learning outcomes: At the end of this unit, the student will be able to 1. Differentiate task and functions (L2) 2. Develop test bench environment (L6) 3. Describe Top-level scopes (L2)

UNIT-IV 10 Lectures System Verilog Assertions Basic OOP: Introduction, first class, define a class, OOP terminology, Creating new objects, Object de-allocation, Using objects, Static variables vs. Global variables, Class routines, Defining routines, outside of the class, Scoping rules, Using one class inside another, Understanding dynamic objects, Copying objects, Public vs. private, Straying off course, building a test bench. Learning outcomes: At the end of this unit, the student will be able to

1. Discuss basic OOP terminology (L2) 2. Illustrate concepts of class methods (L3) 3. Describe dynamic objects (L2)

UNIT-V 10 Lectures Randomization Introduction, randomization, Randomization in SystemVerilog, Constraint details, solution probabilities, Controlling multiple constraint blocks, Valid constraints, In-line constraints, The pre_randomize and post_randomize functions, Constraints tips and techniques, common randomization problems. Learning outcomes: At the end of this unit, the student will be able to

1. Summarize the concept of randomization (L2) 2. Illustrate Randomization in SystemVerilog (L3) 3. Differentiate pre and post randomization techniques (L2)

37

Page 39: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

Text Books Spear, Chris. SystemVerilog for verification: a guide to learning the testbench language features , 2nd Edition Springer Science & Business Media, 2008.

References

1. IEEE 1800-2009 standard (IEEE Standard for SystemVerilog, Unified Hardware Design, Specification, and Verification Language). 2. System Verilog website :www.systemverilog.org 3. OVM, UVM(on top of SV) www.verificationacademy.com

***

38

Page 40: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

ANALOG AND DIGITAL CMOS VLSI DESIGN

Course Code: 19EC2206 L P C

3 0 3

Prerequisites: VLSI Design, Integrated Circuits & Applications.

Course outcomes : At the end of the course the student will be able to CO1: Analyze the depth of designing a Digital IC and use the concept of logical effort for Transistor sizing. CO2: Describe the static and dynamic behavior of CMOS. CO3: Differentiate Static CMOS design and Dynamic CMOS design. CO4: Analyze small signal modeling of single stage MOSFET amplifiers with current mirrors. CO5: Design two stage CMOS operational amplifiers and Illustrate advanced current mirrors. UNIT-I 10 Lectures Review of MOS structures Basic MOS structure and its static behavior, Quality metrics of a digital design, Cost, Functionality, Robustness, Power and Delay, Stick diagram and Layout, Wire delay models. Inverter: Static CMOS inverter, Switching threshold and noise margin concepts and their Evaluation, Dynamic behavior, Power consumption. Learning outcomes: At the end of this unit, the student will be able to

1. Discuss basic MOS structure and quality metrics of digital design (L2) 2. Design of an inverter with noise margin evaluation (L6) 3. Design stick diagrams and layouts (L6)

UNIT-II 10 Lectures Physical design flow Floor planning, Placement, Routing, CTS. Combinational logic: Static CMOS design, Logic effort, Ratioed logic, Pass transistor logic, Dynamic logic, Speed and power dissipation in dynamic logic, Cascading dynamic gates, CMOS transmission gate logic. Learning outcomes: At the end of this unit, the student will be able to 1. Discuss the physical design flow (L2) 2. Analyze working of different CMOS Logics (L4) 3. Design CMOS transmission logic gate circuits (L6)

39

Page 41: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

UNIT-III 10 Lectures Sequential logic Static latches and registers, Bi-stability principle, MUX based latches, Static SR flip-flops, Master-slave edge-triggered register, Dynamic latches and registers, Concept of pipelining, Pulse registers, and Non-bistable sequential circuit. Learning outcomes: At the end of this unit, the student will be able to 1. Build sequential logic circuits (L6) 2. Design dynamic latches and registers with pipelining (L6) 3. Discuss Non-bistable sequential circuit (L2)

UNIT-IV 8 Lectures Current mirrors and OP-Amps Basic current mirrors, Cascade mirrors, Active current mirrors. Frequency response of CS stage: Source follower, Common gate stage, Cascade stage and difference pair, Noise. Operational amplifiers: One stage OPAMP, Two stage OPAMP, Gain boosting, Common mode feedback, Slew rate, PSRR. Learning outcomes: At the end of this unit, the student will be able to 1. Design and develop different types of current mirrors (L6) 2. Describe CMOS Op-Amp Operation (L2) 3. Discuss the compensation techniques for Op-Amp (L2) UNIT-V 12 Lectures Design of Amplifiers CS stage with resistance load, Divide connected load, Current source load, Triode load, CS stage with source degeneration, Source follower, Common gate stage, Cascade stage, Choice of device models. Differential Amplifiers: Basic difference pair, Common mode response, Differential pair with MOS loads, Gilbert cell. Learning outcomes: At the end of this unit, the student will be able to 1. Develop different types of single stage amplifiers(L6) 2. Design cascade stage and analyze its performance (L6) 3. Analyze different types of Differential amplifiers (L4) Text Books 1. Rabaey, Jan M., Anantha P. Chandrakasan, and Borivoje Nikolic. Digital integrated

circuits . 2nd Edition. . Englewood Cliffs: Prentice hall, 2002. 2. Behzad Razavi, Design of Analog CMOS Integrated Circuits , TMH, 2007.

40

Page 42: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

3. D. A. John& Ken Martin, Analog Integrated Circuit Design , John Wiley, 1997. References

1. Allen, Phillip E., and Douglas R. Holberg. CMOS analog circuit design , 3rd Edition, Elsevier, 2011. .

2. Leblebici, Yusuf. CMOS digital integrated circuits: analysis and design . 3rdEdition., McGraw-Hill College, 1996.

3. Baker, R. Jacob. CMOS: circuit design, layout, and simulation . Wiley-IEEE press, 2019.

***

41

Page 43: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

COMMUNICATION BUSES AND INTERFACES

Course Code: 19EC2207 L P C 3 0 3

Prerequisites: Microcontrollers and Interfacing

Course Outcomes: At the end of the course the student will be able to

CO1: Select Low speed Serial buses for various applications

CO2: Demonstrate Low speed serial buses Configuration

CO3: Interpret Automotive Bus Frame structure

CO4: Analyze USB Descriptors

CO5: Describe high speed PCIe bus configuration space

UNIT-I 10 Lectures

Low speed serial bus architecture

Serial Buses RS232, I2C, SPI Features, Frame structure, Control signals, Limitations.

Learning outcomes: At the end of this unit, the student will be able to

1. Explain Low speed serial bus Features (L2) 2. Differentiate I2C, SPI Protocols (L2) 3. Design RS232 based system (L6)

UNIT-II 10 Lectures

Low speed serial bus physical interface

Serial Buses RS232, RS485, I2C, SPI, Physical Interface, Configuration and applications

Learning outcomes: At the end of this unit, the student will be able to

1. Describe Physical Interface (L2) 2. Differentiate RS232, SPI Physical Interface (L2) 3. Compare I2C, SPI Applications (L5)

UNIT-III 10 Lectures

CAN Architecture

Features, Architecture, Frame structure, Physical Interface, Data transmission, Applications.

Learning outcomes: At the end of this unit, the student will be able to

1. Explain CAN Architecture (L2)

42

Page 44: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

2. Describe CAN Frame Structure (L2) 3. Compare I2C and SPI Applications (L5)

UNIT-IV 10 Lectures

USB Architecture

Transfer types, Enumeration, Descriptor types and contents, Device driver.

Learning outcomes: At the end of this unit, the student will be able to

1. Explain USB Data control Frame Transfer (L2) 2. Describe USB Enumeration (L2) 3. Illustrate Descriptors (L3)

UNIT-V 10 Lectures PCIe Architecture

Revisions, Features, Configuration space, Hardware protocols, Applications.

Learning outcomes: At the end of this unit, the student will be able to

1. Explain PCIe Configuration space (L2) 2. Describe PCIe Protocol (L2) 3. Discuss PCIe Enumeration (L2)

Text Books

1. Axelson, J. Serial Port Complete: COM Ports, USB Virtual COM Ports, and Ports for Embedded Systems, ser, 2nd Edition, Complete Guides Series. Lakeview Research 2007.

2. Axelson, Jan. USB complete . Lakeview Research, 2015. 3. Mike Jackson, Ravi Budruk, “PCI Express Technology”, Mindshare Press 4. Wilfried Voss, A Comprehensible Guide to Controller Area Network, Copperhill

Media Corporation, 2 nd Edition, 2005.

References

1. Serial Front Panel Draft Standard VITA 17.1 – 200x 2. Technical references on www.can-cia.org, www.pcisig.com, www.usb.org

***

43

Page 45: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

PHYSICAL DESIGN AUTOMATION (Elective-III)

Course Code: 19EC2257 L P C

3 0 3 Prerequisites: VLSI Design, CAD of digital Systems

Course outcomes: At the end of the course the student will be able to CO1: Describe the automation process for VLSI System design. CO2: Explain the fundamentals of physical design. CO3: Develop and enhance the existing algorithms and computational techniques for Physical design CO4: Design process of VLSI systems. CO5: Illustrate various Routing process and algorithms UNIT-I 10 Lectures VLSI Physical Design Automation VLSI design cycle, new trends in VLSI design cycle, Physical design cycle, Design styles, System packing styles- Die packing and attachment styles. Learning outcomes: At the end of this unit, the student will be able to 1. Describe the concept of VLSI Physical Design Automation (L2)

2. Illustrate new trends in VLSI design cycle (L3) 3. Discuss System packing styles(L2)

UNIT-II 10 Lectures Layout compaction Standard cell layout, Layout compaction, Design rules, symbolic layout, problem formulation, Algorithms for constraint-graph compaction, Learning outcomes: At the end of this unit, the student will be able to

1. Summarize the concept of Standard cell layout (L2) 2. Demonstrate Layout compaction and Design rules (L3) 3. Differentiate different Algorithms for constraint-graph compaction (L2)

44

Page 46: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

UNIT-III 10 Lectures Discrete methods in global placement Design style placement problems; Classification of placement algorithms, Simulation based placement algorithms and Partitioning based placement algorithms. Timing-driven placement. Learning outcomes: At the end of this unit, the student will be able to 1. Describe methods in global placement (L2) 2. Discuss Design style placement problems (L2) 3. Illustrate Partitioning based placement algorithms (L3)

UNIT-IV 10 Lectures Global Routing Design Style specific global routing problems, classification of global routing algorithms, Maze routing algorithms, Line-Probe algorithms. Via Minimization- Constrained and unconstrained via minimizations. Learning outcomes: At the end of this unit, the student will be able to 1. Summarize the concept of Global Routing(L2) 2. Illustrate new trends in global routing algorithms (L3) 3. Describe Via Minimization (L2) UNIT-V 10 Lectures Cell Routing Single layer and two-layer routing, Clock Routing : clocking schemes, Design consideration for the clocking systems, problem formulation, Clock routing algorithms, Skew and delay reduction by pin assignment, multiple clock routing. Power Routing, Compaction algorithms- Design style specific compaction problem, classification of compaction algorithms, one-dimension compaction. Learning outcomes: At the end of this unit, the student will be able to

1. Discuss the concept of Cell Routing (L2) 2. Interpret Design consideration for the clocking systems (L2) 3. Differentiate compaction algorithms (L2)

Text Books

1. S. H. Gerez, Algorithms for VLSI Design Automation , WILEY student edition, John wiley & Sons (Asia) Pvt. Ltd. 1999.

2. Naveed Sherwani, Algorithms for VLSI Physical Design Automation , Springer International Edition 3 rd edition, 2005

45

Page 47: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

References

1. Hill &Peterson, Computer Aided Logical Design with Emphasis on VLSI , John Wiley, 1993.

2. Wayne Wolf, Modern VLSI Design: Systems on silicon , Pearson Education Asia, 2 nd Edition,1998.

***

46

Page 48: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

MEMORY TECHNOLOGIES

(Elective-III)

Course Code: 19EC2258 L P C

3 0 3 Prerequisites: Digital Logic Design, VLSI Design

Course Outcomes: At the end of the course, the student will be able to: CO1: Summarize Static Random Access Memory Technologies. CO2: Outline the concepts of dynamic random access memory technologies. CO3: Demonstrate various nonvolatile memories CO4: Illustrate Memory Reliability and Radiation Effects CO5: Describe advanced memory technologies UNIT-I 10 Lectures Static RAM Technologies Static Random Access Memories (SRAMs), SRAM Cell Structures, MOS SRAM Architecture, MOS SRAM Cell and Peripheral Circuit, Bipolar SRAM, Advanced SRAM Architectures, Application Specific SRAMs. Learning outcomes: At the end of this unit, the student will be able to

1. Describe the operation of SRAMs (L2) 2. Analyze the working of SRAM Cell and peripheral circuit (L4) 3. Illustrate Advanced SRAM architectures (L3)

UNIT-II 10 Lectures Dynamic RAM Technologies DRAMs, MOS DRAM Cell, Bi-CMOS DRAM, Error Failures in DRAM, Advanced DRAM Design and Architecture, Application Specific DRAMs. SRAM and DRAM Memory controllers. Learning outcomes: At the end of this unit, the student will be able to

1. Discuss the operation of DRAMs (L2) 2. Demonstrate the working of BiCMOS DRAM (L3) 3. Develop DRAM Memory controllers (L6)

47

Page 49: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

UNIT-III 10 Lectures Non-Volatile Memories Masked ROMs, PROMs, Bipolar & CMOS PROM, EEPROMs, Floating Gate EPROM Cell, OTP EPROM, EEPROMs, Non-volatile SRAM, Flash Memories. Learning outcomes: At the end of this unit, the student will be able to

1. Describe the operation of Non-Volatile Memories (L2) 2. Evaluate the working of Floating Gate EPROM Cell (L5) 3. Develop Non-volatile SRAM and Flash RAMs (L6)

UNIT-IV 10 Lectures Memory Reliability and Radiation Effects General Reliability Issues, RAM Failure Modes and Mechanism, Nonvolatile Memory, Radiation Effects, SEP, Radiation Hardening Techniques. Process and Design Issues, Radiation Hardened Memory Characteristics, Radiation Hardness Assurance and Testing. Learning outcomes: At the end of this unit, the student will be able to

1. Describe the importance of Memory Reliability and Radiation Effects (L2) 2. Summarize RAM Failure Modes and Mechanism (L2) 3. Demonstrate Radiation Hardness Assurance and Testing (L3)

UNIT-V 10 Lectures Advanced Memory Technologies Introduction to memory technologies, High-density Memory Packing Technologies, Ferroelectric Random Access Memories (FRAMs), Gallium Arsenide (GaAs) FRAMs, AnalogMemories, Magneto Resistive Random Access Memories (MRAMs), Experimental Memory Devices. Learning outcomes: At the end of this unit, the student will be able to

1. Describe advanced memory technologies (L2) 2. Identify Analog Memories (L2) 3. Demonstrate Experimental Memory Devices (L3)

Text Books

Ashok K Sharma, Advanced Semiconductor Memories: Architectures, Designs and Applications ”, Wiley.

48

Page 50: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

References 1. Kiyoo Itoh, VLSI memory chip design , Springer International Edition 2. Ashok K Sharma, Semiconductor Memories: Technology, Testing and Reliability , PHI.

***

49

Page 51: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

SoC DESIGN

(Elective-III)

Course Code: 19EC2259 L P C

3 0 3 Prerequisites: Embedded Systems, Real Time Operating Systems

Course Outcomes: At the end of the course, the student will be able to: CO1: Illustrate SoC based design. CO2: Describe the NISC modelling CO3: Differentiate simulation models CO4: Analyze low power concepts CO5: Apply synthesis optimization techniques UNIT-I 10 Lectures ASIC design methodologies Overview of ASIC types, design strategies, CISC, RISC and NISC approaches for SOC, Architectural issues and its impact on SoC design methodologies, Application Specific Instruction Processor (ASIP) concepts. Learning outcomes: At the end of this unit, the student will be able to

1. Explain ASIC design strategies (L2) 2. Differentiate RISC and NISC features (L2) 3. Discuss SOC architectural issues (L2)

UNIT-II 10 Lectures NISC design flow NISC Control Words methodology, NISC Applications and Advantages, Architecture Description Languages (ADL) for design and verification of Application Specific Instruction set Processors (ASIP), No-Instruction-Set-computer (NISC) - design flow, modeling NISC Architectures and systems. Learning outcomes: At the end of this unit, the student will be able to

1. Discuss NISC applications (L2) 2. Describe ADL for ASIP (L2) 3. Explain NISC modeling (L2)

50

Page 52: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

UNIT-III 10 Lectures SoC simulation methodology Simulation: Different simulation modes, behavioral, functional, static timing, gate level, switch level, transistor/circuit simulation, design of verification vectors, Reconfigurable systems, SoC related modeling of data path design and control logic, Minimization of interconnects impact. Learning outcomes: At the end of this unit, the student will be able to

1. Differentiate simulation models (L2) 2. Discuss verification vectors (L2) 3. Differentiate data path and control path (L2)

UNIT-IV 10 Lectures Low power SoC design Digital system, Design synergy, Low power system perspective- power gating, clock gating, adaptive voltage scaling (AVS), Static voltage scaling, Dynamic clock frequency and voltage scaling (DCFS), building block optimization, power down techniques. Learning outcomes: At the end of this unit, the student will be able to

1. Differentiate power gating and clock gating (L2) 2. Analyze voltage scaling for low power SoC (L4) 3. Discuss DCFS techniques (L2)

UNIT-V 10 Lectures Synthesis Role and Concept of graph theory and its relevance to synthesizable constructs, Walks, trails paths, connectivity, components, mapping/visualization, nodal and admittance graph. Technology independent and technology dependent approaches for synthesis, optimization, Constraints, Synthesis report analysis single core systems, HDL coding techniques for minimization of power consumption. Learning outcomes: At the end of this unit, the student will be able to

1. Discuss graph theory for synthesis constructs (L2) 2. Explain technology independent synthesis (L2) 3. Design HDL coding power minimization (L6)

Text Book

Hubert Kaeslin, Digital Integrated Circuit Design: From VLSI Architectures to CMOS Fabrication , Cambridge University Press, 2008.

51

Page 53: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

References 1. B. Al Hashimi, System on chip-Next generation electronics , The IET, 2006 2. Rochit Rajsuman, System-on- a-chip: Design and test , Advantest America R & D Center, 2000. 3. P Mishra and N Dutt, Processor Description Languages , Morgan Kaufmann, 2008 4. Michael J. Flynn and Wayne Luk, Computer System Design: System-on-Chip . Wiley, 2011

***

52

Page 54: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

BIOMEDICAL SIGNAL PROCESSING (Elective-III)

Course Code: 19EC2159 L P C

3 0 3 Prerequisites: Digital Signal Processing Course Outcomes: At the end of this course, the student will be able to CO1: Understand different types of biomedical signals. CO2: Understand the acquisition of various biomedical signals. CO3: Analyze the non-stationary biomedical signals in spectral domain using wavelet transform. CO4: Analyze the chaotic signals using various orthogonal transformation techniques. CO5: Understand biomedical signal classification using pattern recognition techniques.

UNIT-I 10 Lectures Introduction to Biomedical Signal Processing Acquisition, Generation of Bio-signals, Origin of bio-signals, Types of bio-signals, Study of diagnostically significant bio-signal parameters. Learning outcomes: At the end of this unit, the student will be able to

1. Understand the concept of action potential. (L3) 2. Able to identify the important epochs in various biomedical signals such as ECG, EEG.

(L4) 3. Capacity to describe the objectives of biomedical signal processing. (L3)

UNIT-II 10 Lectures Electrodes for bio-physiological sensing and conditioning Electrode-electrolyte interface, polarization, electrode skin interface and motion artefact, biomaterial used for electrode, Types of electrodes (body surface, internal, array of electrodes, microelectrodes), Practical aspects of using electrodes, Acquisition of bio-signals (signal conditioning) and Signal conversion (ADC’s DAC’s) Processing. Learning outcomes: At the end of this unit, the student will be able to

1. Distinguish different electrodes used in signal acquisition. (L4) 2. Describe various artefacts and its causes. (L3) 3. Capacity to identify the effect of instrumentation or the procedure on the system. (L3)

53

Page 55: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

UNIT-III 10 Lectures Transform Techniques Biomedical signal processing by Fourier analysis, Biomedical signal processing by wavelet (time frequency) analysis, Analysis (Computation of signal parameters that are diagnostically significant), Classification of signals and noise, Spectral analysis of deterministic, stationary random signals and non-stationary signals, Learning outcomes: At the end of this unit, the student will be able to

1. Describe, apply and evaluate Fourier transform based method for signal processing. (L4) 2. Able to apply time-frequency domain techniques on non-stationary biomedical signals. (L4) 3. Capacity to describe and analyze stationary and non-stationary biosignals. (L4)

UNIT-IV 10 Lectures Dimensionality reduction techniques Principal component analysis, Correlation and regression, Analysis of chaotic signals Application areas of Bio–Signals analysis Multiresolution analysis(MRA) and wavelets, Principal component analysis(PCA), Independent component analysis(ICA) Learning outcomes: At the end of this unit, the student will be able to

1. Understand the concept of correlation. (L2) 2. Understand the effect of noise correlated with desired biosignals. (L2) 3. Demonstrate the ability to apply various orthogonality transformation techniques such as PCA and ICA to separate correlated noise from the biomedical signals. (L4)

UNIT-V 10 Lectures Pattern classification supervised and unsupervised classification, Neural networks, Support vector Machines, Hidden Markov models. Examples of biomedical signal classification examples. Learning outcomes: At the end of this unit, the student will be able to

1. Understand the significance of pattern recognition techniques. (L2) 2. Classify supervised and unsupervised learning methods. (L4) 3. Apply pattern recognition techniques to classify biomedical signals for diagnosis

purpose. (L3)

54

Page 56: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

Textbooks 1. R.M.Rangayyan Biomedical Signal analysis: A Case study approach , IEEE press, John

Wiley & Sons. Inc, 2002. 2. C. Raja Rao, SK Guha, Principles of Medical Electronics and Biomedical instrumentation, Universities Press, 2001.

References

1. W. J. Tompkins, Biomedical Digital Signal Processing , Prentice Hall, 1993. 2. Eugene N Bruce, Biomedical Signal Processing and Signal Modeling , John Wiley &

Son’s publication, 2001. 3. D C Reddy, Biomedical Signal Processing , McGraw Hill, 2005.

4. Duda, R. O., Hart, P.E. and Stork, D.G., Pattern classification, JohnWiley & sons, 2012.

***

55

Page 57: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

LOW POWER VLSI DESIGN

(Elective-IV)

Course Code: 19EC2260 L P C

3 0 3 Prerequisites: Electronic Devices and Circuits, VLSI Design. Course Outcomes: At the end of the course, the student will be able to: CO1: Describe the sources of power dissipation in digital IC systems & understand the impact of power on system performance and reliability. CO2: Explain the different approaches of Low power design at circuit level CO3: Summarize the different approaches of low power clock distribution. CO4: Model power consumption & understand the basic analysis Methods. CO5: Discuss the Low-Power Memories and Basics of DRAM. UNIT-I 12 Lectures Technology & Circuit Design Levels Sources of power dissipation in digital ICs, Degree of freedom, Recurring themes in low-power, Emerging low power approaches, Dynamic dissipation in CMOS, effects of V dd and V t on speed, Constraints on V t reduction, Transistor sizing and optimal gate oxide thickness, Impact of technology scaling, Technology innovations. Learning outcomes: At the end of this unit, the student will be able to

1. Discuss the different sources of power dissipation in digital ICs.(L2) 2. Analyze the effects of V dd and V t on speed. (L4) 3. Describe the impact of technology scaling, technology innovations.(L2)

UNIT-II 8 Lectures Low Power Circuit Techniques Power consumption in circuits, flip-flops and latches, high capacitance nodes. Energy recovery CMOS: Reversible pipelines, high performance approaches. Learning outcomes: At the end of this unit, the student will be able to

1. Summarize different types of low power circuit techniques .(L2) 2. Describe the Power consumption in flip-flops & latches.(L2) 3. Demonstrate the types of high performance energy recovery CMOS approaches.(L3)

56

Page 58: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

UNIT-III 10 Lectures Low Power Clock Distribution Power dissipation in clock distribution, Single driver versus distributed buffers, Buffers and device sizing under process variations, Zero skew Vs. Tolerable skew, Chip and package co-design of clock network. Learning outcomes: At the end of this unit, the student will be able to 1. Describe the power dissipation in clock distribution (L2) 2. Illustrate buffers and device sizing under process variations (L3) 3. Summarize the tolerable skew, chip and package co-design of clock network (L2) UNIT IV 10 Lectures Low power estimation techniques Logic Synthesis for Low Power: Power Estimation Techniques, Power minimization techniques. Low power arithmetic components: Circuit design styles, Adders and Multipliers. Learning outcomes: At the end of this unit, the student will be able to

1. Analyze the logic synthesis for low power estimation techniques(L4) 2. Demonstrate the types of power minimization techniques (L3) 3. Illustrate Low power arithmetic components- circuit design styles, adders,

multipliers.(L3) UNIT V 10 Lectures Low Power Memory Design Sources and Reduction of Power Dissipation in Memory subsystem, Sources of power dissipation in DRAM and SRAM, Low power DRAM circuits, Low power SRAM Circuits. Learning outcomes: At the end of this unit, the student will be able to

1. Describe the sources and reduction of power dissipation in memory subsystem (L2) 2. Explain the concepts of Low-Power Memories (L2) 3. Discuss the sources of power dissipation in DRAM and SRAM (L2)

57

Page 59: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

Text Books 1. P. Rashinkar, Paterson and L. Singh, Low Power Design Methodologies , Kluwer Academic, 2002 2. Sung-Mo Kang, Yusuf Leblebici, CMOS Digital Integrated Circuits – Analysis and Design , TMH, 2011.

References 1. Kaushik Roy, Sharat Prasad, Low power CMOS VLSI circuit design , John Wiley sons Inc., 2000. 2. J. B. Kulo and J.H Lou, Low voltage CMOS VLSI Circuits , Wiley, 1999. 3. A. P. Chandrasekaran and R. W. Broadersen, Low power digital CMOS Design , Kluwer, 1995 4. Kiat-Seng Yeo, Kaushik Roy, Low-Voltage, Low-Power VLSI Subsystems , TMH Professional Engineering.

***

58

Page 60: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

INTERNET OF THINGS AND APPLICATIONS (Elective-IV)

Course Code: 19EC2261 L P C

3 0 3

Prerequisites: Microcontrollers and Interfacing, C Programming Course Outcomes: At the end of the course the student will be able to CO1: Understand the fundamentals and application areas of IoT. CO2: Illustrate the differences between IoT and M2M. CO3: Discuss file handling, date operations, classes. CO4: Build basic IoT applications using Raspberry Pi board. CO5: Develop IoT infrastructure for smart application UNIT-I 10 Lectures Introduction to IoT Introduction to Internet of Things, Physical Design of IoT, Logical Design of IoT, IoT Enabling Technologies, IoT Levels and deployment templates. Learning outcomes: At the end of this unit, the student will be able to

1. Analyze physical and logical design of IoT. (L4) 2. Understand the levels of IoT. (L2) 3. Analyze the development templates. (L4)

UNIT-II 10 Lectures M2M to IoT Introduction, M2M, difference between IoT and M2M, SDN and NFV for IoT. Sensors, Participatory sensing, RFIDs, and Wireless Sensor Networks: Sensor technology, participatory sensing, industrial IoT, automotive IoT, actuator, sensor data communication protocols, radio frequency identification technology, wireless sensor networks technology. Learning outcomes: At the end of this unit, the student will be able to

1. Understand the difference between M2M and IoT. (L2) 2. Analyze SDN and NFV for IoT. (L4) 3. Analyze wireless sensor networks for IoT. (L4)

59

Page 61: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

UNIT-III 10 Lectures IoT platforms design methodology IoT design methodology, motivation for using python, python data types & data structures, control flow, functions, modules, packages, file handling, date/time operations, classes, python packages of interest for IoT. Learning outcomes: At the end of this unit, the student will be able to

1. Understand the steps for design methodology in IoT. (L2) 2. Analyze the basic programs in python for IoT applications. (L4) 3. Analyze the classes used in python programming. (L4)

UNIT-IV 10 Lectures IoT physical devices & endpoints Block diagram of basic IoT device, Raspberry pi, Linux on Raspberry pi, interfaces, programming Raspberry pi with python, other IoT devices. Learning outcomes: At the end of this unit, the student will be able to

1. Understand the basic requirements for IoT device. (L2) 2. Analyze the features of Raspberry pi board. (L4) 3. Apply python programming for raspberry pi board-interfaces. (L3)

UNIT-V 10 Lectures IoT Physical Servers and Cloud Offerings Introduction to cloud storage models and communication APIs, WAMP – AutoBahn for IoT, Xively, cloud for IoT, Amazon Web services for IoT, case studies illustrating IoT design – home automation, smart cities, smart environment . Learning outcomes: At the end of this unit, the student will be able to

1. Understand the communication interfaces for IoT. (L2) 2. Analyze different types of clouds for IoT. (L4) 3. Create a home automation application using IoT. (L6)

Text Books 1. Arshdeep Bahga, Vijay Madisetti, Internet of Things – A hands-on approach ,

Universities Press, 2015.

60

Page 62: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

2. 2.Jan Ho¨ ller, Vlasios Tsiatsis , Catherine Mulligan, Stamatis , Karnouskos, Stefan Avesand. David Boyle, From Machine-to-Machine to the Internet of Things- Introduction to a New Age of Intelligence , Elsevier, 2014.(UNIT-II)

3. Raj Kamal, Internet of Things architecture and design principles McGrawHill publications , 2017.

References 1. David Hanes, Gonzalo Salgueiro, Patrick Grossetete, Rob Barton and Jerome Henry,

IoT Fundamentals: Networking Technologies, Protocols and Use Cases for Internet of Things ,Cisco Press, 2017

2. Dieter Uckelmann, Mark Harrison, Michahelles, Florian (Eds), Architecting the Internet of Things , Springer, 2011.

***

61

Page 63: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

DSP ARCHITECTURE (Elective-IV)

Course Code: 19EC2160 L P C

3 0 3 Prerequisites: Digital Signal Processing Course Outcomes: At the end of this course, the student will be able to CO1: Differentiate between DSP processor and General purpose microprocessor. CO2: Understand basic architectural features required in a Digital signal Processor CO3: Understand the use of very large instruction word architecture in achieving high performance through increased instruction parallelism. CO4: Design and implement various signal processing algorithms using 6X series processor. CO5: Design of various blocks of radio receiver using digital hardware.

UNIT-I 10 Lectures Introduction to DSP processors Difference between DSP Processor and General purpose microprocessor architecture. Computational accuracy in DSP implementations: fixed point format, floating point format, sources of error in DSP implementation, A/D conversion errors, DSP computational errors, D/A conversion errors, Basic TMS320 architectures. Learning outcomes: At the end of this unit, the student will be able to

1. Understand the difference between DSP processor and General purpose microprocessor (L2)

2. Analyze the issues that determine the computational accuracy of algorithms.(L3) 3. Analyze the difference between fixed point and floating point formats. (L4)

UNIT-II 10 Lectures Basic architectural features of DSP Devices MAC unit, Barrel shifters, Bus architecture and Memory, Data addressing capabilities, Address generation unit, Programmability and Program execution, Speed issues, Hardware looping, Interrupts, Stacks, Relative support, Pipelining and performance, Pipeline depth, Interlocking, Branching, effects, interrupt effects, Pipeline programming models.

62

Page 64: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

Learning outcomes: At the end of this unit, the student will be able to 1. Understand various building blocks that constitute a programmable digital signal processor from the point of view of implementation. (L2) 2. Analyze the methods to improve the speed of execution from the architectural point of view. (L4) 3. Analyze the performance of pipelining.(L4)

UNIT-III 10 Lectures VLIW architecture TMS320C6X architecture and Instruction set, Pipelining, Addressing modes, Timers, Interrupts, Multichannel Buffered Serial ports, Direct memory access, Code composer studio. Learning outcomes: At the end of this unit, the student will be able to

1. Understand the difference between VLIW architecture and Conventional DSP architecture. (L2)

2. Apply various addressing modes to access memory. (L3) 3. Understand the various peripheral device features. (L2)

UNIT-IV 10 Lectures Implementation of Basic DSP algorithms FIR filter implementation: Band stop & Band pass, Effects of voice using three FIR low pass filters, FIR implementation with pseudorandom noise sequence as input to filter, IIR filter implementation using second order Difference equations, DFT of as sequence of real numbers, FFT of a real time input signal. Learning outcomes: At the end of this unit, the student will be able to

1. Apply and evaluate TMS320C6X application codes. (L3 & L5) 2. Analyze the implementation of FIR, IIR algorithms. (L4) 3. Analyze the FFT of a real time input signal. (L4)

UNIT-V 10 Lectures FPGA based DSP systems Evolution of FPGA based DSP system design, Introduction to FPGA, Design flow, for an FPGA based system design, CAD tools for FPGA based system design, Soft-core processors, FPGA based DSP system design. FPGA's in Telecommunication applications- Coordinate rotation Digital Computer (CORDIC) algorithms and its applications, Case study of an FPGA based Digital receiver.

63

Page 65: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

Learning outcomes: At the end of this unit, the student will be able to

1. Understand some FPGA families and algorithms used for implementation of system on FPGAs. (L2) 2. Apply CORDIC algorithms in communication applications. (L2) 3. Analyze the case study of an FPGA based digital receiver. (L4)

Textbooks 1. Avatar singh and S. Srinivasan, Digital Signal Processing , Thomson Publications,

2004. 2. B.Venkata Ramani and M. Bhaskar, Digital signal Processors, Architecture,

Programming and applications , Tata Mc graw Hill, 2004. 3. Rulph Chassaing, Digital Signal Processing and Applications with the TMS320C6713 and TMS320C6416 DSK , Second edition, John Wiley & Sons, 2011.

References Uwe Meyer-Baese, Digital Signal Processing with Field Programmable Gate Arrays , 4 th edition, Springer Publications, 2014.

***

 

 

64

Page 66: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

WASTE AS A SOURCE OF ENERGY

(Open Elective)

Course Code:19CH21P1 L P C

2 0 2

Course Outcomes: At the end of the course the student will be able to CO1: Differentiate and characterize different waste CO2: Recognize the various waste to energy conversion processes CO3: Explain the various biochemical conversion processes. CO4: Explain the various thermochemical conversion processes. CO5: Explain the various biomass process to energy conversion.

UNIT-I 6 Lectures Characterization and classification of waste as fuel: agro based, forest residues, industrial waste, domestic waste, Municipal solid waste. Learning outcomes: At the end of this unit, the student will be able to

1. Characterization of waste as fuel (L2) 2. Classify waste from different sources (L4) 3. Describe the characteristics of industrial waste (L2)

UNIT-II 7 Lectures Waste to energy options: combustion (unprocessed and processed fuel), gasification, anaerobic digestion, fermentation, pyrolysis.

Learning outcomes: At the end of this unit, the student will be able to

1. Describe the process of converting waste to energy using combustion(L2) 2. Illustrate anaerobic digestion (L3) 3. Explain Gasification. (L2)

UNIT-III 7 Lectures Energy from waste- Bio-chemical Conversion: Anaerobic digestion of sewage and municipal wastes,direct combustion of MSW-refuse derived solid fuel, industrial waste, agro residues, anaerobic digestion, biogas production, land fill gas generation and utilization.

65

Page 67: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

Learning outcomes: At the end of this unit, the student will be able to

1. Describe the process of converting waste to energy using Anaerobic digestion of sewage and municipal waste(L2).

2. Explain the process of bio-gas production from waste. (L2) 3. Describe direct combustion of Municipal Solid Waste(L2)

UNIT-IV 6 Lectures Energy from waste-thermo chemical conversion: Sources of energy generation, incineration, pyrolysis,gasification of waste using gasifiers, briquetting, utilization and advantages of briquetting, environmental and health impacts of incineration; strategies for reducing environmental impacts. Learning outcomes: At the end of this unit, the student will be able to

1. Describe different thermo-chemical conversion of waste to energy (L2) 2. Summarize the environmental and health impacts of incineration (L2) 3. Outline the strategies for reducing environmental impacts thermos-chemical conversion

(L3) UNIT-V 6 Lectures Biomass energy technologies: Biomass characterization (proximate and ultimate analysis); Biomass pyrolysis and gasification; Biofuels – biodiesel, bioethanol, Biobutanol; Algae and biofuels; Hydrolysis & hydrogenation; Solvent extraction of hydrocarbons; Pellets and bricks of biomass; Biomass based thermal power plants; Biomass as boiler fuel.

Learning outcomes: At the end of this unit, the student will be able to 1. Describe different biomass technologies(L2). 2. Explain Biomass characterization(L2) 3. Describe the working of Biomass based thermal power plants (L2)

Text Books:

1. Desai Ashok V., Non Conventional Energy , Wiley Eastern Ltd., 1980. 2. Pichtel John, Waste Management Practices Municipal, Hazardous and Industrial , Taylor

& Francis , 2005. ***

66

Page 68: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

OPERATIONS RESEARCH

(Open Elective)

Course Code: 19ME21P1 L P C

2 0 2

Course Outcomes: At the end of the course, the student will be able to CO1: Formulate a linear programming problem for given problem and solve this problem by using Simplex techniques. CO2: Evaluate sensitivity analysis to the given input data in order to know sensitive of the output. CO3: Apply the concept of non-linear programming for solving the problems involving non-linear constraints and objectives. CO4: Solve deterministic and Probabilistic inventory control models for known and unknown demand of the items. CO5: Apply the dynamic programming to solve problems of discrete and continuous variables. UNIT-I 7 Lectures Optimization techniques, model formulation, models, simplex techniques, inventory control models Learning outcomes: At the end of this unit, the student will be able to

1. C lassify different optimization techniques. (L4) 2. Build a mathematical model for a given problem. (L6) 3. Identify inventory control models for solving given problem. (L1)

UNIT-II 8 Lectures Formulation of a LPP - graphical solution for LPP, revised simplex method - duality theory - dual simplex method - sensitivity analysis - parametric programming

Learning outcomes: At the end of this unit, the student will be able to 1. Formulate a linear programming problem for given problem. (L6) 2. Use simplex method to solve LPP problem. (L3) 3. Apply sensitivity analysis to the given input data in order to know sensitive of the output.

(L3)

67

Page 69: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

UNIT-III 6 Lectures Nonlinear programming problem - Kuhn-Tucker conditions, CPM/PERT

Learning outcomes: At the end of this unit, the student will be able to 1. Develop Kuhn tucker conditions for a solution of linear programming problems. (L6) 2. Choose a PERT technique for planning and control of time for the given project. (L5) 3. Select CPM technique for control of costs and time for the given project. (L5)

UNIT-IV 7 Lectures

single server and multiple server models - deterministic inventory models - probabilistic inventory control models - geometric Programming

Learning outcomes: At the end of this unit, the student will be able to

1. List the order of activities in the operations problem. (L1) 2. Differentiate between single server and multi-server models. (L2) 3. Classify deterministic and probabilistic inventory models. (L4)

UNIT-V 7 Lectures

Single and multi-channel problems , sequencing models, dynamic programming, flow in networks, elementary graph theory, game theory simulation

Learning outcomes: At the end of this unit, the student will be able to 1. Differentiate between single and multi-channel problems. (L2) 2. Select the order of jobs to be processed on the machines. (L5) 3. Judge in taking decisions for conflicting objectives. (L5)

Text Books:

1. Kanthi Swarup, P.K. Gupta and Man Mohan, OperationsResearch , 14 th Edition, Sultan chand and son’s, New Delhi, 2008.

2. S. D. Sharma, Operations Research , Kedar Nath and Ram Nath, Meerut,2008.

Reference Books: 1. H.A. Taha, Operations Research, An Introduction , 7 th Edition, PHI, 2008. 2. J.C. Pant, Introduction to Optimisation: Operations Research ,7 th Edition, Jain Brothers,

Delhi, 2008. 3. Hitler Libermann, Operations Research , McGraw Hill Pub., 2009. 4. Pannerselvam, Operations Research , Prentice Hall of India, 2010.

68

Page 70: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

5. Harvey M Wagner, Principles of Operations Research , Prentice Hall of India, 2010

***

69

Page 71: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

COMPOSITE MATERIALS

(Open Elective)

Course Code: 19ME21P2 L P C

2 0 2

Course Outcomes: At the end of the course, the student will be able to CO1: Explain the advantages and applications of composite materials. CO2: Describe the properties of various reinforcements of composite materials. CO3: Summarize the manufacture of metal matrix, ceramic matrix and C-C composites. CO4: Describe the manufacture of polymer matrix composites. CO5: Formulate the failure theories of composite materials.

UNIT-I 7 Lectures

Introduction: Definition – Classification and characteristics of Composite materials. Applications of composites. Functional requirements of reinforcement and matrix. Effect of reinforcement (size, shape, distribution, volume fraction) on overall composite performance.

Learning outcomes: At the end of this unit, the student will be able to 1. C lassify various types of composite materials. (L4) 2. Describe the applications of composite materials. (L2) 3. Explain the roles of reinforcement and matrix in a composite material. (L2)

UNIT-II 7 Lectures

Reinforcements: Preparation-layup, curing, properties and applications of glass fibers, carbon fibers, Kevlar fibers and Boron fibers. Properties and applications of whiskers, particle reinforcements. Mechanical Behavior of composites: Rule of mixtures, Inverse rule of mixtures. iso-strain and iso-stress conditions.

Learning outcomes: At the end of this unit, the student will be able to 1. Demonstrate the preparation, layup and curing of composites. (L3) 2. Compare characteristics of various reinforcements. (L5) 3. Formulate methods to compute properties of composites. (L6)

UNIT-III 7 Lectures

Manufacturing of Metal Matrix Composites: Casting – Solid State diffusion technique, Cladding – Hot isostatic pressing. Properties and applications. Manufacturing of Ceramic Matrix Composites: Liquid Metal

70

Page 72: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

Infiltration – Liquid phase sintering. Manufacturing of Carbon – Carbon composites: Knitting, Braiding, Weaving. Properties and applications.

Learning outcomes: At the end of this unit, the student will be able to 1. Choose manufacturing methods of metal matrix composites. (L5) 2. Recommend manufacturing methods of ceramic matrix composites. (L5) 3. Describe manufacturing methods of C-C composites. (L2)

UNIT-IV 7 Lectures

Manufacturing of Polymer Matrix Composites: Preparation of Molding compounds and prepregs – hand layup method – Autoclave method – Filament winding method – Compression molding – Reaction injection molding. Properties and applications.

Learning outcomes: At the end of this unit, the student will be able to

1. Explain manufacturing methods of polymer matrix composites. (L2) 2. Choose appropriate manufacturing method to process polymer matrix composites. (L5) 3. Assess properties and applications of polymer matrix composites. (L5)

UNIT-V 7 Lectures

Strength: Laminar Failure Criteria-strength ratio, maximum stress criteria, maximum strain criteria, interacting failure criteria, hygrothermal failure. Laminate first play failure-insight strength; Laminate strength-ply discount truncated maximum strain criterion; strength design using caplet plots; stress concentrations.

Learning outcomes: At the end of this unit, the student will be able to

1. Apply theories for failure of composites. (L3) 2. Evaluate the strength of composite. (L5) 3. Design a composite material for a particular application. (L6)

Text Books: 1. R.W.Cahn, Material Science and Technology – Vol 13 – Composites , West Germany, 1994. 2. WD Callister, Jr., Adapted by R. Balasubramaniam, Materials Science and Engineering ,

John Wiley & Sons, NY, Indian edition, 2007 .

Reference Books: 1. K.K.Chawla, Composite Materials , 3 rd Edition, springer, 2012. 2. Deborah D.L. Chung, Composite Materials Science and Applications , 2 nd Edition, springer,

2010.

71

Page 73: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

VLSI DESIGN VERIFICATION AND TESTING LAB

Course Code: 19EC2208 L P C

0 3 1.5 Prerequisite: VLSI Design Verification and Testing Course Outcomes: At the end of the course the student will be able to: CO1: Develop packet, class, driver, mailbox, and score board for a DUT CO2: Test polymorphism with DUT CO3: Analyze line, code and functional coverage CO4: Examine the assertions for DUT CO5: Develop semaphores for test-bench List of Experiments: 1. Implementation of packet and class for a simple DUT

2. Implementation of driver for a simple DUT

3. Implementation of mailbox for a simple DUT

4. Implementation of scoreboard for a simple DUT

5. Testing of polymorphism with DUT

6. Line and Code coverage of counter

7. Line and Code coverage of FIFO

8. Line and Code coverage of FSM

9. Functional coverage and Code coverage of ALU

10. Functional coverage and Code coverage of UART

11. Assertions for a simple DUT

12. Implementation and testing of semaphore of ALU model

13. Implementation and testing of semaphore for a read/write from a memory

14. Bus Function Model Generation & Testing for a simple DUT

Note: Any TWELVE of the above experiments are to be conducted.

***

72

Page 74: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

ANALOG AND DIGITAL CMOS VLSI DESIGN LAB (Elective-II)

Course Code: 19EC2262 L P C 0 3 1.5

Prerequisite: Analog and Digital CMOS VLSI Design Course Outcomes: At the end of the course the student will be able to: CO1: Analyze VI Characteristics NMOS and PMOS Devices. CO2: Analyze Voltage transfer characteristics of CMOS inverter. CO3: Demonstrate transient and ac analysis of CMOS inverter. CO4: Calculate small signal voltage gain of CS amplifier. CO5: Design the layout of a minimum size inverter. List of Experiments: 1. To the given parameters of a CMOS Process i. Plot I D vs. V GS at different drain voltages for NMOS, PMOS ii. Plot I D vs. V GS at particular drain voltage (low) for NMOS, PMOS and determine Vt. iii. Plot log I D vs. V GS at particular gate voltage (high) for NMOS, PMOS and determine OFF and sub-threshold slope. iv. Plot I D vs. V DS at different gate voltages for NMOS, PMOS and determine Channel Length Modulation factor.

2. To the given parameters of a CMOS Process, Extract Vth of NMOS/PMOS transistors (short channel and long channel).

i. Plot gm vs V GS and obtain peak gm point. ii. Plot y=I D / (gm) 1/2 as a function of VGS. iii. Plot tangent line passing through peak gm point in y (V GS ) plane and determine Vth.

3. To the given parameters of a CMOS Process, Plot I D vs. V DS at different drain voltages for NMOS, PMOS, plot DC load line and calculate gm, gds, gm/gds, and unity gain frequency. Tabulate your result according to technologies and comment on it.

4. To the given parameters of a CMOS Process, Perform the following i. Plot VTC curve for CMOS inverter and thereon plot dVout vs. dVin and determine transition Voltage and gain g. Calculate V IL , V IH , NM H , NM L for the inverter. ii. Plot VTC for CMOS inverter with varying V DD . iii. Plot VTC for CMOS inverter with varying device ratio.

5. Perform transient analysis of CMOS inverter with no load and with load and determine

73

Page 75: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

T PHL , T PLH .

6. Perform AC analysis of CMOS inverter with fanout-0 and fanout-1.

7. Design three stage and five stage ring oscillator circuits and compare their frequencies and time periods.

8. Draw small signal voltage gain of the minimum-size inverter for specified technology as a function of input DC voltage. Determine the small signal voltage gain at the switching point.

9. Consider a simple CS amplifier with active load with NMOS transistor M N as driver and PMOS transistor M P as load, in specified technology to achieve VDSQ=V DD /2. i. Calculate input bias voltage. ii. Obtain the bias current.

10. Consider a simple CS amplifier with active load with NMOS transistor M N as driver and PMOS transistor M P as load, in specified technology. i. Determine small signal voltage gain, -3dB BW and GBW of the amplifier using small signal analysis. ii. Plot step response of the amplifier for input. Derive time constant of the output and compare it with the time constant resulted from -3dB BW iii. Determine input voltage range of the amplifier

11. Consider a simple CD amplifier with active load with NMOS transistor M N as driver and PMOS transistor M P as load, in specified technology to achieve VDSQ=V DD /2. i. Calculate input bias voltage. ii. Obtain the bias current.

12. Consider a simple CD amplifier with active load with NMOS transistor M N as driver and PMOS transistor M P as load, in specified technology. i. Determine small signal voltage gain, -3dB BW and GBW of the amplifier using Small Signal analysis. ii. Plot step response of the amplifier for input. Derive time constant of the output and compare it with the time constant resulted from -3dB BW iii. Determine input voltage range of the amplifier

13. Design a differential amplifier and perform transient and ac analysis for the specified technology.

14. For the specified technology draw the layout of a minimum size inverter. Run DRC, LVS and RC Extraction. Note: Any TWELVE of the above experiments are to be conducted.

***

74

Page 76: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

INTERNET OF THINGS AND APPLICATIONS LAB (Elective-II)

Course Code: 19EC2263 L P C

0 3 1.5 Prerequisite: Internet of Things and Applications Course Outcomes: At the end of the course the student will be able to: CO1: Understand the concepts of python programming. CO2: Design Peripheral interfacing with the Raspberry Pi board. CO3: Demonstrate interface of actuators with the Raspberry Pi board. CO4: Generate text to speech conversion using python libraries. CO5: Describe the storage and retrieval of the data in cloud. List of Experiments: 1. Basics of python programming. (Creating variables, conditional operations, logical operations, data types, If-Else, for loop, while loop).

2. Python string operations (creating, concatenating, conversion numbers to strings and strings to numbers, length of string, position of string, slicing)

3. Python list operations (creating, adding, removing, enumerating a list, sorting a list, slicing).

4. Blinking LED using Python programming by interfacing LED to raspberry pi board.

5. Changing the state of LED by interfacing a push button switch and LED to raspberry pi board.

6. Implementation of traffic light system by interfacing 3 LEDs to raspberry pi board.

7. Interface a 7 segment display to raspberry pi board.

8. Interface a LDR to raspberry pi board to change the intensity of LED.

9. Interface a thermocouple to raspberry pi board to read the temperature of the room.

10. Converting text to speech.

11. Controlling servo motors by interfacing to raspberry pi board.

12. Changing direction of DC motors by interfacing to raspberry pi board.

13. Controlling GPIO’s (changing state of LED) using telegram.

14. Bluetooth controlling GPIO’s in raspberry pi board.

Note: Any TWELVE of the above experiments are to be conducted.

***

75

Page 77: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

ENGLISH FOR RESEARCH PAPER WRITING

Course Code: 19HE21A1 L P C

3 0 0

Course Outcomes: At the end of course the s tudent will be able to CO1: Demonstrate writing meaningful sentences and coherent paragraphs CO2: Show conciseness, clarity and avoid redundancy in writing CO3: Summarize, evaluate literature, and write methodology, results and conclusion CO4: Describe how to develop title, write abstract and introduction CO5: Apply correct style of referencing and use punctuation appropriately

Unit-I: 08 Lectures Planning and preparation, word order & breaking up long sentences, structuring sentences and paragraphs

Learning outcomes: At the end of this unit, the student will be able to 1. Explain planning and preparation required for research communication (L2) 2. Use appropriate word order and write short sentences (L3) 3. Demonstrate writing coherent paragraphs and sentences (L3)

Unit-II: 10 Lectures

Being concise, avoiding redundancy, ambiguity and vagueness, literature survey - highlighting your findings, hedging, paraphrasing and plagiarism Learning outcomes: At the end of this unit, the student will be able to

1. Demonstrate conciseness, clarity and avoid redundancy (L3) 2. Describe the process of literature survey (L2) 3. Paraphrase and avoid plagiarism (L2)

76

Page 78: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

Unit-III: 12 Lectures Sections of a paper – abstract, introduction, etc.review of the literature, writing - methods, results, discussion, conclusions and final check

Learning outcomes: At the end of this unit, the student will be able to

1. Explain how to write abstract and introduction (L2) 2. Describe how to summarize and evaluate literature (L2) 3. Discuss how to write methodology, discussions, results and conclusion(L2)

Unit-IV: 12 Lectures

Writing – Title, Abstract and Introduction, Review of Literatureand Methods

Learning outcomes: At the end of this unit, the student will be able to 1. Demonstrate how to develop title, write abstract and introduction(L3) 2. Summarize and evaluate literature (L2) 3. Show how to write methodology, discussions, results and conclusion(L3)

Unit V: 08 Lectures

Useful phrases and punctuation,in-text citation and bibliography – MLA/APA styles

Learning outcomes: At the end of this unit, the student will be able to 1. Show how to use useful phrases (L3) 2. Demonstrate how to use correct punctuation (L3) 3. Apply correct style(s) of in-text citation and bibliography (L3

Text Books:

1. Adrian Wallwork, “ English for Writing Research Papers ”, Springer New York Dordrecht Heidelberg, London, 2011.

2. Day R. “ How to Write and Publish a Scientific Paper ” Cambridge University Press, 2006. 3. Goldbort R. “ Writing for Science ” Yale University Press, 2006. 4. Highman N. “ Handbook of Writing for the Mathematical Sciences ”, SIAM. Highman’s

book, 1998.

***

77

Page 79: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

CONSTITUTION OF INDIA

Course Code:19HM21A1 L P C

3 0 0

Course Outcomes : At the end of the course, the student will be able to:

CO1: Describe historical background of the constitution making and its importance for building a democratic India. CO2: Explain the functioning of three wings of the government ie., executive, legislative and judiciary. CO3: Explain the value of the fundamental rights and duties for becoming good citizen of India. CO4: Analyse the decentralisation of power between central, state and local self-government. CO5: Apply the knowledge in strengthening of the constitutional institutions like CAG, Election Commission and UPSC for sustaining democracy.

UNIT-I 10 Lectures

Introduction to Indian Constitution: Constitution’ meaning of the term, Indian Constitution - Sources and constitutional history, Features - Citizenship, Preamble, Fundamental Rights and Duties, Directive Principles of State Policy.

Learning outcomes: At the end of this unit, the student will be able to 1. Explain the concept of Indian constitution (L2) 2. Apply the knowledge on directive principle of state policy (L3) 3. Analyse the History, features of Indian constitution (L4)

UNIT-II 10 Lectures

Union Government and its Administration Structure of the Indian Union: Federalism, Centre- State relationship, President: Role, power and position, PM and Council of Ministers, Cabinet and Central Secretariat, Lok Sabha, Rajya Sabha, The Supreme Court and the High Court: Powers and Functions;

Learning outcomes: At the end of this unit, the student will be able to 1. Describe the structure of Indian government (L2) 2. Differentiate between the state and central government (L5) 3. Explain the role of President and Prime Minister (L1)

78

Page 80: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

UNIT-III 10 Lectures

State Government and its Administration Governor - Role and Position - CM and Council of ministers, State Secretariat: Organisation, Structure and Functions

Learning outcomes: At the end of this unit, the student will be able to 1. Describe the structure of state government (L2) 2. Analyse the role Governor and Chief Minister (L4) 3. Explain the role of state Secretariat (L2)

UNIT-IV 10 Lectures

Local Administration - District’s Administration Head - Role and Importance, Municipalities - Mayor and role of Elected Representative - CEO of Municipal Corporation PachayatiRaj: Functions PRI: Zilla Panchayat, Elected officials and their roles, CEO Zila Panchayat: Block level Organizational Hierarchy - (Different departments), Village level - Role of Elected and Appointed officials - Importance of grass-root democracy

Learning outcomes: At the end of this unit, the student will be able to 1. Describe the local Administration (L2) 2. Compare and contrast district administration role and importance (L5) 3. Analyse the role of Myer and elected representatives of Municipalities (L4)

UNIT-V 10 Lectures

Election Commission: Role of Chief Election Commissioner and Election Commission; State Election Commission: Functions of Commissions for the welfare of SC/ST/OBC and women

Learning outcomes: At the end of this unit, the student will be able to 1. Know the role of Election Commission apply knowledge (L1) 2. Contrast and compare the role of Chief Election commissioner and Commissioner (L5) 3. Analyse the role of state election commission (L4)

Text Books:

1. Durga Das Basu, “ Introduction to the Constitution of India ”, Prentice – Hall of India Pvt.Ltd.. New Delhi

79

Page 81: EMBEDDED SYSTEMS VLSI DESIGN AND M.Tech. Programme in Design and Embedded... · Low power VLSI Design 2. Internet of Things and Applications 3. DSP Architecture 3 0 3 2 . M .Te c

M.Tech. in VLSI Design and Embedded Systems

2. Subash Kashyap, “ Indian Constitution ”, National Book Trust 3. J.A. Siwach, “ Dynamics of Indian Government & Politics ” 4. D.C. Gupta, “ Indian Government and Politics ” 5. H.M.Sreevai, “ Constitutional Law of India ”, 4th edition in 3 volumes (Universal Law

Publication) 6. J.C. Johari,” Indian Government andPolitics Hans ” 7. J. Raj “ IndianGovernment and Politics ” 8. M.V. Pylee, “ Indian Constitution DurgaDasBasu, Human Rights in Constitutional Law ”,

Prentice – Hall of India Pvt.Ltd.. New Delhi 9. Noorani, A.G., (South Asia Human Rights Documentation Centre), “ Challenges to Civil

Right), Challenges to Civil Rights Guarantees in India ”, Oxford University Press 2012

E-Resources :

1. nptel.ac.in/courses/109104074/8 2. nptel.ac.in/courses/109104045/ 3. nptel.ac.in/courses/101104065/ 4. www.hss.iitb.ac.in/en/lecture-details 5. www.iitb.ac.in/en/event/2nd-lecture-institute-lecture-series-indian-constitution

***

80