Top Banner
请务必阅读正文之后的免责条款部分 全球视野 本土智慧 行业研究 Page 1 证券研究报告—深度报告 IT 硬件与设备 半导体设备行业专题研究 超配 (维持评级) 2016 08 05 一年该行业与沪深 300 走势比较 0.6 0.7 0.8 0.9 1.0 1.1 A-15 O-15 D-15 F-16 A-16 J-16 IT硬件与设备 沪深300 相关研究报告: 《行业重大事件快评:紫光联合新芯,加速国 家存储器战略落地》 ——2016-07-29 《行业重大事件快评:新能源汽车电子发展中 的十大成就、十大疑虑及相关问题探讨》 2016-07-12 《行业重大事件快评:NB-IOT 标准化工作完 成,助力物联网市场爆发》 ——2016-06-20 《行业重大事件快评:日月光矽品合并,大陆 集成电路再迎机遇》 ——2016-05-30 《行业重大事件快评:日本 4.14 地震对半导 体产业链影响及机会》 ——2016-04-19 证券分析师:刘翔 电话:021-60875160 E- MAIL[email protected] 证券投资咨询执业资格证书编码: S0980515110001 联系人:蓝逸翔 电话:021-60933164 E- MAIL[email protected] 独立性声明: 作者保证报告所采用的数据均来自合规渠道, 分析逻辑基于本人的职业理解,通过合理判断 并得出结论,力求客观、公正,其结论不受其 它任何第三方的授意、影响,特此声明。 行业专题 设备是产业支柱,机遇与挑战并存 半导体设备为集成电路产业根本 半导体设备是产业链上游重要环节,是生产部门不可或缺的生产资料。从半 导体产业链中可以看出,无论是上游设计制造,还是下游封装测试,几乎每 一个产业环节都需要相关设备的投入。半导体设备是下游半导体制造及封装 企业的主要投入,芯片生产线的 70%以上是半导体设备支出。 行业景气,晶圆制造设备前景可期 半导体设备与产业相关性强,BB 值显示已经进入景气期。今年以来,由于下 游需求旺盛,半导体设备订单密集,预计全年将取得出色成绩 。另外,半导 体设备主要集中在制造和封测端, 晶圆制造设备依旧是半导体设备中占绝对 地位的设备,在 2015 年晶圆制造设备销售额达到约 286.7 亿美元,占比达到 78.74%。中国半导体制造设备增长迅速,目前已经达到全球增速最快的市场, 且下游需求良好,前景可期。 中国半导体设备市场存在巨大替代空间 全球制造设备市场为国外厂商占据。2015 年,前五大供应商占市场份额的 76.8%,前十大供应商占据了 93.6%的市场份额,市场集中度高。且十大厂 商中多数为美国和日本企业,国内厂商在这块差距仍然较大。从半导体主要 制造设备看来,光刻机、刻蚀机、化学气相沉积(CVD)市场都为国外厂商 占据。同时,也说明中国半导体设备市场存在巨大替代空间,且由于需求驱 动,主要设备后续需求有望持续,维持良好增长势头。 中国半导体设备面临产业发展机遇 中国半导体设备在发展中面临一些障碍,如技术壁垒、下游巨头的产品认可、 大量的资金需求。但国家政策进一步加大力度,建立产业基金,拉动地方及 社会资金,重点发展关键制造装备,这些障碍有望逐渐被克服。同时,我国 产业链逐渐形成,上游设计端拥有海思、展讯、锐迪科等,下游拥有集成电 路制造大厂中芯国际、华虹宏力等,以及封测端拥有实力企业长电科技、华 天科技、通富微电等。能够响应国家专项的引导,协同发展互利共赢。支持 国产半导体设备,优先采购满足要求的产品。产业面临前所未有的发展机遇。 行业投资机会 三大投资逻辑:1.终端应用产品需求扩张,拉动半导体产业链,带动上游半导 体材料产业扩张。2. 全球半导体产业向中国转移,中国半导体材料市场占比 持续提升。3. 政策利好,产业环境改善。 推荐公司:1. 设备上市稀缺平台七星电子,收购国内刻蚀及淀积龙头北方微 电子,产品已打入中芯国际、三安光电、晶方科技等主流芯片 生产线。推荐 关注企业:1. 刻蚀机引领者中微半导体,中微半导体出口量预计 2014 年能 够占据中国泛半导体设备出口量的 70%以上。 2. 盛美半导体清洗设备拥有自 主技术产权,全球领先。目前已经进入国际一流厂商 SK Hynix 生产线进行批 量生产。3. 上海微电子光刻机深耕 10 年,产品技术逼近世界先进水平,跻 身世界前四行列,正在突破国际厂商的垄断格局。4. 沈阳拓荆 PECVD 薄膜 设备已在中芯国际实现重复订单。 风险提示 经济环境恶化,下游需求低于预期,产业发展缓慢。
32

设备是产业支柱,机遇与挑战并存pg.jrj.com.cn/acc/Res/CN_RES/INDUS/2016/8/5/f9496376-5b...2016/08/05  · 体材料产业扩张。2....

Nov 14, 2020

Download

Documents

dariahiddleston
Welcome message from author
This document is posted to help you gain knowledge. Please leave a comment to let me know what you think about it! Share it to your friends and learn new things together.
Transcript
Page 1: 设备是产业支柱,机遇与挑战并存pg.jrj.com.cn/acc/Res/CN_RES/INDUS/2016/8/5/f9496376-5b...2016/08/05  · 体材料产业扩张。2. 全球半导体产业向中国转移,中国半导体材料市场占比

请务必阅读正文之后的免责条款部分 全球视野 本土智慧

行业研究 Page 1

证券研究报告—深度报告

IT 硬件与设备 [Table_IndustryInfo]

半导体设备行业专题研究 超配

(维持评级)

2016 年 08 月 05日

[Table_BaseInfo] 一年该行业与沪深 300 走势比较

0.6

0.7

0.8

0.9

1.0

1.1

A-15 O-15 D-15 F-16 A-16 J-16

IT硬件与设备 沪深300

相关研究报告: 《行业重大事件快评:紫光联合新芯,加速国家存储器战略落地》 ——2016-07-29 《行业重大事件快评:新能源汽车电子发展中的十大成就、十大疑虑及相关问题探讨》 ——2016-07-12 《行业重大事件快评:NB-IOT 标准化工作完成,助力物联网市场爆发》 ——2016-06-20 《行业重大事件快评:日月光矽品合并,大陆集成电路再迎机遇》 ——2016-05-30 《行业重大事件快评:日本 4.14 地震对半导体产业链影响及机会》 ——2016-04-19

证券分析师:刘翔 电话: 021-60875160

E-MAIL: [email protected]

证券投资咨询执业资格证书编码:S0980515110001

联系人:蓝逸翔 电话: 021-60933164

E-MAIL: [email protected]

独立性声明:

作者保证报告所采用的数据均来自合规渠道,

分析逻辑基于本人的职业理解,通过合理判断

并得出结论,力求客观、公正,其结论不受其

它任何第三方的授意、影响,特此声明。

[Table_Title] 行业专题

设备是产业支柱,机遇与挑战并存

半导体设备为集成电路产业根本

半导体设备是产业链上游重要环节,是生产部门不可或缺的生产资料。从半

导体产业链中可以看出,无论是上游设计制造,还是下游封装测试,几乎每

一个产业环节都需要相关设备的投入。半导体设备是下游半导体制造及封装

企业的主要投入,芯片生产线的 70%以上是半导体设备支出。

行业景气,晶圆制造设备前景可期

半导体设备与产业相关性强,BB 值显示已经进入景气期。今年以来,由于下

游需求旺盛,半导体设备订单密集,预计全年将取得出色成绩。另外,半导

体设备主要集中在制造和封测端,晶圆制造设备依旧是半导体设备中占绝对

地位的设备,在 2015 年晶圆制造设备销售额达到约 286.7 亿美元,占比达到

78.74%。中国半导体制造设备增长迅速,目前已经达到全球增速最快的市场,

且下游需求良好,前景可期。

中国半导体设备市场存在巨大替代空间

全球制造设备市场为国外厂商占据。2015 年,前五大供应商占市场份额的

76.8%,前十大供应商占据了 93.6%的市场份额,市场集中度高。且十大厂

商中多数为美国和日本企业,国内厂商在这块差距仍然较大。从半导体主要

制造设备看来,光刻机、刻蚀机、化学气相沉积(CVD)市场都为国外厂商

占据。同时,也说明中国半导体设备市场存在巨大替代空间,且由于需求驱

动,主要设备后续需求有望持续,维持良好增长势头。

中国半导体设备面临产业发展机遇

中国半导体设备在发展中面临一些障碍,如技术壁垒、下游巨头的产品认可、

大量的资金需求。但国家政策进一步加大力度,建立产业基金,拉动地方及

社会资金,重点发展关键制造装备,这些障碍有望逐渐被克服。同时,我国

产业链逐渐形成,上游设计端拥有海思、展讯、锐迪科等,下游拥有集成电

路制造大厂中芯国际、华虹宏力等,以及封测端拥有实力企业长电科技、华

天科技、通富微电等。能够响应国家专项的引导,协同发展互利共赢。支持

国产半导体设备,优先采购满足要求的产品。产业面临前所未有的发展机遇。

行业投资机会

三大投资逻辑:1.终端应用产品需求扩张,拉动半导体产业链,带动上游半导

体材料产业扩张。2. 全球半导体产业向中国转移,中国半导体材料市场占比

持续提升。3. 政策利好,产业环境改善。

推荐公司:1. 设备上市稀缺平台七星电子,收购国内刻蚀及淀积龙头北方微

电子,产品已打入中芯国际、三安光电、晶方科技等主流芯片生产线。推荐

关注企业:1. 刻蚀机引领者中微半导体,中微半导体出口量预计 2014 年能

够占据中国泛半导体设备出口量的 70%以上。2. 盛美半导体清洗设备拥有自

主技术产权,全球领先。目前已经进入国际一流厂商 SK Hynix生产线进行批

量生产。3. 上海微电子光刻机深耕 10 年,产品技术逼近世界先进水平,跻

身世界前四行列,正在突破国际厂商的垄断格局。4. 沈阳拓荆 PECVD 薄膜

设备已在中芯国际实现重复订单。

风险提示

经济环境恶化,下游需求低于预期,产业发展缓慢。

Page 2: 设备是产业支柱,机遇与挑战并存pg.jrj.com.cn/acc/Res/CN_RES/INDUS/2016/8/5/f9496376-5b...2016/08/05  · 体材料产业扩张。2. 全球半导体产业向中国转移,中国半导体材料市场占比

请务必阅读正文之后的免责条款部分 全球视野 本土智慧

Page 2

内容目录

内容目录..................................................................................................................................... 2 图表目录..................................................................................................................................... 3 半导体设备为集成电路产业根本 ............................................................................................ 4

半导体设备是产业链上游重要环节 ............................................................................... 4

半导体设备是推动产业进步的重要因素 ....................................................................... 4

半导体设备为生产线主要支出 ....................................................................................... 4

行业景气,晶圆制造设备前景可期 ........................................................................................ 5

行业景气,创新需求将带动设备市场增长 ................................................................... 5

晶圆制造仍为半导体设备主体 ....................................................................................... 7

中国半导体制造设备增长迅速 ..................................................................................... 10

中国半导体设备市场存在巨大替代空间 .............................................................................. 10

全球制造设备市场为国外厂商占据 ............................................................................. 10

光刻机(Stepper)市场三足鼎力 ............................................................................... 12

先进技术为核心竞争力 ........................................................................................ 12

市场份额被几大巨头瓜分 .................................................................................... 12

需求稳定,未来市场增速较快 ............................................................................ 13

刻蚀机(Etching)巨头占据不同市场 ........................................................................ 13

干法刻蚀是目前市场主体 .................................................................................... 13

国际大厂各有所长 ................................................................................................ 13

刻蚀设备未来发展趋势 ........................................................................................ 14

化学气相沉积(CVD)两大寡头引领 ......................................................................... 14

CVD 应用广泛,种类繁多................................................................................... 14

AM和 TEL 占据主导地位 .................................................................................... 14

高亮度 LED 刺激 CVD 后续需求........................................................................ 14

中国半导体设备面临产业发展机遇 ...................................................................................... 15

国内企业面临的发展障碍 ............................................................................................. 15

技术壁垒成为最大障碍 ........................................................................................ 15

获得巨头认可尤为关键 ........................................................................................ 15

需要大量的资金支撑 ............................................................................................ 16

国家政策助力突破发展障碍 ......................................................................................... 16

重点发展集成电路关键设备 ................................................................................ 16

建立产业基金,支持企业成长 ............................................................................ 17

产业内协同合作,共同突破 ......................................................................................... 17

行业投资机会........................................................................................................................... 18

三大投资逻辑 ................................................................................................................. 19

国内半导体设备主要企业 ............................................................................................. 19

推荐关注: ..................................................................................................................... 19

七星电子稀缺设备上市企业 ................................................................................ 19

中微半导体刻蚀设备走向国际市场 .................................................................... 23

盛美半导体清洗设备进入一流生产线 ................................................................ 25

上海微电子光刻机正在突破 ................................................................................ 27

沈阳拓荆薄膜设备实现国产化替代 .................................................................... 28

国信证券投资评级................................................................................................................... 30

Page 3: 设备是产业支柱,机遇与挑战并存pg.jrj.com.cn/acc/Res/CN_RES/INDUS/2016/8/5/f9496376-5b...2016/08/05  · 体材料产业扩张。2. 全球半导体产业向中国转移,中国半导体材料市场占比

请务必阅读正文之后的免责条款部分 全球视野 本土智慧

Page 3

图表目录

图 1:半导体产业架构图 ......................................................................................................... 4 图 2: 先进技术节点的生产线投资额(亿美元) ............................................................... 5 图 3:2015 年 top 5 厂商资本支出占营收比例..................................................................... 5 图 4:北美半导体设备 BB 值 .................................................................................................. 6 图 5:全球半导体设备订单与出货量 ..................................................................................... 6 图 6:近期大陆投资的晶圆生产线 ......................................................................................... 6 图 7:集成电路产品前道工艺流程 ......................................................................................... 7 图 8:集成电路产品后道工艺流程 ......................................................................................... 8 图 9:2015 年不同类型半导体制造设备销售额.................................................................... 8 图 10:2015 年不同类型半导体制造设备市场份额 ............................................................. 8 图 11:晶圆制造主要环节工艺及设备 ................................................................................... 9 图 12:封装测试主要环节工艺及设备 ................................................................................... 9 图 13:半导体设备细分市场规模变化 ................................................................................. 10 图 14:2015 年不同地区半导体制造设备销售额(十亿美元) ....................................... 10 图 15:中国大陆半导体制造设备市场份额变化 ................................................................. 10 图 16:全球十大半导体制造设备供应商(收入单位:百万美元) ..................................11 图 17:中国十大半导体制造设备供应商(单位:万元) ..................................................11 图 18:光刻机三大巨头市占率变化及关键事件 ................................................................. 12 图 19:刻蚀设备主要公司对比 ............................................................................................. 13 图 20:不同尺度器件对应的工艺结构层数及加工步骤 ..................................................... 15 图 21:全球主要芯片制造厂先进制程规划 ......................................................................... 15 图 22:全球前十半导体厂商资本支出(单位:百万美元) ............................................. 16 图 23: 先进技术节点的生产线投资额增长迅速(亿美元) ........................................... 16 图 24:中芯国际采购和验证国产设备的情况 ..................................................................... 18 图 25:中芯国际北京二期(2015 年 35K)国产设备采购目标 ....................................... 18 图 26:国内主要半导体设备企业 ......................................................................................... 19 图 27:七星电子主要产品 ..................................................................................................... 20 图 28:中芯国际营业收入(亿美元) ................................................................................. 21 图 29:中芯国际北京二期(2015 年 35K)七星电子设备采购目标 ............................... 22 图 30:北方微电子业务结构 ................................................................................................. 22 图 31:中微半导体设备主要产品 ......................................................................................... 23 图 32:中微半导体在线刻蚀机反应台数量(单位:台) ................................................. 24 图 33:中微半导体累计加工晶圆数量(单位:万片) ..................................................... 24 图 34:拓荆科技主要产品 ..................................................................................................... 25 图 35:ACM湿法单片设备主要产品 ................................................................................... 26 图 36:SAPS、SC-1、NANO_SPRAY技术颗粒去除效率对比 ..................................... 26

Page 4: 设备是产业支柱,机遇与挑战并存pg.jrj.com.cn/acc/Res/CN_RES/INDUS/2016/8/5/f9496376-5b...2016/08/05  · 体材料产业扩张。2. 全球半导体产业向中国转移,中国半导体材料市场占比

请务必阅读正文之后的免责条款部分 全球视野 本土智慧

Page 4

半导体设备为集成电路产业根本

半导体设备是产业链上游重要环节

半导体设备是半导体产业最为重要的一环,是生产部门不可或缺的生产资料。从半

导体产业链中可以看出,无论是上游设计制造,还是下游封装测试,几乎每一个产

业环节都需要相关设备的投入。从产品来看,下游先进芯片的更小制程需求推动半

导体设备的更加精细化。但同时,半导体设备的发展又制约着芯片实现更小尺寸和

更高集成度。因此,只有半导体设备的突破和发展才能实现集成电路产品的更新迭

代,半导体设备是集成电路产业的根本。

图 1:半导体产业架构图

资料来源:国信证券经济研究所整理

半导体设备是推动产业进步的重要因素

由于摩尔定律的驱使,行业不断追求技术进步,以实现芯片性能、集成度等方面的

提升。当价格不变时,集成电路上可容纳的晶体管数目,约 18个月便会增加一倍,

同时,性能也将提升一倍。与此同时,集成电路的结构也越来越复杂,制造步骤越

来越多。随着制造步骤的增多,对每步的精度要求也会相应提高。因为单一加工步

骤的合格率如果没办法达到很高的程度,在大量加工步骤堆积之后,产品的整体合

格率就会下降得非常明显。例如每一步的加工合格率若为 99.0%,那么经过 1000

步加工之后,其合格率将变为零。而根据统计数据,制程 20nm的工艺节点,芯片

的晶圆加工所需工艺步骤约 1000 步,10nm 工艺节点所需工艺步骤则大于 1300

步,当制程达到 7nm 所需的工艺步骤更是超过 1500 步。因此,对加工设备的要

求也随芯片步骤的快速增加而快速提高。如此复杂的工艺步骤,需要半导体设备有

极高的精度和稳定性,一般单一工艺步骤的良率要求达到 99.9%以上。因此,制程

更小、精度更高、稳定性更好的半导体设备是推动整个半导体产业向前发展的重要

因素之一。

半导体设备为生产线主要支出

半导体设备是下游半导体制造及封装企业的主要投入,芯片生产线的 70%以上是

Page 5: 设备是产业支柱,机遇与挑战并存pg.jrj.com.cn/acc/Res/CN_RES/INDUS/2016/8/5/f9496376-5b...2016/08/05  · 体材料产业扩张。2. 全球半导体产业向中国转移,中国半导体材料市场占比

请务必阅读正文之后的免责条款部分 全球视野 本土智慧

Page 5

半导体设备支出。目前,半导体设备的市场规模已经达到千亿人民币级别。2016 年

晶圆代工的主流制程是 14 及 16 纳米的 FinFET 制程,其主要业者包含了英特尔

(Intel)、台积电及三星、格罗方德三大阵营,而且战场延伸到下一代 10纳米先进

制程,此三大阵营都宣布将在 2017 年量产。不过,由于 10 纳米制程主要针对低

功耗移动芯片的生产。因此,更先进一代的 7纳米才被认为是首次突破 10纳米极

限的高性能先进制程,也是三方争抢的重点。行业中对更先进的新设备的需求将一

直延续下去。且随着制程的微小化,每条生产线的构建成本迅速上升。一条月产能

3.5 万片的 28nm 制程新生产线就需要投资 35 亿美元,一条月产 3 万片的 20nm

生产线需要投资约 67亿美元,随着制程缩小,生产线投资额也在继续扩大。

图 2: 先进技术节点的生产线投资额(亿美元)

资料来源:IC insight、国信证券经济研究所整理

根据 Gartner数据显示,2015年全球半导体设备支出就达到了 661亿美元。并且,

可以从 2015年资本支出前 5名企业看出,资本支出在半导体企业中占当年营业收

入比重相当大,且设备为资本支出主要部分。因此,设备在下游半导体制造厂中为

相当大支出部分,是产业链上游中非常重要的一环。

图 3:2015 年 top 5 厂商资本支出占营收比例

2015年资本支出 2015年营业收入(百万美元) 占比%

Samsung 15,100 41,606 36.29%

TSMC 10,800 26,439 40.84%

Intel 8,700 50,494 17.23%

SK Hynix 5,100 16,917 30.15%

GlobalFoundries 4,800 5,019 95.64%

资料来源:公司资料,国信证券经济研究所整理

行业景气,晶圆制造设备前景可期

行业景气,创新需求将带动设备市场增长

北美半导体设备制造商接单出货比(Book-to-Bill ratio)被运用于描述行业景气度,

大于 1.0 意味着行业景气度上升;小于 1.0意味着行业景气度下降。北美半导体设

备制造商接单出货比(BB值)数据显示行业已经从 2011-2012 的衰退后企稳。2016

年 6月已经是连续第 7个月位在 1以上水平,预计在 3D NAND 项目和先进可编程

芯片的投资推动下,未来订单稳定或继续增长。根据 SEMI预测,3D NAND、10nm

Logic 和 Foundry segments 将推动设备支出在 2016年达到 360亿美元,比 2015

年增长 1.5%,并于 2017 年达到 407亿美元,增长 13%。晶圆厂向前沿技术的转

20 25

40

49

67

0

20

40

60

80

90nm 65nm 45nm 32nm 20nm

投资额(亿美元)

Page 6: 设备是产业支柱,机遇与挑战并存pg.jrj.com.cn/acc/Res/CN_RES/INDUS/2016/8/5/f9496376-5b...2016/08/05  · 体材料产业扩张。2. 全球半导体产业向中国转移,中国半导体材料市场占比

请务必阅读正文之后的免责条款部分 全球视野 本土智慧

Page 6

换,也将推动相关设备的采购需求。

图 4:北美半导体设备 BB 值 图 5:全球半导体设备订单与出货量

资料来源:SEMI、国信证券经济研究所整理 资料来源:SEMI,国信证券经济研究所整理

一方面,下游创新应用的需求将成为行业发展的重要驱动力,带动行业在内部增长

结构改变的情况下迎来新一轮的景气成长周期。另一方面,中国大陆更是拥有结构

性增长机会。受国家产业基金、产业政策支持以及全球半导体产业链向大陆转移等

因素推动,近年来在大陆投资的晶圆生产线明显增多,累计投资总额超过 800 亿

美元,全部投产的产能合计至少超过 80万片/月。大陆晶圆生产线的产能扩张意味

着大陆对半导体设备的需求也相应扩大。因此,我们预计未来在大陆晶圆生产线陆

续投产的情况下,半导体设备在接下来几年或将迎来较好的增长机会,拥有较大增

长幅度。

图 6:近期大陆投资的晶圆生产线

公司 地点 月产能 投资总额 开建时间 投产时间

台积电 南京 2w 30亿美元 2016H1 2018H2

联电 厦门 5w 62亿美元 2015Q1 2017H1

晶合 合肥 4w 135亿元 2015Q4 2017H2

中芯国际 北京 3.6w 35.9亿美元 2012Q3 2015Q4

中芯国际 北京 3w 36亿美元 2015Q4 2018H2

武汉新芯 武汉 30w 240亿美元 2016HQ1 NA

西安三星 西安 10w 70亿美元 2012Q3 2014Q2

美国 AOS 重庆 5w 10亿美元 2016Q1 2017H2

德科码 淮安 6w 25亿美元 2016Q1 2017H2

三安光电 厦门 3w 30亿元 2015 2016

华芯半导体 迁安 3w 32亿元 2016H1 2017H2

英特尔 大连 NA 55亿美元 NA 2016Q4

同方国芯 北京 NA 938亿元 NA NA

士兰微 杭州 NA 10亿元 2015Q1 2017Q1

尔必达 合肥 10w 460亿元 NA 2018H2

资料来源:公司资料、国信证券经济研究所整理

Page 7: 设备是产业支柱,机遇与挑战并存pg.jrj.com.cn/acc/Res/CN_RES/INDUS/2016/8/5/f9496376-5b...2016/08/05  · 体材料产业扩张。2. 全球半导体产业向中国转移,中国半导体材料市场占比

请务必阅读正文之后的免责条款部分 全球视野 本土智慧

Page 7

晶圆制造仍为半导体设备主体

半导体设备主要集中在制造、封测端

半导体集成电路制造中,位于前端的是设计环节,设计环节主要又分三个阶段,分

别是逻辑设计、电路设计、图形设计。这个过程中基本不涉及设备,除了在设计的

电路布局确定之后的制备光学掩膜版的阶段。制版的过程主要是利用光刻机把设计

好的电路图案通过曝光技术印到硅片上去。其余主要设备都集中于制造端和封测端。

集成电路产品的生产可以分为前道工艺和后道工艺两个步骤。前道工艺是以硅片的

加工为起点,以在硅片等介质上制成集成电路为终点。后道工艺是以分割载有集成

电路的硅片为起点,以封装和测试后并最终制成集成电路产品为终点。

根据制造工艺及流程,在前道工艺中,所需多项设备。有生长晶体的单晶炉,化学

汽相淀积或物理汽相淀积设备,扩散、氧化炉、CVD 设备,清洗机,曝光机,刻

蚀机,离子注入机,及探针测试机。

图 7:集成电路产品前道工艺流程

资料来源:国信证券经济研究所整理

在完成前道工艺加工后,即获得载有晶粒的整块晶圆片。这时,工艺的流程开始进

入后道工艺。后道工艺主要为封装、测试环节。经过晶片切割、黏晶、焊线、封胶、

剪切成形、印字、检验等一系列封装工序后,即可进入最后的测试环节。一般来说

产品或经过芯片测试、芯片目检、芯片粘贴测试、压焊强度测试、稳定性烘焙、温

度循环测试、离心测试、渗漏测试、高低温电测试、高温老化测试、及老化后测试

等一系列测试。最终完成后道工艺加工,进行产品的包装及装箱,提供给下游产品

电子产品生产商。由于步骤较多,后道工艺的过程中将涉及到磨片机、划片机、装

片机、打线机、包封设备、电镀设备、切筋机、测试机、分选机、打印机等较多设

备。

Page 8: 设备是产业支柱,机遇与挑战并存pg.jrj.com.cn/acc/Res/CN_RES/INDUS/2016/8/5/f9496376-5b...2016/08/05  · 体材料产业扩张。2. 全球半导体产业向中国转移,中国半导体材料市场占比

请务必阅读正文之后的免责条款部分 全球视野 本土智慧

Page 8

图 8:集成电路产品后道工艺流程

资料来源:国信证券经济研究所整理

晶圆制造占设备市场支配地位

根据 Semi数据显示,晶圆制造设备依旧是半导体设备中占绝对地位的设备,这与

生产链的特点有关,晶圆制造是关键性步骤。在 2015 年晶圆制造设备销售额达到

约 286.7 亿美元,占比达到 78.74%。而 2015 年封装和测试设备分别占比 9.17%

和 6.89%,其他类别只占 5.19%。而半导体制造设备中又以光刻、刻蚀、化学沉

淀设备为主。以往国内厂商往往处在比较低技术要求、低产值设备的市场中。而近

年来由于技术的进步和突破,国内厂商也能够生产部分关键设备。而在设备市场中,

只有在关键设备中占有一席之地,企业才能够获得较大的利润,才能够长久生存。

图 9:2015 年不同类型半导体制造设备销售额 图 10:2015 年不同类型半导体制造设备市场份额

资料来源:SEMI、国信证券经济研究所整理 资料来源:SEMI,国信证券经济研究所整理

晶圆制造的完成过程十分复杂,但可以细分为独立的 7 个环节,包括扩散、光刻、

刻蚀、离子注入、薄膜生长、抛光、金属化。一般的晶圆处理工序是先将先将晶圆

适当清洗,再在其表面进行氧化及化学气相沉积,然后进行涂膜、曝光、显影、蚀

刻、离子植入、金属溅镀等反复步骤,最终在晶圆上完成数层电路及元件加工与制

作。根据 SEMI统计数据,2015 年晶圆制造设备的销售额达到 286.7 亿美元,在

整个半导体设备市场中占据 78.7%的份额。

晶圆制造过程工艺复杂且对精度要求极高,每个工艺环节需要相应的设备实现。例

如扩散阶段所必须的氧化炉,图形转换阶段需要涉及到光刻机、刻蚀机等设备,在

薄膜生长阶段需要用到 CVD/PVD 设备等,在抛光和金属化阶段需要用到 CMP设

备、电镀设备。此外,全过程都需要用到的清洗设备也是晶圆制造的重要设备之一。

Page 9: 设备是产业支柱,机遇与挑战并存pg.jrj.com.cn/acc/Res/CN_RES/INDUS/2016/8/5/f9496376-5b...2016/08/05  · 体材料产业扩张。2. 全球半导体产业向中国转移,中国半导体材料市场占比

请务必阅读正文之后的免责条款部分 全球视野 本土智慧

Page 9

图 11:晶圆制造主要环节工艺及设备

晶圆制造环节 主要工艺 主要设备

扩散 氧化、RTP、激光退火 氧化炉、RTP设备、激光退火设备

光刻 涂胶、测量、光刻、显影 光刻机、涂胶/显影设备、CD SEM

刻蚀 干刻或湿刻、去胶、清洗 刻蚀机、等离子去胶机、清洗设备

离子注入 离子注入、去胶、清洗 离子注入机、等离子去胶机、清洗设备

薄膜生长 CVD、PVD、RTP、ALD、

清洗

CVD设备、PVD设备、RTP设备、ALD设

备等

抛光 CMP、刷片、清洗、测量 CMP设备、刷片机、清洗测量设备

金属化 PVD、CVD、电镀、清洗 PVD\CVD设备、电镀设备、清洗设备

资料来源:国信证券经济研究所整理

封装测试是集成电路生产的后端工艺,主要包括背面减薄、切割、贴片、引线键合、

模塑、电镀、切筋、测试等步骤。封装测试工艺相对简单,对生产环境及设备的要

求也相对较低,因此封测环节的相关半导体设备需求相对较小。根据 SEMI统计数

据,2015 年半导体设备市场份额中测试和封装分别占据 6.9%和 9.2%,两者合计

16.1%的市场份额远小于晶圆制造设备 78.7%的市场份额。封测阶段主要涉及的设

备包括引线键合机、晶圆切割机、检测设备等。

图 12:封装测试主要环节工艺及设备

封装环节 主要工艺 主要设备

背面减薄 贴膜、背面研磨、测量、剥膜 检测设备、贴膜机、减薄机、剥膜机

晶圆切割 晶圆安装、晶圆切割、晶圆清洗、

光学检测

晶圆安装设备、切割设备、清洗设备、AOI

贴片 贴片、粘贴、固化 贴片机、烤箱

引线键合 引线键合、清洗、光学检测 引线键合机、清洗设备、AOI

模塑 等离子清洗、注塑、激光打标 等离子清洗机、注塑机、激光打标机

电镀 电镀、退火 电镀设备、退火炉

切筋 切筋/成型 切筋成型设备

终测 终测 测试设备

资料来源:国信证券经济研究所整理

据 Gartner 估计, 2014 年光刻机市值 79.6 亿美元首次超越刻蚀设备(73.3 亿美

元);光刻、刻蚀、薄膜、测试设备未来需求增加,其他设备需求平稳;2017 年以

后,光刻机需求激增,设备需求前移。光刻机、刻蚀机、薄膜生长设备(CVD/PVD)

等仍是未来半导体设备的主要需求。

Page 10: 设备是产业支柱,机遇与挑战并存pg.jrj.com.cn/acc/Res/CN_RES/INDUS/2016/8/5/f9496376-5b...2016/08/05  · 体材料产业扩张。2. 全球半导体产业向中国转移,中国半导体材料市场占比

请务必阅读正文之后的免责条款部分 全球视野 本土智慧

Page 10

图 13:半导体设备细分市场规模变化

资料来源:IC insights、国信证券经济研究所整理

中国半导体制造设备增长迅速

2011 年中国在全球半导体制造设备市场上占有 8%的市场份额,然而这个数字正在

不断地增长。2015 年中国大陆在全球半导体制造设备的市场份额占比达到 13%,

且 2015 年中国大陆市场规模达到 49 亿美元。未来在国家推动半导体产业链制造

端的背景下,国内半导体制造业将会迅速扩张,同时也需要更多的设备来支持。对

于本土的设备厂商来说,无疑是一大机会,但是同时也是一大挑战。机会是市场需

求的扩张,挑战是本土的设备厂商是否能够拥有足够的技术水平生产出能够满足制

造厂要求的质量过硬的产品。在技术不是问题的情况下,本土厂商对于外国厂商将

在产品服务、维护、成本管控上具有相当的地域优势。

图 14:2015 年不同地区半导体制造设备销售额(十亿美元) 图 15:中国大陆半导体制造设备市场份额变化

资料来源:wind、国信证券经济研究所整理 资料来源:wind,国信证券经济研究所整理

由于晶圆制造设备占据 79%的制造设备比例,未来晶圆制造设备在中国地区会成

为半导体设备最大增长主体,同时也成为世界最大增长地区。因此,中国市场的晶

圆制造设备潜力巨大,市场份额有望进一步提高。

中国半导体设备市场存在巨大替代空间

全球制造设备市场为国外厂商占据

2014 年全球半导体设备前十名公司基本上都是美国和日本的公司。且前五大供应

商占市场份额的 76.8%,同比 2013年上涨 35个百分点。前十大供应商占据了 93.6%

的市场份额,市场集中度高。从增长率方面可以看出,第二、三名企业为注重晶圆

级设备的公司,尤其是擅长光刻技术和相关程序上的公司增长较好。注重于后端制

Page 11: 设备是产业支柱,机遇与挑战并存pg.jrj.com.cn/acc/Res/CN_RES/INDUS/2016/8/5/f9496376-5b...2016/08/05  · 体材料产业扩张。2. 全球半导体产业向中国转移,中国半导体材料市场占比

请务必阅读正文之后的免责条款部分 全球视野 本土智慧

Page 11

造领域的公司下滑速度比较严重。目前下游领先的半导体制造厂商所用较多产品仍

旧是外商提供,国内厂商仍未占有关键产品市场。面对本土市场广阔的空间,国内

厂商拥有巨大替代空间。且制造设备类别丰富,国内厂商容易实现分开突破,从难

度较低的类别入手,逐渐掌握核心技术,实现半导体装备制造业的国产化。

图 16:全球十大半导体制造设备供应商(收入单位:百万美元)

2014

排名

2013

排名

公司 2014 收

2014 份

2013 收

收入增长

1 1 Applied Materials(美) 7,940 21.2% 5,460 45.42%

2 2 ASML(荷兰) 7,550 20.1% 5,303 42.37%

3 4 Tokyo Electron(日) 5,540 14.8% 3,057 81.22%

4 3 Lam Research(美) 4,860 13.0% 3,163 53.65%

5 5 KLA-Tencor(美) 2,870 7.7% 2,163 32.69%

6 6 Dainippon Screen

Manufacturing(日)

1,520 4.1% 1,223 24.28%

7 8 Advantest(日) 1,440 3.8% 845 70.41%

8 9 Teradyne(美) 1,300 3.5% 822 58.15%

9 7 Hitachi

High-Technologies(日)

1,190 3.2% 862 38.05%

10 10 Nikon(日) 890 2.4% 636 39.94%

其它 2,398 6.4% 10,243 -76.59%

总计 37,498 100% 33,778 11.01%

资料来源:Gartner Group,国信证券经济研究所整理

中国电子专用设备工业协会统计显示,2014年我国半导体设备行业 35家主要制造

商共完成 40.53亿元销售收入,同比增长 34.5%。其中前十大生产厂商合计实现销

售收入为 30.1亿元,比全球第 10大半导体设备厂商 Nikon 的营收还要低。因此,

应当看到中国半导体设备制造商与国外厂商的差距巨大。

图 17:中国十大半导体制造设备供应商(单位:万元)

排名 单位名称 销售收入(不含税)(万元)

1 中国电子科技集团公司第四十五研究所 53,591

2 中微半导体设备(上海)有限公司 44,433

3 深圳市捷佳伟创新能源装备股份有限公司 39,011

4 中国电子科技集团公司第四十八研究所 27,326

5 北京北方微电子基地设备工艺研究中心有限公司 26,601

6 北京京运通科技股份有限公司 26,568

7 北京七星华创电子股份有限公司 22,274

8 浙江晶盛机电股份有限公司 21,533

9 上海微电子装备有限公司 20,083

10 苏州赫瑞特电子专用设备科技有限公司 19,746

合计 301,166

资料来源:中国电子专用设备工业协会、国信证券经济研究所整理

Page 12: 设备是产业支柱,机遇与挑战并存pg.jrj.com.cn/acc/Res/CN_RES/INDUS/2016/8/5/f9496376-5b...2016/08/05  · 体材料产业扩张。2. 全球半导体产业向中国转移,中国半导体材料市场占比

请务必阅读正文之后的免责条款部分 全球视野 本土智慧

Page 12

光刻机(Stepper)市场三足鼎力

光刻机在半导体固定资产投资中据最大份额之一,约占前道设备销售额的 22%,

约占据半导体设备整体市场的 17.8%,是最重要的半导体制造设备之一。因此,对

光刻机的市场竞争比较激烈,但由于技术壁垒,形成三大巨头,格局逐渐稳定。

先进技术为核心竞争力

光刻机最精密的部分就是透镜及反射镜组,大概占成本的 75%,是光刻机的核心

部件。需要加工达到极高的形状精度和粗糙度,随着半导体制程的不断进步,对透

镜的精度要求也越来越高。100nm 制程的机器需要加工到 RMS 0.5nm 的面型精

度和 0.2nm 的粗糙度。极紫外光刻(EUVL)的要求更高,因为软 X射线的波长极

短,已达到原子级。包括像荷兰 ASML 这样的一流半导体光刻机生产商的透镜组

也不是自有生产,而是由 Zeiss 提供。因为透镜组的加工的技术要求极高,普通厂

商难以达到。因此光刻机设备行业,最大的难度在于技术上形成突破,只有掌握了

核心技术,才能够生产自有有竞争力的产品。

市场份额被几大巨头瓜分

全球分步投影光刻机(Stepper)市场在 90 年代后,便逐渐形成 Nikon、Canon、

ASML三足鼎力的格局。三家公司都有较长的光刻机生产历史,长期占据的市场地

位由于其优良的工艺难以撼动。Nikon在 1917 年由当时日本领先的 3家光学仪器

制造部门合并成立,于 1980年进入 Stepper 制造领域。至今已向世界市场供给了

超过 6200 台的 Stepper,自 1996 年起,公司 Stepper 销售额就超过了公司年销

售额的一半。ASML公司从 1984年就推出了第一台 Stepper,到 2000 年,ASML

公司 Stepper 销售额突破 20 亿美元,成为全球排序第五的半导体设备公司和全球

第二大 Stepper 制造商。如今,ASML 公司已经跻身全球第二大半导体设备公司。

Canon 创建于 1937年,在 1990 年就推出了第一台光刻设备“FPA-2000iI”,进入

90 年代之后,公司光刻设备重心转向 Stepper。其 Stepper 市场主要集中在日本和

欧洲。

2014 年 ASML在光刻机市场的市场份额以台数算已超过 70%,并且以高价的 ArF

浸润装臵为主,故以光刻机相关营收来算,市占率更是接近 90%。如果以低价的

旧技术市场出货台数,尼康和佳能仍有一定地位,但 ASML 在高价产品与整个光

刻机市场的优势十分明显。

图 18:光刻机三大巨头市占率变化及关键事件

时间 ASML Nikon Canon 关键事件

1995 14.2% 51.9% 25.7%

2001 22.4% 41.6% 34.8% ASML率先推出 12英寸晶圆与双重曝光

(Tw inscan)设备

2004 37.5% 33.8% 28.8% ASML推出 ArF浸润曝光装臵

2014 71.6% 21% 7.4% ASML推出 EUV 系统测试表现不如预期

资料来源:NIKKEI、国信证券经济研究所整理

国内厂商差距明显

国内光刻机主要厂商包括上海微装、中电 48 所、中电 45 所、成都光机所等。但

光刻机仍是中国与西方差距最大的半导体设备。目前国际光刻机龙头 ASML 量产

型号已经做到 14nm水平。而中国处于技术领先的是上海微电子装备公司量产的光

刻机中性能最好的是 90nm光刻机。且上海微电子装备公司生产的光刻机中最核心

的光源是进口的。国外为了限制中国光刻机制造业,在核心零部件上限制中国。技

术受制于国外,导致上海微电子 90nm光刻机无法规模化量产。

Page 13: 设备是产业支柱,机遇与挑战并存pg.jrj.com.cn/acc/Res/CN_RES/INDUS/2016/8/5/f9496376-5b...2016/08/05  · 体材料产业扩张。2. 全球半导体产业向中国转移,中国半导体材料市场占比

请务必阅读正文之后的免责条款部分 全球视野 本土智慧

Page 13

需求稳定,未来市场增速较快

据 TechNavio’s 数据预测,全球半导体光刻机设备市场在 2012 年到 2016 年的年

复合增长率达到 12.37%。 市场增长的关键因素之一是半导体器件的集成化和微小

化。在摩尔定律的驱使下,产品更新迅速,下游需求持续存在。

长期来看,产业链下游需求依旧强烈,半导体行业的需求旺盛将带动相应的光刻机

需求。据 IDC 报告指出 2020 年预计将有 300 亿设备接入物联网,全球物联网市

场规模将由 14年的 2656 亿美元增长至 2020 年的 3.04万亿美元,年复合增长率

高达 50%。全球联网物体的迅速增加,将显著拉升对物联网硬件的需求。据预测,

我国汽车电子 2015 年市场规模有望突破 4000 亿元。未来汽车智能化为主导的汽

车电子市场将成为半导体需求的新增长点。由于下游物联网设备、创新应用不断涌

现,如汽车电子、指纹识别、NFC、VR等创新需求的拉动,未来半导体的行业需

求仍盛,将带动相应光刻机设备需求增长。

刻蚀机(Etching)巨头占据不同市场

干法刻蚀是目前市场主体

刻蚀是决定特征尺寸的核心工艺技术之一。刻蚀分为湿法刻蚀和干法刻蚀。湿法刻

蚀采用化学腐蚀进行,是传统刻蚀工艺。它具有各向同性的缺点,因而精度较差,

线宽一般在 3微米以上。干法刻蚀是针对大规模集成电路的生产需要而开辟的精细

化加工技术,它具有各向异性特点,在最大限度上保证了纵向刻蚀,还可以控制横

向刻蚀。因此,被广泛地应用在位处理器(CPU)、存储器(DRAM)和各种逻辑

电路的制造中。干法刻蚀又被分成三种:分别为金属刻蚀、介质刻蚀和硅刻蚀。介

质刻蚀主要用于介质材料的刻蚀,如二氧化硅;硅刻蚀应用于刻蚀多晶硅晶体管栅

和硅槽电容;金属刻蚀主要是在金属层上去掉铝合金复合层,制作出互连线。由于

干法刻蚀的优良特性,目前在半导体工业中成为主要的刻蚀方式。同时,干法刻蚀

机也就成为主要的半导体设备。

国际大厂各有所长

目前,全球有百余家刻蚀设备供应商。美、日公司 Applied Materials,LamResearch,

TEL 占据这全球主流刻蚀机市场的绝大部分份额。Applied Materials 公司则擅长金

属刻蚀方面,LAM 公司在硅刻蚀方面独树一臶,TEL 公司在介质刻蚀方面封闭占

据主导位臵。而 Hitachi,Aleatel,Oxford-Ins 等在 MEMS等细分市场上占据有一

定的优势。

进入 300mm时代以后,随着铜互连的发展,金属刻蚀逐渐萎缩,介质刻蚀份额逐

渐加大,介质刻蚀设备的份额已经超过 50%以上。而且随着器件互连层数增多,

介质刻蚀设备使用量就越大。200mm时代介质刻蚀市场份额依次为 TEL、AMAT、

Lam。进入 300mm时代以来,Lam由于其简单的设计、较低的设备成本,逐渐在

65nm、45nm设备市场超过 TEL,占据了大半市场。而 AMAT在刻蚀设备市场逐

渐萎缩,市场份额已小于 10%。Lam 300mm设备 Lam 2300 系列产品有 Versys

(Poly),Exelan Flex(Die)等。TEL 300mm设备有 SCCM、Telius 等。

图 19:刻蚀设备主要公司对比

公司名称 主要的几代产品 主要优势

Lam Research LAM590、Rainbow、TCP 9100、

exelan、exelan f lex

主要优势在多晶硅蚀刻方面及金属蚀刻

方面,市场占有率全球第一

TEL UNITY、DRM、SCCM 介电质蚀刻方面表现较好

Applied Materials P5000、MxP、super eeMAX、

eMAX CT、eMAX CT+

应用材料(AMAT)产品线比较广,两方

面均有涉及

资料来源:国信证券经济研究所整理

Page 14: 设备是产业支柱,机遇与挑战并存pg.jrj.com.cn/acc/Res/CN_RES/INDUS/2016/8/5/f9496376-5b...2016/08/05  · 体材料产业扩张。2. 全球半导体产业向中国转移,中国半导体材料市场占比

请务必阅读正文之后的免责条款部分 全球视野 本土智慧

Page 14

刻蚀设备未来发展趋势

据 TechNavio’s 数据预测,在 2013 年到 2018 年全球金属刻蚀设备市场年复合增

长率达到 0.37%。全球半导体电介质刻蚀设备市场年复合增长率达到 0.40%。全

球半导体硅刻蚀设备市场年复合增长率达到 3.59%。全球半导体干法刻蚀设备市场

年复合增长率达到 2.27%。市场增长的一个主要因素是智能电子设备的需求增长。

同样,半导体行业的宏观产业环境也对刻蚀设备有相关性较强的影响。目前,半导

体设备市场的驱动力主要源自 Foundry 和 Memory,Foundry 开始向 20nm以下进

军,Memory 则开始进入 3D 时代。20nm 时代,半导体厂家采取双重路线,一条

是曝光,其中包括双重(double)/多重曝光(multiple patterning)。另一条是 EUV

(超紫外线)。目前,双重或多重曝光会大幅增加制造成本和设备成本,特别是刻

蚀设备,但是相对于 EUV,双重或多重曝光比较成熟。

EUV能够大幅度降低制造成本和设备成本,虽然EUV本身设备成本达到上亿美元,

但按总体成本核算,对于 14nm 级的晶圆来说,使用 EUV仍然要比多重曝光成本

低 40%左右。但是目前 EUV在光源方面又还存在一定的缺陷,例如多电子光束直

写(multiple e-beam direct write)制造一片晶圆要一小时以上,效率太低。但相

信未来在克服缺陷之后,刻蚀设备的路线也会逐渐明朗。

化学气相沉积(CVD)两大寡头引领

CVD 应用广泛,种类繁多

化学气相沉积(CVD)是半导体工业中应用最为广泛的沉积材料的技术,包括大范

围的绝缘材料,大多数金属材料和金属合金材料。CVD 技术常常通过反应类型或

者压力来分类,包括低压 CVD(LPCVD),常压CVD(APCVD),亚常压CVD(SACVD),

超高真空 CVD(UHCVD),等离子体增强 CVD(PECVD),高密度等离子体

CVD(HDPCVD)以及快热 CVD(RTCVD)。同时气相法又可以分为气体中蒸发法、

化学气相反应法、溅射源法、流动油面上真空沉积法和金属蒸汽合成法等。沉淀法

又分为直接沉淀法、共沉淀法和均匀沉淀法等。因此,CVD 设备的种类也比较多

样。

AM 和 TEL 占据主导地位

CVD 市场中占支配地位的竞争者主要是 Applied Materials 和 TEL。其它主要竞争

者还包括有 AIXTRON Systems,Jusung Engineering,ULVAC Technologies 和

Veeco Instruments。但其余厂家普遍占据一些特殊细分市场,主导厂商仍为

Applied Materials 和 TEL。

由于 CVD 的类型多样,因此,不同细分市场集中在不同的供应商手中。例如,

MOCVD 主要市场集中在两家企业。分别为 Aixtron SE和 Veeco Instruments Inc,

两家常年占有 85%以上的份额,另外日本 Taiyo Nippon Sanso Corp公司也占有一

部分的市场份额。

但整体来说,CVD市场仍将为外国厂商所占据,国内厂商仍然还有很长的路要走。

寻求市场突破,对于国内设备厂商及产业链来说具有重大意义。国内广阔的制造业

市场为设备带来了巨大的空间,存在发展潜力。

高亮度 LED 刺激 CVD 后续需求

根据 Market Research 预测,全球 CVD设备市场在 2012 到 2016 年的年复合增

长率将达到 8.2%。预计到 2016 年全球 CVD市场将达到 135亿美元左右的规模。

其市场的主要增长是由于高亮度 LED 器件需求的增长。ElectroniCast Consultants

预测到 2016 年,高亮度(发光效率在 30~70 流明每瓦)的 LED 全球消费量有望

达到 203.9亿美元,到 2021年增长到 697亿美元。而在 2011年,全球高亮度 LED

市场仅为 107.7亿美元,2013年增长至 112.9亿美元。预计在 2011 到 2016 年以

22.1%的平均增长率增长,2016 年到 2021 年以 35.9%的平均增长率增长。这一

Page 15: 设备是产业支柱,机遇与挑战并存pg.jrj.com.cn/acc/Res/CN_RES/INDUS/2016/8/5/f9496376-5b...2016/08/05  · 体材料产业扩张。2. 全球半导体产业向中国转移,中国半导体材料市场占比

请务必阅读正文之后的免责条款部分 全球视野 本土智慧

Page 15

显著增长及巨大市场需求造成了对上游 CVD设备的大量需求,也将显著拉动 CVD

设备的增长。同时,越来越多的半导体 CVD设备采用在汽车行业,我们预计随着

未来新能源汽车的普及和汽车电子市场的扩张,将为上游设备带来新的增长。

中国半导体设备面临产业发展机遇

国内企业面临的发展障碍

技术壁垒成为最大障碍

由于摩尔定律的驱使,行业不断追求技术进步,以达到产品更加小型化。当价格不

变时,集成电路上可容纳的晶体管数目,约 18个月便会增加一倍,同时,性能也

将提升一倍。与此同时,集成电路的结构也越来越复杂,制造步骤越来越多。随着

制造步骤的增多,对每步的精度要求也会相应提高。因为单一加工步骤的合格率如

果没办法达到很高的程度,在大量加工步骤堆积之后,产品的整体合格率就会下降

得非常明显。因此,对加工设备的要求也随芯片步骤的快速增加而快速提高。

图 20:不同尺度器件对应的工艺结构层数及加工步骤

器件尺度 130nm 90nm 65nm 45nm 28nm 20nm 14nm 10nm

结构层数 10层 15层 20层 30层 40层 55层

1000

步骤

65层

>1100

步骤

>80层

>1300步骤

资料来源:国信证券经济研究所整理

下游芯片对技术要求的不断进步,同时也对设备及工艺提出了相应的挑战。为实现

芯片更加小型化,设备及工艺也将更加的精细化,以实现精度更高的集成电路。由

此,造成了行业内激烈竞争的氛围,产品换代的速度也越来越快。2016 年晶圆代

工的主流制程是 14及 16 纳米的 FinFET制程,预计 10nm制程在 2017 年量产。

图 21:全球主要芯片制造厂先进制程规划

资料来源:IC insights、国信证券经济研究所整理

因此,企业如果要在激烈的市场竞争中占据优势,核心技术是关键因素。半导体制

造工艺和设备研发设计涉及 50多个学科和技术专业领域,具有高技术含量,知识

产权是进入市场不得不打破的壁垒。在摩尔定律的催动下,对加工精度的提高使得

技术难度越来越大,激烈的竞争造成产业的超级垄断和集中。例如,刻蚀和薄膜设

备公司,经过 30 多年的竞争,从原来的 30 多家厂商集中到现有的两大巨头,美

国应用材料和美国科林,两家公司年销售额目前已达 150亿美元和 50亿美元。从

台湾、南韩、日本到美国,进入的门槛越来越高。

获得巨头认可尤为关键

下游客户芯片生产公司的生产线投资是半导体设备厂商的主要需求来源。芯片生产

线的投资 70%以上是半导体设备。其中,世界前三大芯片制造公司的生产线投资

远远超过其他厂商。主要集中在三星、英特尔和台积电上,三家资本支出已占国际

总投资的 50%以上,加上另外后续的 8家,资本支出已占总投资的 90%。因此,

Page 16: 设备是产业支柱,机遇与挑战并存pg.jrj.com.cn/acc/Res/CN_RES/INDUS/2016/8/5/f9496376-5b...2016/08/05  · 体材料产业扩张。2. 全球半导体产业向中国转移,中国半导体材料市场占比

请务必阅读正文之后的免责条款部分 全球视野 本土智慧

Page 16

半导体设备市场主要集中在领先的芯片制造公司或封测公司。作为基本密集型产业,

巨头公司才更有能力投资大批生产设备。因此,设备厂商想要获得较大的市场,就

必须进入巨头的生产线,得到下游巨头厂商的肯定。同时,一旦获得大厂的设备订

单,即能获得较大的市场份额。自然设备厂商竞争较为激烈,且市场占有率高的企

业具有很大的竞争优势。

图 22:全球前十半导体厂商资本支出(单位:百万美元)

资料来源:IC Insights、国信证券经济研究所整理

需要大量的资金支撑

半导体设备厂商为了提高设备的性能跟上客户的需求,要投入较大的研发和建设经

费。数据显示,美国应用材料 Eteris 和美国科林 LAM年年研发经费投入分别达到

17 亿美元和 7亿美元。例如一条 65nm生产线的投入需要 25亿美元,32nm 生产

线就要提升到 49 亿美元,而 20nm 生产线的投入将超过 60 亿美元。由于设备开

发,引入市场、实现收支平衡的周期长,在产品收到成效的时间内需要大量资金不

断的支撑。这种情况下,风险投资一般很难持续投入和支持,而对于单个企业来说

也很难有足够的资金用于生产线的投资建设。因此,国家的相关补助和产业基金的

支持显得尤为重要。

图 23: 先进技术节点的生产线投资额增长迅速(亿美元)

资料来源:IC insight、国信证券经济研究所整理

国家政策助力突破发展障碍

重点发展集成电路关键设备

按照《纲要》发展目标,到 2015 年,集成电路产业发展体制机制创新取得明显成

0

5000

10000

15000

20000 2014Capital spending 2015Capital spending

20 25

40

49

67

0

20

40

60

80

90nm 65nm 45nm 32nm 20nm

投资额(亿美元)

Page 17: 设备是产业支柱,机遇与挑战并存pg.jrj.com.cn/acc/Res/CN_RES/INDUS/2016/8/5/f9496376-5b...2016/08/05  · 体材料产业扩张。2. 全球半导体产业向中国转移,中国半导体材料市场占比

请务必阅读正文之后的免责条款部分 全球视野 本土智慧

Page 17

效,建立与产业发展规律相适应的融资平台和政策环境。集成电路产业销售收入超

过 3500 亿元。32/28 纳米(nm)制造工艺实现规模量产,65-45nm 关键设备和

12 英寸硅片等关键材料在生产线上得到应用。到 2020 年,集成电路产业与国际先

进水平的差距逐步缩小,全行业销售收入年均增速超过 20%。关键装备和材料进

入国际采购体系,基本建成技术先进、安全可靠的集成电路产业体系。到 2030 年,

集成电路产业链主要环节达到国际先进水平,一批企业进入国际第一梯队,实现跨

越发展。

《纲要》的主要任务和发展重点之一是加速发展集成电路制造业。指出应抓住技术

变革的有利时机,突破投融资瓶颈,持续推动先进生产线建设。增强芯片制造综合

能力,以工艺能力提升带动设计水平提升,以生产线建设带动关键装备和材料配套

发展。其次,还应突破集成电路关键装备。加强集成电路设备、材料与工艺结合,

研发光刻机、刻蚀机、离子注入机等关键设备,开发光刻胶、大尺寸硅片等关键材

料,加强集成电路制造企业和装备、材料企业的协作,加快产业化进程,增强产业

配套能力。

建立产业基金,支持企业成长

国家集成电路产业投资基金 2014 年 10 月正式设立,国家集成电路产业投资基金

成立一年多来,目前已募集资本 1387.2 亿元。按政策设计目标,国家及各省产业

基金规模将达 6000 亿元,未来十年则将拉动 5万亿资金投入。产业投资基金的建

立,将有力地支持中国设备厂商,突破关键技术的研发。设备厂商的产业环境获得

改善,研发及运营资金更加充分。对于部分处于关键研发周期的企业,具有明显的

帮助。较大程度上解决了设备厂商对重资本的需求,对半导体设备企业突破市场无

疑是一次良机。

产业内协同合作,共同突破

由于半导体设备的重要性,下游制造厂商不会轻易更换供应商。目前国内高端集成

电路生产商仍旧采用国际大公司的设备。即使下游生产商有采用国产设备替代的意

愿,但是漫长的认证流程也会使企业面临困境。一般来说,认证周期需要 1 到 2

年的时间。需要通过包括单机测试、小批量生产考核、用户产品可靠性认证等环节。

在认证过程中,企业不但无法大批量地进行销售,还必须保证公司运营,很多规模

小或新建企业难以承受,坚持不了长时间的消耗。

但我国产业链逐渐形成,上游设计端拥有海思、展讯、锐迪科等,下游拥有集成电

路制造大厂中芯国际,以及封测端拥有实力企业长电科技、华天科技等。能够响应

国家专项的引导,协同发展互利共赢。支持国产半导体设备,优先采购满足要求的

产品。同时协同合作能够提高设备认证效率,缩短认证周期,帮助设备厂商更快地

进入大规模生产线,改善设备企业的生存环境。产业间的协同发展将为整体半导体

产业带来发展机遇,形成健康的产业环境。

以中芯国际为例,作为国内本土制造大厂,肩负着振兴国际集成电路产业的重大使

命。积极响应国家专项的引导,支持国产设备的发展,优先采购满足要求的设备。

同时,国产设备制造厂商也在不断提高自身技术与工艺的水平,以满足下游产业的

需求。可以看到,国内厂商的设备进入中芯国际生产线的情况正在不断改善。

Page 18: 设备是产业支柱,机遇与挑战并存pg.jrj.com.cn/acc/Res/CN_RES/INDUS/2016/8/5/f9496376-5b...2016/08/05  · 体材料产业扩张。2. 全球半导体产业向中国转移,中国半导体材料市场占比

请务必阅读正文之后的免责条款部分 全球视野 本土智慧

Page 18

图 24:中芯国际采购和验证国产设备的情况

资料来源:IC China、国信证券经济研究所整理

同时,从中芯国际采购的设备企业也可以看出。国产设备厂商的产品正逐渐满足下

游生产链的要求。说明整体制造设备产业是有所进步与提升的。

图 25:中芯国际北京二期(2015 年 35K)国产设备采购目标

合作厂商 考核验证项

应用工艺 32nm

baseline

开 始 验 证

时间

计 划 完 成

时间

该工艺

扩产设

备需求

预测

预 计

采 购

数量

1 中 微 半 导

电介质蚀刻

PAD 201202 201302 3 3

AIO - - 13 4

2 七星华创 LPCVD POLY-

DEP

2012Q4 2013Q4 3 1

3 北 京 中 科

低能量离子

注入机

2013Q4 2014Q4 2 0

4 北 方 微 电

Conductor

Etcher

STI-ET 2012Q3 2013Q3 5 3

5 七星华创 立式氧化炉 AAOX 2012Q3 2013Q3 4 2

6 睿 励 科 学

仪器

OCD测量设

后段厚度 2012Q3 2013Q3 5 3

7 北 京 中 科

中束流离子

注入机

WELLIMP

非关键

2012Q3 2013Q3 3 2

8 北 方 微 电

PVD B/S 2012Q4 2013Q4 2 1

MHM 2012Q4 2013Q4 2 1

9 采购比例 48%

资料来源:SMIC,国信证券经济研究所整理

但是目前,国产设备仍然面临一些问题。例如,产品设计目标与实际的要求存在部

分不匹配,对于使用过程中可能遇到的问题估计不足导致需要在验证期间不断改善

产品,从而延长了整个验证周期;实际 IC 产业经验不足,导致时常出现跟产品相

关的特定问题阻碍工作进展;缺少先导技术的洞察力;工作效率低;但是,相信通

过下游制造厂与设备厂加强沟通与合作的产业带动方式,这些问题都会逐渐改善。

国家政策的推动和产业环境的改善,必然会推动中国集成电路产业链的进步。

行业投资机会

Page 19: 设备是产业支柱,机遇与挑战并存pg.jrj.com.cn/acc/Res/CN_RES/INDUS/2016/8/5/f9496376-5b...2016/08/05  · 体材料产业扩张。2. 全球半导体产业向中国转移,中国半导体材料市场占比

请务必阅读正文之后的免责条款部分 全球视野 本土智慧

Page 19

三大投资逻辑

1. 终端应用产品需求扩张,拉动半导体产业链,带动上游半导体制造设备产业扩

张。半导体行业与全球宏观经济相关性较强,全球经济回暖将为产业带来良好

的环境,进入新一轮的景气周期。

2. 全球半导体产业向中国转移,中国半导体设备市场占比持续提升。庞大的人口

基数提供了巨大的需求。中国半导体设备市场连续保持较高的增长速度。未来

本土半导体设备厂商的替代空间巨大。

3. 政策利好,外部环境改善。《纲要》与国家产业基金的开展为半导体产业提供

了有力的支持。按照发展目标,到 2015年,集成电路产业销售收入超过 3500

亿元。32/28 纳米(nm)制造工艺实现规模量产,65-45nm 关键设备在生产

线上得到应用。到 2020 年,集成电路产业与国际先进水平的差距逐步缩小,

全行业销售收入年均增速超过 20%,企业可持续发展能力大幅增强。16/14nm

制造工艺实现规模量产,封装测试技术达到国际领先水平,关键装备和材料进

入国际采购体系,基本建成技术先进、安全可靠的集成电路产业体系。到 2030

年,集成电路产业链主要环节达到国际先进水平,一批企业进入国际第一梯队,

实现跨越发展。

国内半导体设备主要企业

目前,国内半导体设备上市公司数量较少。但随着我国半导体产业链的整体提升与

完善,半导体公司未来上市的机会会越来越多,可供投资者选择的投资标的也会越

来越丰富。而半导体材料作为半导体产业的支撑业,也必将随着半导体产业的繁荣

而兴盛。我们看好国内半导体产业以及半导体支撑业的发展前景,并密切关注行业

内发展。

图 26:国内主要半导体设备企业

设备名称 国内代表厂商

物理气相沉积机 北方微、沈阳拓荆

化学气相沉积机 北方微、中微、沈阳拓荆

等离子刻蚀机 中微、北方微

分步重复投影光刻机 上海微装

引线键合机 中电科、大族激光、中电 45所

氧化扩散炉 七星电子、中电 48所

切割机 中电 45所、大族激光

离子注入机 中科信、中电 48所、凯世通

化学机械抛光机 华海清科、盛美、中电 45所

涂胶机 七星华创、沈阳芯源、劲拓股份

扫描量测机 格兰达 ,睿励,泽尔尼,中科院光电研究所,华瑛

晶体生长设备 晶盛机电

植球机 上海微松

测试机 长川科技

厂房工程总包及设计咨询 太极实业(十一科技)

资料来源:IC China、国信证券经济研究所整理

推荐关注:

七星电子稀缺设备上市企业

Page 20: 设备是产业支柱,机遇与挑战并存pg.jrj.com.cn/acc/Res/CN_RES/INDUS/2016/8/5/f9496376-5b...2016/08/05  · 体材料产业扩张。2. 全球半导体产业向中国转移,中国半导体材料市场占比

请务必阅读正文之后的免责条款部分 全球视野 本土智慧

Page 20

主攻 12寸制程工艺配套设备

北京七星华创电子股份有限公司于 2001 年 9月成立,第一大股东为北京七星华电

科技集团。是一家以集成电路制造工艺技术为核心,以大规模集成电路制造设备、

混合集成电路和电子元件为主营业务的公司。公司各部门包括,集成电路制造设备

事业部(微电子设备分公司、工业炉分公司、电子自动化设备分公司、七星弗洛尔

电子、七星宏泰电子、七星华创磁电科技有限公司、质量流量计分公司),混合集

成电路事业部(微电子分公司、七星华创微波电子、七星华创弗朗特电子),电子

元件事业部(七一八友益电子、七一八友晟电子、晨晶电子)。公司设备产品应用

于光伏、集成电路、LCD、LED、锂电池等领域。七星电子是唯一在 A 股上市的

集成电路制造设备生产商,公司通过承担国家 02 专项课题,主攻 12 寸制程工艺

配套的氧化炉、低压化学汽相淀积、清洗机和气体流量计四项设备的研发生产。

产品丰富,新业务进一步延伸

公司产品不仅包括集成电路制造设备,还包括混合集成电路及电子元件的生产。产

品类别较丰富。

图 27:七星电子主要产品

集成电路制造设备 混合集成电路 电子元件

半导体工

艺设备

扩散氧化退火系统 电源模块 逆变器 高精密电容

器 清洗系统 二合一电源

化学汽相淀积系统 纠偏器

干法刻蚀系统 电源

辅助设备 多媒体广告

太阳能电

池设备

单晶炉系列 厚薄膜混

合集成电

DC/DC 模块

及组件

高精密电阻

多晶硅铸锭炉系列 厚膜混合集

成电路

绿色环保

电池设备

锂离子电池设备 微波产品

工业炉设

真空炉、氢气炉、

隧道炉系列,

微波组件

和模块

低噪声放大

晶体器件

真空甩带炉、多用

烧结炉、氢化处理

炉系列

混频器

TFT-LCD

制造设备

搬运传输带系统 收发组件

进料出料装卸系统 微波功率放

大器

磨边后清洗机 滤波器

气体质量

流量计

流量计研发与销售 振荡器

资料来源:公司资料,国信证券经济研究所整理

按 2015 年报显示,集成电路制造设备占大部分比重,达 36.48%,电子元件占

47.28%,混合集成电路站 8.52%,其它占 7.72%。公司目前设备类业务收入主要

来自于半导体集成电路、锂电池设备、TFT、真空电子设备等行业。

公司的 TFT 设备领域的产品 UV 固化炉、清洗机和移栽设备是公司半导体集成电

Page 21: 设备是产业支柱,机遇与挑战并存pg.jrj.com.cn/acc/Res/CN_RES/INDUS/2016/8/5/f9496376-5b...2016/08/05  · 体材料产业扩张。2. 全球半导体产业向中国转移,中国半导体材料市场占比

请务必阅读正文之后的免责条款部分 全球视野 本土智慧

Page 21

路工艺技术在 TFT领域的产品延伸,市场份额相对较小。目前,TFT 设备领域占

据主导地位的仍然是日本、韩国、台湾等厂商。不过,七星电子的部分 TFT-LCD

设备已经进入如京东方等本土企业。公司电子元器件业务单元毛利率显著增长,主

要是由于公司降低民用元器件的生产规模,暂停生产部分利润较低的产品。另一方

面,公司集中力量研发新型军工电子元器件,提高可靠、高稳定的产品,达到市占

率保持稳定增长的目标。

公司锂离子动力电池设备业务,由于扩充了动力电池和储能行业的多业务布局,相

比与原先的 3C 电池为主产品线更加丰富。公司的锂电池主要产品涵盖浆料搅拌、

精密涂布、强力压膜、电池分切在内的锂电池制造流程的各个主要技术节点。公司

能够为生产锂离子动力电池和储能电池的厂商,提供主要的极片生产设备和工艺整

线。相对于国内其它厂商,公司主要产品质量系数较高,同时在国际市场也具有一

定竞争力。然而公司锂电池业务未来的关键在于技术上能否实现突破,以达到能量

密度一致性,提高循环使用寿命。

设备进入中芯国际和武汉新芯的采购链

目前公司已有设备供应给中芯国际、武汉新芯等客户,产品能够进入像中芯国际这

种一线厂商的生产线是对公司产品极大的肯定,具有深远的意义,说明公司产品具

有较强的竞争力。

中芯国际是大陆集成电路制造业的领先企业,2015 年突破 28nm 制程,成功进入

量产。公司总部位于上海,在上海有一座 300mm 晶圆厂和一座 200mm 晶圆厂;

在北京拥有一座 300mm超大规模晶圆厂,另有一座 300mm先进制程晶圆厂正在

开发中;在天津和深圳分别建有一座 200mm 晶圆厂。2015 年收入约 22 亿美元,

同比增长 13.5%;毛利率 30.5%(主要归功于产能利用率提高);净利润大涨 66%

至 2.5亿。预计中芯国际未来业绩稳定增长,技术逐渐接近国际领先水平,进入中

芯国际供应链将有利于七星电子获得下游的大量订单。

图 28:中芯国际营业收入(亿美元)

资料来源:SMIC,国信证券经济研究所整理

在中芯国际北京二期国产设备采购目标中,也出现了七星电子的计划采购产品,包

括 LPCVD、立式氧化炉。

13

17

21 20 22

0

10

20

30

2011 2012 2013 2014 2015

营业收入

Page 22: 设备是产业支柱,机遇与挑战并存pg.jrj.com.cn/acc/Res/CN_RES/INDUS/2016/8/5/f9496376-5b...2016/08/05  · 体材料产业扩张。2. 全球半导体产业向中国转移,中国半导体材料市场占比

请务必阅读正文之后的免责条款部分 全球视野 本土智慧

Page 22

图 29:中芯国际北京二期(2015 年 35K)七星电子设备采购目标

合 作 厂

考核验证

项目

应 用 工

32nm

baselin

e 开始验

证时间

计 划 完

成时间

该 工

艺 扩

产 设

备 需

求 预

1 七 星 华

LPCVD POLY-

DEP

2012Q4 2013Q4 3 1

2 七 星 华

立式氧化

AAOX 2012Q3 2013Q3 4 2

资料来源:SMIC,国信证券经济研究所整理

武汉新芯一直专注于存储器产品的研发生产,此前主要生产 NOR Flash产品,但

在 2013年后开始研发3D-NAND的开发。存储器项目将在5年内投资240亿美元,

预计到 2020 年形成月产能 30 万片的生产规模,到 2030 年建成每月 100 万片的

产能。存储器是最大宗的半导体产品,也是我国进口金额最大的半导体产品。2014

年,我国半导体进口额达到 2176.2亿美元,其中存储器占 24.9%,大约有超过 500

亿美元的存储器进口规模。此前中国的存储器几乎全部进口,未来预计武汉新芯在

存储器方面的进口替代空间极大,将推动七星电子获得更多订单提升业绩。

收购北方微电子,竞争力大大增强

2015 年 12 月 26 日,七星电子宣布收购北方微电子。北方微电子成立于 2001 年,

是国内致力于发展刻蚀设备、物理气相沉积设备和化学气相沉积设备的领先企业。

已经量产 6-8 英寸硅刻蚀机、介质刻蚀机、金属刻蚀机、金属 PVD 和常压 CVD

产品。北方微电子是国内少数能够为客户提供前道硅刻蚀机和 PVD 的供应商,其

产品与国外竞争者非常接近,已实现部分市场替代。公司产品已经进入下游大型主

流的中芯国际、上海华力、武汉新芯等制造厂,长电科技、华天科技、晶方科技等

封测厂,并建立了稳固的合作关系。

图 30:北方微电子业务结构

资料来源:公司公告,国信证券经济研究所整理

由于七星电子主要的产品为清洗机、扩散/氧化炉和气体质量流量计等。北方微电

子主要产品为刻蚀机、PVD 和 CVD等。此次收购,七星电子能够较好地补充集成

电路设备业务。北方微电子能够通过进入上市公司平台,获得更强大的资金支持,

降低补贴依赖度,提升研发投入。双方能够整合技术资源与资本优势,具有良好的

协同效应。

Page 23: 设备是产业支柱,机遇与挑战并存pg.jrj.com.cn/acc/Res/CN_RES/INDUS/2016/8/5/f9496376-5b...2016/08/05  · 体材料产业扩张。2. 全球半导体产业向中国转移,中国半导体材料市场占比

请务必阅读正文之后的免责条款部分 全球视野 本土智慧

Page 23

中微半导体刻蚀设备走向国际市场

引领国内刻蚀设备,基础良好

中微半导体设备(上海)有限公司是一家致力于微观制程设备的研发、生产、销售

及服务一体化的高科技创业公司。是国内首家加工亚微米及纳米级大规模集成线路

关键设备的公司。

中微公司汇聚了一大批来自国际领先的半导体设备公司的精英,具有丰富的管理与

开发经验,其中多位参与并领导了世界前两代多个成功的半导体制程设备的开发及

市场引入。在公司成立的 2年半时间里,就开发出了具有独立自主知识产权的等离

子体刻蚀设备 Primo D-RIE,可用于 12英寸,90纳米(可延伸至 65纳米)高端

工艺的芯片制造生产,显示出其在半导体设备制造行业中所具有的良好的基础。

中微公司于 2004 年 8月在上海浦东张江高科技园区建立了研发及运营中心。2005

年 1月在金桥开发区举行了7,000平方米办公及生产用厂房的一期工程的开工奠基

仪式。目前中微有 28,000 平方米土地,两栋厂房。研发洁净室 1000 平方米,生

产洁净室 4500 平方米,材料仓库 6500 平方米。第二厂房的第二期全部完成后,

将达到每年 500 台设备,100 亿人民币的生产能力。

产品聚焦于刻蚀与 MOCVD

公司产品聚焦于刻蚀和薄膜核心领域,并正在扩展芯片产品线,布局半导体照明等

产业。试图最大限度利用拥有的产品资源,大大缩短开发周期和减少开发成本。目

前公司拥有以下产品:

图 31:中微半导体设备主要产品

产品名称 产品描述

芯片介质刻蚀设备 可用于刻蚀 45/28/20/15/10 纳米芯

片加工

硅通孔刻蚀设备 可用于 3D IC,MEMS,LED,

Interposer,Dicing 等产品的刻蚀加

MOCVD 设备 用于 LED 和功率器件的加工

等 离 子体 介

质刻蚀机

第一代 DSC D-RIE 已在多条 65/40/28纳米的生产线上

实现量产

第二代 DSC AD-RIE 和

iDEA 4+2 28-20 纳米

已在多条生产线上实现量产

第三代 SSC AD-RIE 20-10 纳米,其中 20 纳米已经实现

量产,16 纳米的即将量产

资料来源:公司信息,国信证券经济研究所整理

半导体前段刻蚀和薄膜设备,将有 50 亿美元的市场。先进封装 MEMS 刻蚀,将

有 20亿美元的市场。MOCVD,将有 20亿美元的市场。产品市场空间较大。

刻蚀机增长迅速,占国内出口六成

公司在线刻蚀机反应台数量每年以 50%左右的速度实现增长。公司预计在 2014年

将达到 376台的装机量在国际先进的芯片公司的 27个生产线上实现量产。

Page 24: 设备是产业支柱,机遇与挑战并存pg.jrj.com.cn/acc/Res/CN_RES/INDUS/2016/8/5/f9496376-5b...2016/08/05  · 体材料产业扩张。2. 全球半导体产业向中国转移,中国半导体材料市场占比

请务必阅读正文之后的免责条款部分 全球视野 本土智慧

Page 24

图 32:中微半导体在线刻蚀机反应台数量(单位:台)

资料来源:公司资料、国信证券经济研究所整理

截止 2014 年 8 月底,中微在 22 条芯片生产线累计加工晶圆数量已经超过 1446

万片。40/45 纳米和 28/20 纳米晶圆都超过每月 25 万片晶圆。自 2013 年 8 月以

来,MEMS和 CIS晶圆超过每月 4万片晶圆。

图 33:中微半导体累计加工晶圆数量(单位:万片)

资料来源:公司资料、国信证券经济研究所整理

2013 年全国泛半导体设备出口额为 2.93 亿元,其中,中微半导体设备出口量达

1.89 亿元,占全国泛半导体设备出口量的 64%。2014年中微预计出口会提高 70%,

占全国设备总出口的 75%以上。说明中微半导体设备已经成为国内行业翘楚,其

产品质量及要求达到一定的国外厂商认可,是企业拥有较强核心竞争力的体现。另

外,国内出口量中前三名分别为中微半导体、格兰达、芯源,三家总市占率超过

94%,集中度强,表明其它厂商还是有较大差距。

刻蚀已占国内半数,产品不断进步

公司的产品很多都已经被应用于国际先进生产线。第 2.5代刻蚀机在南韩最先进的

生产线上,中微 26nm 到 16nm接触孔刻蚀已经顺利应用。在南韩 20nm的生产线

上,去年已达到每月 4万片的量产。公司的 SSC 是 20nm核准的刻蚀机,已经达

到每月 3万 5000 片晶圆的产能。第三代 SSC已有 28 个反应器,在 16nm器件的

及触控刻蚀核准中,成为了唯一合格的首选设备,已达到每月 14 万片晶圆的大规

模量产,在下游厂商中能够取代美国最好的刻蚀机。中微的 TSV 深沟刻蚀经过技

术改进,刻蚀速度从 8微米每分钟提高到了 12毫米每分钟,边墙的波纹降到 50nm,

产品性能有显著提高。目前,公司已有 200多刻蚀反应机台在亚洲地区 20多条国

际生产线上运行,在国内占 50%以上的市场份额。另外,产品经过拓展,业务范

围拓宽。公司将微观加工设备的核心应用范围,由半导体前工序的高端刻蚀,拓展

到包括 3D 的先进封装,MEMS在内硅通孔刻蚀,再扩展到制造 LED和功率器件

的关键设备 MOCVD,产品市场容量达到百亿美元以上。且公司 MOCVD 设备性

Page 25: 设备是产业支柱,机遇与挑战并存pg.jrj.com.cn/acc/Res/CN_RES/INDUS/2016/8/5/f9496376-5b...2016/08/05  · 体材料产业扩张。2. 全球半导体产业向中国转移,中国半导体材料市场占比

请务必阅读正文之后的免责条款部分 全球视野 本土智慧

Page 25

能良好,获得过国家工博会银奖,目前已经进入国内 LED知名厂商。

参股沈阳拓荆,布局 CVD

半导体薄膜设备是芯片制造生产线上最重要的 4种设备之一。一条投资 70亿美元

的芯片制造生产线,需要 5亿美元左右来采购 100多台 PECVD(等离子体增强化

学气相沉淀设备。

而沈阳拓荆正是我国致力于研究和生产世界领先的极大规模集成电路行业专用薄

膜设备的优秀企业。沈阳拓荆科技有限公司是由中国科学院所属公司和海外技术专

家于 2010 年 4月组建的高新技术企业,承担国家科技部“十一五”重大专项。

公司坐落于沈阳国家级高新技术产业区,拥有最高级别的洁净厂房和国际一流的薄

膜检测设备。主营产品包括 4-12 英寸的 PECVD 设备,其中 4-6 英寸 PECVD 设

备经国 NOVELLUS 授权已经在国内实现规模化生产,12 英寸 PECVD 设备承担

国家科技部“十一五”重大专项自主研发,拥有 100%知识产权。

图 34:拓荆科技主要产品

公司产品 具体产品 主要功能

8 英寸 PECVD

获得美国 NOVELLUS(诺发)C1 授权,

具有成熟的二氧化硅、氮化硅、氮氧硅

等镀膜工艺。

12 英寸 PECVD

自主研发,100%产权。用于 90-65 纳米

集成电路生产,具有 45-32 纳米技术延

伸性。具有高质量的 SiO2、Si3N4、

SiOxNy、SiC 等薄膜制备工艺技术。

4-6 英寸 PECVD

获得美国 NOVELLUS(诺发)C1 授权,

具有成熟的二氧化硅、氮化硅、氮氧硅

等镀膜工艺。全自动沉积工艺、七站连

续(七十五片)自动转换沉积。

2-12 英寸单腔

PECVD

用于 2-12英寸等离子体增强化学气相沉

积。

资料来源:公司资料,国信证券经济研究所整理

公司获得国家 2.7亿元集成电路产业发展基金投资,公司开始建设国内首个半导体

薄膜设备生产基地。该项目总投资 3亿元,年底将正式投入使用。预计投入使用后,

每年将有 100到 300 台设备产出,对应 30亿元产值。目前,公司现有具备 20台

套/年的产能。其生产的 12英寸 PECVD设备目前已经在中芯国际获得 4台套的重

复订单。中微在 2015 年 12月参股沈阳拓荆,积极布局 CVD领域。

盛美半导体清洗设备进入一流生产线

掌握核心技术,前景良好

盛美半导体设备有限公司(ACM)1998 年在美国硅谷成立。于 2006 年 9 月在上

海建立子公司。公司位于上海张江高科技术园区。公司专门从事湿法设备包括单晶

硅片清洁设备,铜无应力抛光设备和镀铜设备的研发与生产。公司在半导体设备相

关领域有超过 60项专利,并且还有 80多项专利在申请中。公司作为 2005 年科教

兴市重大专项上海重点引进的企业,以全球最先进的铜互连制程技术及设备填补了

Page 26: 设备是产业支柱,机遇与挑战并存pg.jrj.com.cn/acc/Res/CN_RES/INDUS/2016/8/5/f9496376-5b...2016/08/05  · 体材料产业扩张。2. 全球半导体产业向中国转移,中国半导体材料市场占比

请务必阅读正文之后的免责条款部分 全球视野 本土智慧

Page 26

上海半导体装备工业的空白。公司 65-45nm 铜互连无应力抛光设备研发项目曾于

2005 年获得项目国拨资金 8135 万元,上海配套资金 8135万元。公司 CEO 王晖

博士为国家“千人计划”人才。

公司产品的核心技术具有差异化,与竞争者相比具有独特的、明显的优势。核心技

术产品是下游厂商的未来需要,能够支持下游厂商进一步微小化加工的要求,而同

时竞争者的技术已经不能够满足客户需求。更为重要的是,公司的核心技术受到专

利保护,产品不能被竞争者复制,具有竞争优势。

基于清洗设备,向外延伸

1. 湿法单片设备

湿法单片设备是集成电路制造中的必有环节,公司拥有以下产品:

图 35:ACM 湿法单片设备主要产品

集成电路 先进封装 晶圆制造

刻蚀后清洗 涂胶机 抛光后清洗

抛光后清洗 显影机 边缘蚀刻机

离子注入/灰化后清洗 湿法去胶机 硅蚀刻机

扩散前清洗 金属蚀刻机

薄膜沉积前清洗 刷洗机

背面清洗/蚀刻 硅蚀刻机

刷洗机 焊料清洗机

湿法刻蚀 TSV 深孔清洗机

高温硫酸清洗

干法清洗机

资料来源:公司资料,国信证券经济研究所整理

公司湿法单片项目已经列入国家 02 重大专项立项后补助项目“45-22 纳米单片晶

圆清洗装备研发与应用”。拥有自主知识产权的 SAPS兆声波清洗技术,SAPS面

世以后一举击败行业内独霸市场多年的纳米喷嘴技术。当颗粒小于 65nm后,传统

的槽式兆声波(SC-1),颗粒去除效率小于 50%。纳米喷嘴(NANO_SPRAY(DIW))

的颗粒去除效率小于 30%。而 SAPS技术颗粒去除效率能够控制在 60~80%。

图 36:SAPS、SC-1、NANO_SPRAY技术颗粒去除效率对比

44-50nm 50-65nm 65-80nm

NANO_SPRAY -3% 29% 65%

Wet Bench SC1 46% 50% 74%

SAPS 兆声波 63% 79% 91%

资料来源:公司资料,国信证券经济研究所整理

2.无应力抛光设备

无应力抛光技术用于良好的加工效果,且在 20nm以下会更加凸显。公司无应力抛

光设备在 2008年列入国家 02 专项“65-45nm 铜互连无应力抛光设备研发项目”。

随着下游 20nm工艺的普及,无应力抛光技术产品有更加广阔的发展空间。

3. 镀铜设备

盛美镀铜技术方面首先提出局部镀膜的概念,并与 1998 年 2 月 12 日获得该技术

的优先权,在美国注册专利。解决了在薄籽晶层及微小尺寸上无间隙填空的问题。

并在 2013年列入国家 02重大专项“45-14nm铜互连镀铜设备研发与应用”。

Page 27: 设备是产业支柱,机遇与挑战并存pg.jrj.com.cn/acc/Res/CN_RES/INDUS/2016/8/5/f9496376-5b...2016/08/05  · 体材料产业扩张。2. 全球半导体产业向中国转移,中国半导体材料市场占比

请务必阅读正文之后的免责条款部分 全球视野 本土智慧

Page 27

公司产品进入国际一流生产线

公司在 2010 年完成了 12 英寸 45nm 半导体单片清洗设备生产型样机制造,并进

入 Hynix 总部进行大生产测试。并在 2010 年 10 月获得 Hynix 颁发的大生产验证

通过报告。而后盛美对工艺进行了进一步优化,最新量产测试结果显示,对于

45-65nm 超微小颗粒的去除效率达到了 74%,竞争者 DNS/东京电子仅为 13%。

使用盛美设备清洗后单道的最终良率较 DNS/东京电子提升了 1.5%(20 盒*25 片/

盒=500 片生产型硅片)。明显的技术优势使得盛美在 2011 年 5月获得 Hynix 量产

正式订单。作为全球高端 12英寸半导体设备消费市场,韩国占总消费额的 30%以

上。此举对于中国半导体设备企业具有非凡意义。

公司集成电路产品 Cu/low k,已经获得下游客户三星、台积电试样,上海集成电路

中心联合开发。先进封装产品 TSV,已经获得客户 SEMATECH、华进联合开发。

MEMS干法刻蚀已经获得客户中科院微电子研究所。

上海微电子光刻机正在突破

专注技术突破,奠定产品基础

上海微电子装备有限公司坐落于张江高科园区。公司成立于 2002年,主要致力于

大规模工业生产的投影光刻机研发、生产、销售与服务,公司产品可广泛应用于 IC

制造与先进封装、MEMS、TSV/3D、TFT-OLED 等制造领域。由于光刻机属于重

大战略装备,发达国家在光刻机设备出口方面实行了严密的封锁。这就使得国产光

刻机要取得突破,首先得在技术上取得各项突破。截止 2013 年 12 月,SMEE 直

接持有专利 1400 多项,涉及光刻设备整机、光刻设备部件、光刻工艺、光刻材料、

半导体器件等多技术领域。拥有大量自主创新的核心技术能力,使得企业具有良好

的基础,寻求光刻机设备领域国产化的突破。

深耕光刻多年,产品技术先进

公司通过上 10 年的深耕,已经具备较为丰富的光刻机产品。包括应用于前道 IC制

造、后道 IC、MEMS 制造、AM-OLED 显示屏制造、芯片级封装工艺应用、光刻

机及刻蚀机应用、精密温度控制等产品。产品技术逼近世界先进水平,跻身世界前

四行列,正在突破国际厂商的垄断格局。

图 23:SMEE主要产品

产品系列 具体产品 应用

600 扫描光刻机 SSA600/20 步进扫

描光刻机

可实现前道 IC制造 90nm关键层及

非关键层,可用于 8 寸线和 12 寸线

的大规模生产

SSC600/10 步进扫

描光刻机

可实现前道 IC 制造 130nm 关键层

及非关键层,可用于 8 寸线和 12

寸线的大规模生产

SSB600/10 步进扫

描光刻机

可实现前道 IC 制造 280nm 关键层

及非关键层,可用于 8 寸线和 12

寸线的大规模生产

500 步进光刻机 SSB500/20B 步进

投影光刻机

集成电路后道凸块,如:金凸块、

焊料凸块、铜柱、晶圆级封装、重

新布线等。

SSB500/20M 步进

投影光刻机

3D-TSV 封装、MEMS器件制造,

可满足 200mm 和 300mm 硅片

TSV、MEMS

Page 28: 设备是产业支柱,机遇与挑战并存pg.jrj.com.cn/acc/Res/CN_RES/INDUS/2016/8/5/f9496376-5b...2016/08/05  · 体材料产业扩张。2. 全球半导体产业向中国转移,中国半导体材料市场占比

请务必阅读正文之后的免责条款部分 全球视野 本土智慧

Page 28

300 光刻机系列 SSB300/10A 步进

投影光刻机

2-6英寸基底 LED的 PSS和电极的

光刻工艺

SSB300/10M 步进

投影光刻机

2-6 英寸基底 MEMS 和 Power

Devices 的光刻工艺

200 光刻机系列 SSB200/10 投影光

刻机

专用于 AM-OLED 显示屏 TFT电路

制造,可使用 200mm × 200mm

基板

SSB225/10 投影光

刻机

专用于 AM-OLED 显示屏 TFT电路

制造,可满足 OLED 生产线 G2.5

SSB245/10 投影光

刻机

专用于 AM-OLED 显示屏 TFT电路

制造,可满足 OLED 生产线 G4.5

硅片边缘曝光机 SWEE100/10 硅片

边缘曝光机

支持 notch 和 flat 切口形式的 150、

200、300mm 硅片,精度达 0.1mm

精密温度控制器 超精密温控 为光刻机提供环境温度控制,温度

精度 0.01℃,范围可达 10℃至 40℃。

高精密温控 为刻蚀、薄膜淀积提供温控,温度

精度 0.3℃,范围可达-20℃至 80℃。

精密温控 为工艺腔提供温度控制,温度精度

1℃,范围可达 30℃至 90℃。

精密温度控制解

决方案

各种类型的超高精

度温度控制全面解

决方案,可按客户具

体需求提供从设计、

制造、安装、调试到

维护的一条龙服务

可应用于光学镜头、光栅、精密元

件、精密仪器和精密机床制造等领

域,尤其适合于材料提纯、测试、

测量和定位定准等各种科学实验场

合。

资料来源:公司资料,国信证券经济研究所整理

先进封装光刻机占据国内 80%市场

2013 年 8 月,通过充分的工艺测试,上微首台用于 2.5 代生产线的新型显示光刻

机正式上线昆山一家企业。2010年,SSB200/10 OLED研发光刻机生产出自主知

识产权的 1.6英寸 OLED显示屏,将国产光刻设备用于平板显示领域,实现突破。

2012 年,上微的光刻机走进台湾先进封装市场,直面竞争。目前,上微已占据国

内先进封装光刻机市场的 80%份额,并迫使竞争对手降低产品价格 1/3,具有相当

的竞争力。与国外光刻机的差距虽然仍旧存在,但是已经大大缩小了,未来依托本

土产业环境的发展,有望进一步突破,打造更具市场竞争力的产品。

沈阳拓荆薄膜设备实现国产化替代

专注技术突破,奠定产品基础

半导体薄膜设备是芯片制造生产线上最重要的 4种设备之一。一条投资 70亿美元

的芯片制造生产线,需要 5亿美元左右来采购 100多台 PECVD(等离子体增强化

学气相沉淀设备。

而沈阳拓荆正是我国致力于研究和生产世界领先的极大规模集成电路行业专用薄

膜设备的优秀企业。沈阳拓荆科技有限公司是由中国科学院所属公司和海外技术专

家于 2010 年 4月组建的高新技术企业,承担国家科技部“十一五”重大专项。

公司坐落于沈阳国家级高新技术产业区,拥有最高级别的洁净厂房和国际一流的薄

Page 29: 设备是产业支柱,机遇与挑战并存pg.jrj.com.cn/acc/Res/CN_RES/INDUS/2016/8/5/f9496376-5b...2016/08/05  · 体材料产业扩张。2. 全球半导体产业向中国转移,中国半导体材料市场占比

请务必阅读正文之后的免责条款部分 全球视野 本土智慧

Page 29

膜检测设备。主营产品包括 4-12 英寸的 PECVD 设备,其中 4-6 英寸 PECVD 设

备经国 NOVELLUS 授权已经在国内实现规模化生产,12 英寸 PECVD 设备承担

国家科技部“十一五”重大专项自主研发,拥有 100%知识产权。

图 23:拓荆科技主要产品

公司产品 具体产品 主要功能

8 英寸 PECVD

获得美国 NOVELLUS(诺发)C1 授权,

具有成熟的二氧化硅、氮化硅、氮氧硅

等镀膜工艺。

12 英寸 PECVD

自主研发,100%产权。用于 90-65 纳米

集成电路生产,具有 45-32 纳米技术延

伸性。具有高质量的 SiO2、Si3N4、

SiOxNy、SiC 等薄膜制备工艺技术。

4-6 英寸 PECVD

获得美国 NOVELLUS(诺发)C1 授权,

具有成熟的二氧化硅、氮化硅、氮氧硅

等镀膜工艺。全自动沉积工艺、七站连

续(七十五片)自动转换沉积。

2-12 英寸单腔

PECVD

用于 2-12英寸等离子体增强化学气相沉

积。

资料来源:公司资料,国信证券经济研究所整理

公司获得国家 2.7亿元集成电路产业发展基金投资,公司开始建设国内首个半导体

薄膜设备生产基地。该项目总投资 3亿元,年底将正式投入使用。预计投入使用后,

每年将有 100到 300 台设备产出,对应 30亿元产值。目前,公司现有具备 20台

套/年的产能。其生产的 12英寸 PECVD设备目前已经在中芯国际获得 4台套的重

复订单。

Page 30: 设备是产业支柱,机遇与挑战并存pg.jrj.com.cn/acc/Res/CN_RES/INDUS/2016/8/5/f9496376-5b...2016/08/05  · 体材料产业扩张。2. 全球半导体产业向中国转移,中国半导体材料市场占比

请务必阅读正文之后的免责条款部分 全球视野 本土智慧

Page 30

国信证券投资评级

类别 级别 定义

股票

投资评级

买入 预计 6 个月内,股价表现优于市场指数 20%以上

增持 预计 6 个月内,股价表现优于市场指数 10%-20%之间

中性 预计 6 个月内,股价表现介于市场指数 ±10%之间

卖出 预计 6 个月内,股价表现弱于市场指数 10%以上

行业

投资评级

超配 预计 6 个月内,行业指数表现优于市场指数 10%以上

中性 预计 6 个月内,行业指数表现介于市场指数 ±10%之间

低配 预计 6 个月内,行业指数表现弱于市场指数 10%以上

分析师承诺

作者保证报告所采用的数据均来自合规渠道,分析逻辑基于本人的职业理解,通过

合理判断并得出结论,力求客观、公正,结论不受任何第三方的授意、影响,特此

声明。

风险提示

本报告版权归国信证券股份有限公司(以下简称“我公司”)所有,仅供我公司客

户使用。未经书面许可任何机构和个人不得以任何形式使用、复制或传播。任何有

关本报告的摘要或节选都不代表本报告正式完整的观点,一切须以我公司向客户发

布的本报告完整版本为准。本报告基于已公开的资料或信息撰写,但我公司不保证

该资料及信息的完整性、准确性。本报告所载的信息、资料、建议及推测仅反映我

公司于本报告公开发布当日的判断,在不同时期,我公司可能撰写并发布与本报告

所载资料、建议及推测不一致的报告。我公司或关联机构可能会持有本报告中所提

到的公司所发行的证券头寸并进行交易,还可能为这些公司提供或争取提供投资银

行业务服务。我公司不保证本报告所含信息及资料处于最新状态;我公司将随时补

充、更新和修订有关信息及资料,但不保证及时公开发布。

本报告仅供参考之用,不构成出售或购买证券或其他投资标的要约或邀请。在任何

情况下,本报告中的信息和意见均不构成对任何个人的投资建议。任何形式的分享

证券投资收益或者分担证券投资损失的书面或口头承诺均为无效。投资者应结合自

己的投资目标和财务状况自行判断是否采用本报告所载内容和信息并自行承担风

险,我公司及雇员对投资者使用本报告及其内容而造成的一切后果不承担任何法律

责任。

证券投资咨询业务的说明

证券投资咨询业务是指取得监管部门颁发的相关资格的机构及其咨询人员为证券

投资者或客户提供证券投资的相关信息、分析、预测或建议,并直接或间接收取服

务费用的活动。

证券研究报告是证券投资咨询业务的一种基本形式,指证券公司、证券投资咨询机

构对证券及证券相关产品的价值、市场走势或者相关影响因素进行分析,形成证券

估值、投资评级等投资分析意见,制作证券研究报告,并向客户发布的行为。

Page 31: 设备是产业支柱,机遇与挑战并存pg.jrj.com.cn/acc/Res/CN_RES/INDUS/2016/8/5/f9496376-5b...2016/08/05  · 体材料产业扩张。2. 全球半导体产业向中国转移,中国半导体材料市场占比

请务必参阅正文之后的免责条款部分 全球视野 本土智慧

国信证券经济研究所团队成员 宏观

董德志 021-60933158

陶 川 010-88005317

燕 翔 010-88005325

李智能 0755-22940456

策略

郦 彬 021-6093 3155

朱俊春 0755-22940141

王佳骏 021-60933154

技术分析

闫 莉 010-88005316

固定收益

董德志 021-60933158

赵 婧 0755-22940745

魏玉敏 021-60933161

柯聪伟 021-60933152

医药生物

江维娜 021-60933157

邓周宇 0755-82133263

万明亮

梁东旭 0755-82130646

徐衍鹏 021-60875165

谢长雁 0755-82133263

互联网

王学恒 010-88005382

何立中 010-88005322

纺织 /日化 /零售

郭陈杰 021-60875168

朱 元 021-60933162

社会服务(酒店、餐饮和休闲)

曾 光 0755-82150809

钟 潇 0755-82132098

张峻豪 0755-22940141

家电

王念春 0755-82130407

通信服务

程 成 0755-22940300

王齐昊 0755-22940673

电子

刘 翔 021-60875160

蓝逸翔 021-60933164

马红丽 021-60875174

环保与公共事业

陈青青 0755-22940855

邵 潇 0755-22940659

军工及主题投资

李君海 010-88005315

王 东 010-88005309

徐培沛 0755-22940793

非金属及建材

黄道立 0755-82130685

刘 宏 0755-22940109

房地产

区瑞明 0755-82130678

朱宏磊 0755-82130513

电力设备新能源

杨敬梅 021-60933160

化工

苏 淼 021-60933150

建筑工程

刘 萍 0755-22940678

轻工造纸

邵 达 0755-82130706

汽车及零部件

梁 超 0755-22940097

非银行金融

陈 福

赵秋实

王继林

金融工程

吴子昱 0755-22940607

黄志文 0755-82133928

邹 璐 0755-82130833-701418

Page 32: 设备是产业支柱,机遇与挑战并存pg.jrj.com.cn/acc/Res/CN_RES/INDUS/2016/8/5/f9496376-5b...2016/08/05  · 体材料产业扩张。2. 全球半导体产业向中国转移,中国半导体材料市场占比

请务必参阅正文之后的免责条款部分 全球视野 本土智慧

国信证券机构销售团队

华北区(机构销售一部) 华东区(机构销售二部) 华南区(机构销售三部) 海外销售交易部

李文英 010-88005334

13910793700

[email protected]

汤静文 021-60875164

13636399097

[email protected]

赵晓曦 0755-82134356

15999667170

[email protected]

赵冰童 0755-82134282

13693633573

[email protected]

夏 坤

13726685252

吴 国

15800476582

邵燕芳 0755-82133148

13480668226

[email protected]

梁 佳 0755-25472670

13602596740

[email protected]

王 玮

13726685252

梁轶聪 021-60873149

18601679992

[email protected]

颜小燕 0755-82133147

13590436977

[email protected]

程可欣

886-0975503529(台

湾)

[email protected]

许 婧

18600319171

倪 婧

18616741177

黄明燕

18507558226

夏 雪

18682071096

边祎维

13726685252

林 若

13726685252

刘紫微

13828854899

吴翰文

13726685252

王艺汀

13726685252

张南威

13726685252

简 洁

13726685252

周 鑫

13726685252

张欣慰

13726685252