Top Banner
Dusan Petranovic & Karen Chow 3D-IC System Verification Methodology: Solutions and Challenges Marketing, Design to Silicon Division April 2011
33

Dusan Petranovic & Karen Chow 3D-IC System Verification Methodology: Solutions and Challenges Marketing, Design to Silicon Division April 2011.

Dec 17, 2015

Download

Documents

Welcome message from author
This document is posted to help you gain knowledge. Please leave a comment to let me know what you think about it! Share it to your friends and learn new things together.
Transcript
Page 1: Dusan Petranovic & Karen Chow 3D-IC System Verification Methodology: Solutions and Challenges Marketing, Design to Silicon Division April 2011.

Dusan Petranovic & Karen Chow

3D-IC System Verification Methodology: Solutions and Challenges

Marketing, Design to Silicon Division

April 2011

Page 2: Dusan Petranovic & Karen Chow 3D-IC System Verification Methodology: Solutions and Challenges Marketing, Design to Silicon Division April 2011.

2© 2010 Mentor Graphics Corp. Company Confidentialwww.mentor.com3D-IC System Verification Methodology

Agenda

I. Introduction

II. Die stacking configurations and descriptions

III. Stack verification methodologies

IV. Example

V. New challenges and future work

VI. Conclusion

Page 3: Dusan Petranovic & Karen Chow 3D-IC System Verification Methodology: Solutions and Challenges Marketing, Design to Silicon Division April 2011.

3© 2010 Mentor Graphics Corp. Company Confidentialwww.mentor.com3D-IC System Verification Methodology

TSV-based 3D-IC Technology

3D Integration Drivers– Complexity, increasing cost and saturating performance curve

of SoC technology – Possibility of heterogeneous 3D integration to optimize

technology and cost for each chip– Improved performance and power– Miniaturization - improved capacity/volume ratio

3D Integration Issues– Reliable, repeatable and cost effective manufacturing– Reliable power delivery and on-chip thermal management– Lack of methodology and EDA tools to support efficient design

(and verification)– Lack of test vehicles and data for validation of new solutions

Page 4: Dusan Petranovic & Karen Chow 3D-IC System Verification Methodology: Solutions and Challenges Marketing, Design to Silicon Division April 2011.

4© 2010 Mentor Graphics Corp. Company Confidentialwww.mentor.com3D-IC System Verification Methodology

EDA Challenges

Physically aware architectural exploration of increasing number of system level options

Determination of optimal 3D granularity and number of stack levels to achieve performance benefit through 3D integration

Optimal TSV allocation and congestion management through 3D floor-planning, placement and routing

Thermal management and thermally aware physical design 3D IC related Stress sources and impact on parametric yield Power grid design to avoid IR drop and electro-migration

problems Determination of modeling and extraction accuracy needed

to analyze TSV effects and dies interactions and model flow integration

3D stack verification and testing Application and design domain specific solutions

Page 5: Dusan Petranovic & Karen Chow 3D-IC System Verification Methodology: Solutions and Challenges Marketing, Design to Silicon Division April 2011.

5© 2010 Mentor Graphics Corp. Company Confidentialwww.mentor.com3D-IC System Verification Methodology

Physical Verification:Mentor’s Technology Leadership

Olympus-SoC™

Physical Design

Calibre® TestKompress®

YieldAssist™

LayoutVerificationAnalysis &

Enhancement

Production Testing

YieldLearning

Mask Preparation, Verification & Enhancement

FABRICATION PRODUCTION TEST

YIELD ANALYSIS

Mentor Consulting and Yield Enhancement Services

DESIGN CLOSURE

SIGNOFF VERIFICATION

Prioritization: 3D Verification

needs to come first

63%16%

14%5% 2%

DRC Market Share 2009 Mentor

SynopsysCadenceMagmaOther

(Source: Gary Smith EDA, October 2010)

Page 6: Dusan Petranovic & Karen Chow 3D-IC System Verification Methodology: Solutions and Challenges Marketing, Design to Silicon Division April 2011.

6© 2010 Mentor Graphics Corp. Company Confidentialwww.mentor.com3D-IC System Verification Methodology

Agenda

I. Introduction

II. Die stacking configurations and descriptions

III. Stack verification methodologies

IV. Example

V. New challenges and future work

VI. Conclusion

Page 7: Dusan Petranovic & Karen Chow 3D-IC System Verification Methodology: Solutions and Challenges Marketing, Design to Silicon Division April 2011.

7© 2010 Mentor Graphics Corp. Company Confidentialwww.mentor.com

3D-IC Stack Description

Configuration file to describe 3D-IC Stack — Supports various stacking configurations— List of Dies with their order number— Information of the die position, orientation , rotation— Text ports at the bump or pad locations— Interface type, geometry and materials

Die interface

Pad text label and location

Chip orientation and location

3D-IC System Verification Methodology

Page 8: Dusan Petranovic & Karen Chow 3D-IC System Verification Methodology: Solutions and Challenges Marketing, Design to Silicon Division April 2011.

8© 2010 Mentor Graphics Corp. Company Confidentialwww.mentor.com

3D-IC Stack Configurations

2.5D Stacking, Interposer 3D Stacking, Die on Die

Advantage: No on-chip TSVs Advantage: form factor, performance

Concern: Interposer size and cost Concern: TSV integration, thermal, stress

3D-IC System Verification Methodology

LogicDie

Package Substrate

Back Metal Layers

Active CircuitryTop Metal Layers

Top Metal Layers

Memory Die

Active Circuitry

TSV

Top Metal Layers

Silicon

Active Circuitry

Package Substrate

Passive Interposer

Top Metal Layers

Silicon

Active Circuitry

Metal Layers

Page 9: Dusan Petranovic & Karen Chow 3D-IC System Verification Methodology: Solutions and Challenges Marketing, Design to Silicon Division April 2011.

9© 2010 Mentor Graphics Corp. Company Confidentialwww.mentor.com3D-IC System Verification Methodology

Agenda

I. Introduction

II. Die stacking configurations and descriptions

III. Stack verification methodologies

IV. Example

V. New challenges and future work

VI. Conclusion

Page 10: Dusan Petranovic & Karen Chow 3D-IC System Verification Methodology: Solutions and Challenges Marketing, Design to Silicon Division April 2011.

10© 2010 Mentor Graphics Corp. Company Confidentialwww.mentor.com

3D-IC DRC/LVS/PEX

3D-IC System Verification Methodology

DRC/LVS of the double sided dies in the stack including TSV and backside metal

TSV as LVS device or as a VIA Model of arbitrary complexity supported

for TSV in simulation Calibration of front and back metal

stacks, combined or separated Double sided die front and back metal

parasitic extraction

DRC/LVS connectivity check at the die to die or die to interposer interfaces (micro-bumps or pads locations)

Pad text label and location

Chip orientation and location

TS

V

Devices

Back Metal

Front Metal

Substrate

TS

VDevices

Back Metal

Front Metal

Substrate

Package Substrate

C4 bumps

Micro-bumps

Page 11: Dusan Petranovic & Karen Chow 3D-IC System Verification Methodology: Solutions and Challenges Marketing, Design to Silicon Division April 2011.

11© 2010 Mentor Graphics Corp. Company Confidentialwww.mentor.com

3D-IC Verification Flows

3D-IC System Verification Methodology

Top Metal Layers

Silicon Active

Circuitry

Package Substrate

Passive Interposer

Top Metal Layers

Silicon Active

Circuitry

Metal Layers

LogicDie

Package Substrate

Back Metal Layers

Active CircuitryTop Metal Layers

Top Metal Layers

Memory Die

Active Circuitry

TSV

Die 1GDS

LEF/DEF

DRCLVSxRC

SPICE orSPEF Netlist

Die 2GDS

LEF/DEF

DRCLVSxRC SPICE or

SPEF Netlist

3D-IC Stack

Config file

3D-IC Stackverification

SPICE/SPEF Netlist

Results&

Reports

Single net-list for double sided die including front metal parasitics, TSV and back metal parasitics stack including TSV and backside metal

Combined netlist, if desired, for simulation across the dies in the stack

Page 12: Dusan Petranovic & Karen Chow 3D-IC System Verification Methodology: Solutions and Challenges Marketing, Design to Silicon Division April 2011.

12© 2010 Mentor Graphics Corp. Company Confidentialwww.mentor.com

3D-IC Verification Flows: Differences

Analog (TSV as LVS device) vs. Digital (TSV as a VIA)

3D vs. 2.5D Verification— LVS/PEX of an interposer requires additional steps in 2.5D— Thermal and stress issues more complex for 3D

3D-IC System Verification Methodology

Analog flow

Requires more accurate TSV model

Treat TSV as a LVS device

LVS device described by Spice subcircuit

Spice simulation

Digital flow

Lower accuracy requirements

Treat TSV as a via

Extraction tool generates R(C) modelCan be replaced by provided model

Static timing analysis

Page 13: Dusan Petranovic & Karen Chow 3D-IC System Verification Methodology: Solutions and Challenges Marketing, Design to Silicon Division April 2011.

13© 2010 Mentor Graphics Corp. Company Confidentialwww.mentor.com3D-IC System Verification Methodology

Agenda

I. Introduction

II. Die stacking configurations and descriptions

III. Stack verification methodologies

IV. Example

V. New challenges and future work

VI. Conclusion

Page 14: Dusan Petranovic & Karen Chow 3D-IC System Verification Methodology: Solutions and Challenges Marketing, Design to Silicon Division April 2011.

14© 2010 Mentor Graphics Corp. Company Confidentialwww.mentor.com3D-IC System Verification Methodology

Example

A 3D-IC was designed using a 65-nm technology, with a logic die, a memory die and micro-bumps connecting the two.

LogicDie

Package Substrate

Back Metal Layers

Active CircuitryTop Metal Layers

Top Metal Layers

Memory Die

Active Circuitry

TSV

Page 15: Dusan Petranovic & Karen Chow 3D-IC System Verification Methodology: Solutions and Challenges Marketing, Design to Silicon Division April 2011.

15© 2010 Mentor Graphics Corp. Company Confidentialwww.mentor.com

Calibre Verification

3D-IC System Verification Methodology

Page 16: Dusan Petranovic & Karen Chow 3D-IC System Verification Methodology: Solutions and Challenges Marketing, Design to Silicon Division April 2011.

16© 2010 Mentor Graphics Corp. Company Confidentialwww.mentor.com

Design Rule Checking

Step 1: Run Calibre DRC of the logic and memory dies independently

Step 2: Merge the top metal layer of the memory die, and the back metal layer of the logic die together to check for connectivity errors.

3D-IC System Verification Methodology

LogicDie

Back Metal Layers

Active CircuitryTop Metal Layers

Top Metal Layers

Memory Die

Active Circuitry

TSV

Merge micro-bumplayers together

Page 17: Dusan Petranovic & Karen Chow 3D-IC System Verification Methodology: Solutions and Challenges Marketing, Design to Silicon Division April 2011.

17© 2010 Mentor Graphics Corp. Company Confidentialwww.mentor.com

DRC for Alignment and Connectivity Check

Step 3: Run DRC on the merged gds.— The landing pad for both sides of the micro-bump needs

to be correctly aligned. — The interface connectivity was checked to ensure that the

proper nets were connected through the micro-bumps and that the alignment of the logic and memory dies is correct.

3D-IC System Verification Methodology

Page 18: Dusan Petranovic & Karen Chow 3D-IC System Verification Methodology: Solutions and Challenges Marketing, Design to Silicon Division April 2011.

18© 2010 Mentor Graphics Corp. Company Confidentialwww.mentor.com

DRC: verify micro-bumps physically align LVS: verify proper electrical connectivity

3D IC Verification Flow: Alignment violation

3D-IC System Verification Methodology

LogicDie

Package Substrate

Back Metal Layers

Active CircuitryTop Metal Layers

Top Metal Layers

Memory DieActive Circuitry

TSV

Page 19: Dusan Petranovic & Karen Chow 3D-IC System Verification Methodology: Solutions and Challenges Marketing, Design to Silicon Division April 2011.

19© 2010 Mentor Graphics Corp. Company Confidentialwww.mentor.com3D-IC System Verification Methodology

Calibre LVS

Calibre LVS was used to check the connectivity of the logic and memory dies individually, with the TSVs recognized as intentional devices.

SiliconSilicon

Active Circuitry

Back metal

Metal1Top Metal Layers

TS

V

TSV recognized as LVS device

Page 20: Dusan Petranovic & Karen Chow 3D-IC System Verification Methodology: Solutions and Challenges Marketing, Design to Silicon Division April 2011.

20© 2010 Mentor Graphics Corp. Company Confidentialwww.mentor.com3D-IC System Verification Methodology

Calibre xACT 3D field solver for parasitics

A new extraction rule file was generated with xCalibrate to include the back metal stack.

Break down each net that is on both dies into constituent pieces to see the ratio of TSV and micro-bump capacitance versus on-chip capacitance.

The TSV was treated as an LVS device, and a subcircuit provided by the foundry was used to model the TSV.

The TSV-to-substrate capacitance is 75 fF.

The micro-bump capacitance is estimated to be around 1 fF, based on a paper by Alam et al. [12].

LogicDie

Back Metal

Top Metal Layers

Top Metal

Memory Die

Active Circuitry

TSV

7.5e-13

7.5e-14

1e-131e-15

3.7e-13

Page 21: Dusan Petranovic & Karen Chow 3D-IC System Verification Methodology: Solutions and Challenges Marketing, Design to Silicon Division April 2011.

21© 2010 Mentor Graphics Corp. Company Confidentialwww.mentor.com

Capacitance Results

Capacitance (F)

Logic Top Metal

TSVLogic Back

MetalMicro-bump

Memory Top Metal

NetA 7.52E-13 7.50E-14 1.09E-13 1.00E-15 3.70E-13

NetB 7.60E-13 7.50E-14 1.09E-13 1.00E-15 2.68E-13

NetC 7.20E-13 7.50E-14 1.09E-13 1.00E-15 8.78E-14

NetD 8.09E-13 7.50E-14 1.09E-13 1.00E-15 9.37E-14

NetE 6.91E-13 7.50E-14 1.09E-13 1.00E-15 2.66E-13

NetF 1.47E-13 7.50E-14 1.09E-13 1.00E-15 1.57E-13

NetG 1.36E-13 7.50E-14 1.09E-13 1.00E-15 1.55E-13

NetH 7.42E-13 7.50E-14 1.09E-13 1.00E-15 1.27E-13

NetI 7.60E-13 7.50E-14 1.09E-13 1.00E-15 1.87E-13

NetJ 7.23E-13 7.50E-14 1.09E-13 1.00E-15 8.71E-14

3D-IC System Verification Methodology

Page 22: Dusan Petranovic & Karen Chow 3D-IC System Verification Methodology: Solutions and Challenges Marketing, Design to Silicon Division April 2011.

22© 2010 Mentor Graphics Corp. Company Confidentialwww.mentor.com3D-IC System Verification Methodology

Agenda

I. Introduction

II. Die stacking configurations and descriptions

III. Stack verification methodologies

IV. Example

V. New challenges and future work

VI. Conclusion

Page 23: Dusan Petranovic & Karen Chow 3D-IC System Verification Methodology: Solutions and Challenges Marketing, Design to Silicon Division April 2011.

23© 2010 Mentor Graphics Corp. Company Confidentialwww.mentor.com

Verification Challenges

TSV Modeling— High frequency effects— Nonlinear effects in TSV

Interaction modeling— TSV densities and need for interaction modeling— Coupling between the TSVs— Coupling between the TSVs and interconnect— Coupling between TSV and devices— Coupling between front and back side metal?— Die interface modeling— Coupling between the stacked dies?

Multi-die Analysis Thermal signoff Stress impact on performance yield Flow integration

3D-IC System verification methodologyVerification

Page 24: Dusan Petranovic & Karen Chow 3D-IC System Verification Methodology: Solutions and Challenges Marketing, Design to Silicon Division April 2011.

24© 2010 Mentor Graphics Corp. Company Confidentialwww.mentor.com

TSV Modeling

Circuit for TSV provided — Obtained by S-parameter measurements and circuit parameter extraction

TSD or TSV— “ nonlinear behavior shouldn't be too much of a problem since it is confined mostly to the < -1V region and we really shouldn't be operating there.”

Present solutions/approaches do not and can not take into account TSV interactions

3D-IC System Verification Methodology

Source: RPISource: LETI

Page 25: Dusan Petranovic & Karen Chow 3D-IC System Verification Methodology: Solutions and Challenges Marketing, Design to Silicon Division April 2011.

25© 2010 Mentor Graphics Corp. Company Confidentialwww.mentor.com

High Density TSVs

“Via Middle” technology

- TSV before BEOL

Some of the communication signals are likely to be high frequency

Each TSV will work in the skin effect regime, and all the effects are fully 3D.

Accurate analysis might require 3D Electromagnetic approach.

3D-IC System Verification Methodology

Page 26: Dusan Petranovic & Karen Chow 3D-IC System Verification Methodology: Solutions and Challenges Marketing, Design to Silicon Division April 2011.

26© 2010 Mentor Graphics Corp. Company Confidentialwww.mentor.com

TSV Interaction Modeling

Interactions between the TSVs— Capacitive and Inductive couplings— Interaction among TSVs will be predominately magnetic

Interaction between TSV and interconnect— Interactions with RDL and metal1

Impact of TSVs on device performance — Proper substrate description and modeling is needed

3D –IC System Verification Methodology

TS

V

Devices

Back Metal

Front Metal

Substrate

Source: RPISource: LETI

Source: LETI

Page 27: Dusan Petranovic & Karen Chow 3D-IC System Verification Methodology: Solutions and Challenges Marketing, Design to Silicon Division April 2011.

27© 2010 Mentor Graphics Corp. Company Confidentialwww.mentor.com

Dies Interface modeling

Bump modeling

Bump interactions and shielding Other bonding techniques

3D-IC System Verification Methodology

Cu-Cu Bonding

Oxide Bonding – MIT Lincoln Lab Different bonding scheme have different impact on parasitics

Source: Qualcomm

Source: RPI

Page 28: Dusan Petranovic & Karen Chow 3D-IC System Verification Methodology: Solutions and Challenges Marketing, Design to Silicon Division April 2011.

28© 2010 Mentor Graphics Corp. Company Confidentialwww.mentor.com

Inter-die interactions

Capacitive coupling might not be negligible between the dies, especially in Face-to-Face connection

Magnetic coupling between the dies— The dies are getting closer together — Overlapping loops between the dies

Full stack IR drop is needed — As number of TSVs is increasing the interactions are

becoming stronger and IR drop analysis has to be done simultaneously for the entire stack

The paths go across the dies and LVS, extraction and simulation have to go across the dies.

3D-IC System Verification Methodology

Source: Fermilab

Page 29: Dusan Petranovic & Karen Chow 3D-IC System Verification Methodology: Solutions and Challenges Marketing, Design to Silicon Division April 2011.

29© 2010 Mentor Graphics Corp. Company Confidentialwww.mentor.com

Thermal signoff

Thermal effects more pronounced in 3D then 2.5D Electro thermal interactions have to be taken into

account Variability in device parameters Thermal analysis and signoff needed

3D-IC System Verification Methodology

Source: IMEC

Page 30: Dusan Petranovic & Karen Chow 3D-IC System Verification Methodology: Solutions and Challenges Marketing, Design to Silicon Division April 2011.

30© 2010 Mentor Graphics Corp. Company Confidentialwww.mentor.com

Strain-induced variations: 3D stack effects

3D-IC System Verification Methodology

In TSV-based 3D-IC technology an additional inside transistor stress variation caused by a global load generated by the TSVs, die thinning and assembling should be taken into account

Long-range character of the stress propagation makes a prospective gate-to-gate stress variation more pronounced.

Source: V.Sukharev

Page 31: Dusan Petranovic & Karen Chow 3D-IC System Verification Methodology: Solutions and Challenges Marketing, Design to Silicon Division April 2011.

31© 2010 Mentor Graphics Corp. Company Confidentialwww.mentor.com3D-IC System Verification Methodology

Agenda

I. Introduction

II. Die stacking configurations and descriptions

III. Stack verification methodologies

IV. Example

V. New challenges and future work

VI. Conclusion

Page 32: Dusan Petranovic & Karen Chow 3D-IC System Verification Methodology: Solutions and Challenges Marketing, Design to Silicon Division April 2011.

32© 2010 Mentor Graphics Corp. Company Confidentialwww.mentor.com

Conclusions

Existing 3D-IC verification approaches satisfy present 3D system needs— DRC/LVS/PEX of the individual dies and interposer— TSV modeled as LVS devise or Via; Foundry TSV model

provided— DRC and connectivity validation of 3D stack interfaces

Challenges come with increased densities and operating frequencies— Need for accurate TSV extraction— TSV interaction modeling

Thermal and stress aware verification— More difficult in 3D then in 2.5 D stacking

True 3D LVS/PEX — Will be needed in 3D-ICs with logic divided across the

dies 3D-IC System Verification Methodology

Page 33: Dusan Petranovic & Karen Chow 3D-IC System Verification Methodology: Solutions and Challenges Marketing, Design to Silicon Division April 2011.

33© 2010 Mentor Graphics Corp. Company Confidentialwww.mentor.com

www.mentor.com

3D-IC System Verification Methodology