Top Banner
Digital Fundamentals, Eleventh Edition, Global Edition Thomas L. Floyd Copyright © 2016 by Pearson Education, Ltd. All Rights Reserved Dr. Khaled Bakro Spring 2017 Digital Digital Electronics Electronics Slides based on Digital Fundamentals, 11 th 2016, Thomas Floyd
65

Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Mar 21, 2017

Download

Education

Welcome message from author
This document is posted to help you gain knowledge. Please leave a comment to let me know what you think about it! Share it to your friends and learn new things together.
Transcript
Page 1: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

Dr. Khaled Bakro

Spring 2017

DigitalDigital ElectronicsElectronics

Slides based on Digital Fundamentals, 11th 2016, Thomas Floyd

Page 2: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals

CHAPTER

ELEVENTH EDITION GLOBAL EDITION

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

Introductory Concepts

1

Chapter 1Chapter 1

Page 3: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

Chapter Objectives■ Explain the basic differences between digital and analog quantities.■ Show how voltage levels are used to represent digital quantities.■ Describe various parameters of a pulse waveform. ■ Explain the basic logic functions of NOT, AND, and OR.■ Describe several types of logic operations and explain their application in an example system.■ Describe programmable logic, discuss the various types, and describe how PLDs are programmed.■ Identify fixed-function digital integrated circuits.■ Identify pin numbers on integrated circuit packages.■ Recognize various of Test and Measurement Instruments.

Page 4: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

Most natural quantities that we see are analog and vary continuously. Analog systems can generally handle higher power than digital systems. An analog quantity has continuous values.

Analog -Digital Quantities

A digital quantity has a discrete set of values.

The Digital AdvantageoDigital systems can process, store, and transmit data more efficiently and reliably- than analog. oReproduced with greater accuracy and clarity.oNoise does not affect digital data.

Page 5: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

1

100

A .M.

95

90

85

80

75

2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 6 7 8 9 10 11 12P.M.

Temperature(°F)

70

Time of day

Digital Quantities

FIGURE 1-2 Sampled-value representation (quantization) of the analog quantity in Figure 1–1. Each value represented by a dot can be digitized by representing it as a digital code that consists of a series of 1s and 0s.

Page 6: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

An Analog System

FIGURE 1-3 A basic audio public address system.

Page 7: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

Many systems use a mix of analog and digital electronics to take advantage of each technology. A typical CD player accepts digital data from the CD drive and converts it to an analog signal for amplification.

Analog and Digital Systems

FIGURE 1-4 Basic block diagram of a CD player. Only one channel is shown.

Page 8: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

FIGURE 1-5a Example of a mechatronic system and application.

Mechatronics

Both digital and analog electronics are used in the control of various mechanical systems.The interdisciplinary field that comprises both mechanical and electronic components isknown as mechatronics.

Page 9: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

FIGURE 1-5b Example of a mechatronic system and application. Beawolf/Fotolia

Mechatronics

Mechatronic systems are found in homes, industry, and transportation.

A simple robotic arm is shown in Figure 1–5(b), and robotic arms on an automotive assembly line are shown in part (c)

Page 10: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

FIGURE 1-5c Example of a mechatronic system and application. Small Town Studio/Fotolia.

Mechatronics

Page 11: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

o Digital electronics uses circuits that have two states, which are represented by two different voltage levels called HIGH and LOW.

o The voltages represent numbers in the binary system.

Binary Digits and Logic Levels

In binary, a single number is called a bit (for binary digit). A bit can have the value of either a 0 or a 1, depending on if the voltage is HIGH or LOW.

HIGH

LOW

VH(max)

VH(min)

VL(max)

VL(min)

Unacceptable

FIGURE 1-6 Logic level ranges of voltage for a digital circuit..

Page 12: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

o Digital waveforms change between the LOW and HIGH levels.

o A positive going pulse is one that goes from a normally LOW logic level to a HIGH level and then back again.

o Digital waveforms are made up of a series of pulses.

Digital Waveforms

FIGURE 1-7 Ideal pulses.

Page 13: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

Actual pulses are not ideal but are described by the rise time, fall time, amplitude, and other characteristics.

Pulse Definitions

90%

50%

10%

Base line

Pulse width

Rise time Fall time

Amplitude tW

tr tfUndershoot

Ringing

OvershootRinging

Droop

FIGURE 1-8 Nonideal pulse characteristics.

Page 14: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

Periodic pulse waveforms are composed of pulses that repeats in a fixed interval called a period (T).The frequency is the rate it repeats and is measured in hertz (Hz).

Periodic Pulse Waveforms

Tf 1

f

T 1

What is the period of a repetitive wave if f = 3.2 GHz?

GHz 2.311

fT 313 ps

FIGURE 1-9 Examples of digital waveforms.

Page 15: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

Waveform Characteristics

Volts

Time

Amplitude (A)

Pulse width (tW)

Period, T

In addition to frequency and period, repetitive pulse waveforms are described by the amplitude (A), pulse width (tW) and duty cycle.

Duty cycle is the ratio of tW to T. Duty cycle = (tW /T)100%

Page 16: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

The clock waveformIn digital systems, all waveforms are synchronized with a basic timing waveform called the clock. The clock is a periodic waveform in which each interval between pulses (the period) equals the time for one bit. group of several bits can contain binary information.The clock waveform itself does not carry information.

FIGURE 1-11 Example of a clock waveform synchronized with a waveform representation of a sequence of bits.

Page 17: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

A timing diagram is a graph of digital waveforms showing the actual time relationship of two or more waveforms and how each waveform changes in relation to the others.By looking at a timing diagram, you can determine the states (HIGH or LOW) of all the waveforms at any specified point in time and the exact time that a waveform changes state relative to the other waveforms.

FIGURE 1-12 Example of a timing diagram.

Timing Diagrams

Clock

A

B

C

Page 18: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

Timing Diagrams

A diagram like this can be observed directly on a logic analyzer.

Page 19: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

FIGURE 1-13 Illustration of serial and parallel transfer of binary data. Only the data lines are shown.

Data TransferData refers to groups of bits that convey some type of information.As illustrated in Figure 1–13, binary data are transferred in two ways:serial the bits are sent one bit at a time along a single line.parallel all the bits in a group are sent out on separate lines at the same time. There is one line for each bit.

Computer Modem

1 0 1 1 0 0 1 0

t0 t1 t2 t3 t4 t5 t6 t7

Computer Printer

0

t0 t1

1

0

0

1

1

0

1

Page 20: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

Basic Logic Functions

The term logic is applied to digital circuits used to implement logic functions. Several kinds of digital logic circuits are the basic elements that form the building blocks for such complex digital systems as the computer. Three basic logic functions (NOT, AND, and OR) are indicated by standard distinctive shape symbols in Figure 1–16.

FIGURE 1-16 The basic logic functions and symbols.

Page 21: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

Basic Logic Gates

True only if all input conditions are true.

True only if one or more input conditions are true.

Indicates the opposite condition.

A circuit that performs a specified logic function (AND, OR) is called a logic gate. AND and OR gates can have any number of inputs, as indicated by the dashes in the figure.

Page 22: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

The Not function is implemented by a logic circuit known as an inverter.

The AND function is implemented by a logic circuit (AND gate).

The OR function is implemented by a logic circuit (OR gate).

Basic Logic Gates

Page 23: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

And, or, and not elements can be combined to form various logic functions. A few examples are:

The comparison function

Arithmetic functions

Combinational and Sequential Logic Functions

Page 24: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

The Encoding Functions

• The encoding function is

performed by a logic circuitcalled a encoder converts information, such as a decimal number or analphabetic character, into some coded form.

For example an encoder converts each of the decimal digits, 0 ~ 9, to a binary code.

Encoder9

8 94 5 61 2 30 . +/–

7

Calculator keypad

876543210

HIGH

Binary codefor 9 used forstorage and/orcomputation

A code is a set of bits arranged in a unique pattern and used to represent specified information

Page 25: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

The Decoding Functions

The decoding function is performed by a logic circuit called a decoder converts coded information, such as a binary number, into a noncoded form.

Decoder

Binary input

7-segment display

For Example a decoder that is used to activate a 7-segment display.Each of the seven segments of the display is connected to an output line from the decoder.

Page 26: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

The Data Selection FunctionTwo types of circuits that select data are:

MultiplexerA

Switchingsequence

control input

B

C

∆t2

∆t3

∆t1

∆t2

∆t3

∆t1

DemultiplexerD

E

F

Data from A to D

Data fromB to E

Data fromC to F

Data fromA to D

∆t1 ∆t2 ∆t3 ∆t1

Switchingsequence

control input

o The multiplexer, switches digital data from several input lines onto a single output line in a specified time sequence.

o The demultiplexer is a logic circuit that switches digital data from one input line to several output lines in a specified time sequence.

Page 27: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

The Storage Function

Storage is a function that is required in most digital systems, and its purpose is to retain binary data for a period of time.One type of them is the shift register, that moves and stores data each time it is clocked.

A flip-flop is a bistable (2 stable states) logic circuit that can store only one bit at a time, either a 1 or a 0. The output of a flip-flop indicates which bit it is storing.A register is formed by combining several flip-flops so that groups of bits can be stored.

The two basic types of shift registers are serial parallel

Page 28: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

serial Registers

The bits are stored in a serial shift register one at a time.

Page 29: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

parallel Registers

The bits are stored in a parallel register simultaneously from parallel lines.

Page 30: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

The Counting Functions

…and other functions such as code conversion.

Input pulses

o The counting function is important in digital systems.o They use to count events represented by changing levels or pulses. o To count, the counter must “remember” the present number so that

it can go to the next proper number in sequence. o storage capability is an important characteristic of all counters.o Flip-flops are used to implement them.

1

Counter Parallel output lines Binary

code for 1

Binary code for 2

Binary code for 3

Binary code for 4

Binary code for 5

Sequence of binary codes that represent the number of input pulses counted.

2 3 4 5

Page 31: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

A Process Control System

FIGURE 1-28 Block diagram of A tablet-bottling system..

Page 32: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

FIGURE 1-29 Programmable logic hierarchy.

Programmable Logic

Two major categories •PLD (simple PLDs) (complex PLDs)•FPGA (field-programmable gate array),

Programmable logic devices (PLDs) are an alternative to fixed function devices. The logic can be programmed for a specific purpose.

they cost less and use less board

space that fixed function devices.

Page 33: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

SPLDs categories

FIGURE 1-30 Block diagrams of simple programmable logic devices (SPLDs).

SPLD can replace up to ten fixed-function ICs and their interconnections

FIGURE 1-31 A typical SPLD package.

A PAL (programmable array logic) can be programmed one time. It consists of a programmable array of AND gates and a fixed array of OR gates. A GAL (generic array logic) can be reprogrammed many times. It consists of a reprogrammable array of AND gates and a fixed array of OR gates with programmable outputs.

Page 34: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

FIGURE 1-32 General block diagram of a CPLD.

The CPLD containing multiple SPLDs and can replace many fixed-function ICs.

CPLD device

programmable interconnection array (PIA).

logic array blocks (LABs)

Page 35: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

FIGURE 1-33 Typical CPLD plastic quad flat packages (PQFP).

CPLD device

CPLDs can be used to implement any of the logic functions. They areavailable in a variety of configurations, typically ranging from 44 to 160 pin packages.

Page 36: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

Field-Programmable Gate Array (FPGA)

FPGA is generally more complex and has a much higher density than a CPLD.

o It has a different internal structure (architecture),

o The three basic elements in an FPGA are the logic block,

o the programmable interconnections, and the input/output (I/O) blocks.

FIGURE 1-34 Basic structure of an FPGA.

Page 37: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

Field-Programmable Gate Array (FPGA)o When the logic blocks are relatively simple, the FPGA architecture

is called fine-grained. o When the logic blocks are larger and more complex, the architecture

is called coarse-grained.

The I/O blocks are on the outer edges of the structure and provide individually selectable input, output, or bidirectional access to the outside world.

FIGURE 1-35 A typical ball-grid array (BGA) package. These types of packages can have over 1000 input and output pins.

Page 38: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

The Programming Processo a software development package installed on a computer to

implement a circuit design in the programmable chip. o The computer must be interfaced with a development board or programming fixture containing the device.FIGURE 1-36 Basic setup for programming a PLD or FPGA. Graphic entry of a logic circuit is shown for illustration. Text entry such as VHDL can also be used.(Photo courtesy of Digilent, Inc.)

Page 39: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

FIGURE 1-37 Basic programmable logic design flow block diagram.

Several steps, called the design flow, are involved in the process of implementing a digital logic design in a programmable logic device

The Programming Process

Page 40: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

Fixed-Function Logic Devices

The TTL series, available as DIPs are popular for laboratory experiments with logic.

FIGURE 1-38 Cutaway view of one type of fixed-function IC package (dual in-line package) showing the chip mounted inside, with connections to input and output pins.

Cutaway view of DIP (Dual-In-line Pins) chip:

integrated circuit (IC) is an electronic circuit that is constructed entirely on a single small chip of silicon. All the components that make up the circuit—transistors, diodes, resistors, and capacitors.

Page 41: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

IC Packages

(a)

Pin 1

Dual in-line package Small outline IC (SOIC)

FIGURE 1-39 Examples of through-hole and surface-mounted devices. The DIP is larger than the SOIC with the same number of leads. This particular DIP is approximately 0.785 in. long, and the SOIC is approximately 0.385 in. long.

(IC) packages are classified according to the way they are mounted onprinted circuit boards (PCBs) are:The through-hole type packages have pins (leads) that are inserted through holes in the PCB and can be soldered to conductors on the opposite side. Figure 1–39(a).

(b)

Surface mounting uses surface-mount technology (SMT). It is a space-saving alternative to through-hole mounting. An example shown in Figure 1–39(b).

Page 42: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

FIGURE 1-40 Examples of SMT package configurations. Parts (e) and (f) show bottom views.

Other surface mount packages:

IC Packages

Page 43: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

FIGURE 1-41 Pin numbering for two examples of standard types of IC packages. Top views are shown.

Pin NumberingAll IC packages have a standard format for numbering the pins (leads). The dual inline packages (DIPs) and the shrink small-outline packages (SSOP) have the numbering arrangement illustrated in Figure 1–41(a)

The PLCC and LCC packages have leads arranged on all four sides. Pin 1 is indicated by a dot or other index mark and is located at the center of one set of . Figure 1–41(b)

Page 44: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

Test and Measurement Instruments

The OscilloscopeoThe v-axis represents voltage,o h-axis represents time. Amplitude, period, and frequency of a signal can be measured using the oscilloscope.Also, the pulse width, duty cycle, rise time, and fall time of a pulse waveform can be determined.

FIGURE 1-42 Typical digital oscilloscope with voltage probe.Used with permission from Tektronix, Inc.

Page 45: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

The Oscilloscope

FIGURE 1-43 Block diagram of a digital oscilloscope.(Photo courtesy of Digilent, Inc.)

A digital scope converts the measured waveform to D-information by a sampling process in (ADC) converter. The D-information is then used to reconstruct the waveform on the screen.

Page 46: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

FIGURE 1-44 A typical digital oscilloscope front panel. Numbers below screen indicate the values for each division on the vertical (voltage) and horizontal (time) scales and can be varied using the vertical and horizontal controls on the scope. Used with permission from Tektronix, Inc.

The Oscilloscope

A front panel view of a typical four-channel digital oscilloscope is shown in Figure 1–44.

Page 47: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

The logic analyzer can display multiple channels of D-information or show data in tabular form.o It is used when

the logic levels of a large number of signals need to be determined.

o and for the correlation of simultaneous

o signals based on their timing relationships. FIGURE 1-50 Typical logic analyzer. Used with permission from Tektronix, Inc

The logic analyzer

Page 48: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

FIGURE 1-51 Simplified block diagram of a logic analyzer.

The logic analyzer

Page 49: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

FIGURE 1-54 Typical signal generators. Used with permission from Tektronix, Inc.

Signal Generatorso Pulse generators and function generators. o designed to generate digital signals with precise edge placement

and amplitudes and to produce the streams of 1s and 0s needed to test computer buses, microprocessors, and other digital systems.

Page 50: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

The Digital Multimeter (DMM)

FIGURE 1-55 Typical DMMs.Used with permission from (a) B+K Precision®; (b) Fluke

o The DMM can make three basic electrical measurements: Voltage- ResistanceCurrent-

o DMMs are useful for checking power supply voltages, verifying resistors, testing continuity, and occasionally making other measurements.

Page 51: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

The DC Power Supplyo It converts ac power into regulated dc voltage. o All digital circuits require dc voltage from 1.2 V to 5 V to operate.o It is used to power circuits during design, development, and

troubleshooting when system power is not available.

FIGURE 1-56 Typical bench-type dc power supply. Used with permission from Tektronix, Inc.

Page 52: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

Selected Key TermsSelected Key Terms

Analog

Digital

Binary

Bit

Pulse

Being continuous or having continuous values.

Related to digits or discrete quantities; having a set of discrete values.

Having two values or states; describes a number system that has a base of two and utilizes 1 and 0 as its digits.

A binary digit, which can be a 1 or a 0.

A sudden change from one level to another, followed after a time, called the pulse width, by a sudden change back to the original level.

Page 53: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

Selected Key TermsSelected Key Terms

Clock

Gate

NOT

AND

OR

A basic timing signal in a digital system; a periodic waveform used to synchronize actions.

A logic circuit that performs a basic logic operations such as AND or OR.

A basic logic function that performs inversion.

A basic logic operation in which a true (HIGH) output occurs only when all input conditions are true (HIGH).

A basic logic operation in which a true (HIGH) output occurs when when one or more of the input conditions are true (HIGH).

Page 54: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

Selected Key TermsSelected Key Terms

Fixed-function logic

Programmable logic

A category of digital integrated circuits having functions that cannot be altered.

A category of digital integrated circuits capable of being programmed to perform specified functions.

Page 55: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

1. Compared to analog systems, digital systems

a. are less prone to noise

b. can represent an infinite number of values

c. can handle much higher power

d. all of the above

Page 56: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

2. The number of values that can be assigned to a bit are

a. one

b. two

c. three

d. ten

© 2008 Pearson Education

Page 57: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

3. The time measurement between the 50% point on the leading edge of a pulse to the 50% point on the trailing edge of the pulse is called the

a. rise time

b. fall time

c. period

d. pulse width

Page 58: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

4. The time measurement between the 90% point on the trailing edge of a pulse to the 10% point on the trailing edge of the pulse is called the

a. rise time

b. fall time

c. period

d. pulse width

Page 59: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

5. The reciprocal of the frequency of a clock signal is the

a. rise time

b. fall time

c. period

d. pulse width

Page 60: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

6. If the period of a clock signal is 500 ps, the frequency is

a. 20 MHz

b. 200 MHz

c. 2 GHz

d. 20 GHz

Page 61: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

7. AND, OR, and NOT gates can be used to form

a. storage devices

b. comparators

c. data selectors

d. all of the above

Page 62: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

8. A shift register is an example of a

a. storage device

b. comparator

c. data selector

d. counter

Page 63: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

9. A device that is used to switch one of several input lines to a single output line is called a

a. comparator

b. decoder

c. counter

d. multiplexer

Page 64: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

10. For most digital work, an oscilloscope should be coupled to the signal using

a. ac coupling

b. dc coupling

c. GND coupling

d. none of the above

Page 65: Digital Electronics Fundamentals Ch1_Introductory Concepts Dr.Khaled Bakro د. خالد بكرو

Digital Fundamentals, Eleventh Edition, Global EditionThomas L. Floyd

Copyright © 2016 by Pearson Education, Ltd.All Rights Reserved

Answers:

1. a

2. b

3. d

4. b

5. c

6. c

7. d

8. a

9. d

10. b