Top Banner
Advanced Calibration User Guide Version A-2008.09, September 2008 www.cadfamily.com EMail:[email protected] The document is for study only,if tort to your rights,please inform us,we will delete
246
Welcome message from author
This document is posted to help you gain knowledge. Please leave a comment to let me know what you think about it! Share it to your friends and learn new things together.
Transcript
Page 1: Advanced Calibration User

www.cThe do

Advanced Calibration User GuideVersion A-2008.09, September 2008

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 2: Advanced Calibration User

www.cThe do

Copyright Notice and Proprietary InformationCopyright © 2008 Synopsys, Inc. All rights reserved. This software and documentation contain confidential and proprietary information that is the property of Synopsys, Inc. The software and documentation are furnished under a license agreement and may be used or copied only in accordance with the terms of the license agreement. No part of the software and documentation may be reproduced, transmitted, or translated, in any form or by any means, electronic, mechanical, manual, optical, or otherwise, without prior written permission of Synopsys, Inc., or as expressly provided by the license agreement.

Right to Copy DocumentationThe license agreement with Synopsys permits licensee to make copies of the documentation for its internal use only. Each copy shall include all copyrights, trademarks, service marks, and proprietary rights notices, if any. Licensee must assign sequential numbers to all copies. These copies shall contain the following legend on the cover page:

“This document is duplicated with the permission of Synopsys, Inc., for the exclusive use of __________________________________________ and its employees. This is copy number __________.”

Destination Control StatementAll technical data contained in this publication is subject to the export control laws of the United States of America. Disclosure to nationals of other countries contrary to United States law is prohibited. It is the reader’s responsibility to determine the applicable regulations and to comply with them.

DisclaimerSYNOPSYS, INC., AND ITS LICENSORS MAKE NO WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, WITH REGARD TO THIS MATERIAL, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE.

Registered Trademarks (®)Synopsys, AMPS, Astro, Cadabra, CATS, Design Compiler, DesignWare, Formality, HSPICE, iN-Phase, Leda, MAST, ModelTools, NanoSim, OpenVera, PathMill, Physical Compiler, PrimeTime, SiVL, SNUG, SolvNet, TetraMAX, VCS, Vera, and YIELDirector are registered trademarks of Synopsys, Inc.

Trademarks (™)AFGen, Apollo, Astro-Rail, Astro-Xtalk, Aurora, AvanWaves, Columbia, Columbia-CE, Cosmos, CosmosLE, CosmosScope, CRITIC, DC Expert, DC Professional, DC Ultra, Design Analyzer, DesignPower, Design Vision, DesignerHDL, Direct Silicon Access, Discovery, Eclypse, Encore, EPIC, Galaxy, HANEX, HDL Compiler, Hercules, Hierarchical Optimization Technology, HSIM, HSIMplus, in-Sync, iN-Tandem, i-Virtual Stepper, Jupiter, Jupiter-DP, JupiterXT, JupiterXT-ASIC, Liberty, Libra-Passport, Library Compiler, Magellan, Mars, Mars-Rail, Mars-Xtalk, Milkyway, ModelSource, Module Compiler, Planet, Planet-PL, Polaris, Power Compiler, Raphael, Saturn, Scirocco, Scirocco-i, Star-RCXT, Star-SimXT, System Compiler, Taurus, TSUPREM-4, VCS Express, VCSi, VHDL Compiler, VirSim, and VMC are trademarks of Synopsys, Inc.

Service Marks (sm)MAP-in, SVP Café, and TAP-in are service marks of Synopsys, Inc.

SystemC is a trademark of the Open SystemC Initiative and is used under license.ARM and AMBA are registered trademarks of ARM Limited.Saber is a registered trademark of SabreMark Limited Partnership and is used under license.All other product or company names may be trademarks of their respective owners.

ii Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 3: Advanced Calibration User

www.cThe do

Contents

About this manual xiii

Audience . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xivRelated publications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xvTypographic conventions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xvCustomer support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xvi

Accessing SolvNet . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xviContacting the Synopsys Technical Support Center . . . . . . . . . . . . . . . . . . . . . . . . . xvi

Contacting your local TCAD Support Team directly . . . . . . . . . . . . . . . . . . . . . . . . . . . xvii

Part I Advanced Calibration in Sentaurus Process 1

Chapter 1 Using Advanced Calibration file of Sentaurus Process 3

Overview. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3Location of Advanced Calibration file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3Using Advanced Calibration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4Earlier versions of Advanced Calibration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4Advanced Calibration file for kinetic Monte Carlo simulations. . . . . . . . . . . . . . . . . . . . . 5Additional calibration by users . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5Sentaurus Workbench splits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6

TDR format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6DF–ISE format. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7

Chapter 2 Advanced Calibration file of Sentaurus Process 9

Part 1: Basic model switches . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9Diffusion models in silicon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10Dopant cluster models in silicon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11Defect cluster models in silicon. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13Poisson equation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13Damage accumulation during implantation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13Boundary conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14Summary of model switches . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15

Part 2: Constant parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15Basic point-defect parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16

Bulk parameters for free interstitials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16Bulk parameters for free vacancies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17Bulk recombination of point defects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17

Advanced Calibration User Guide iiiA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 4: Advanced Calibration User

Contents

www.cThe do

Boundary conditions for point defects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17Transient-enhanced diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18Boron diffusion and activation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19

Boron diffusion coefficient and B–I pairing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19Effect of fluorine . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19Boron clustering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19Boron dose loss. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20

Fluorine . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21Arsenic diffusion and activation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21

Arsenic diffusivity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21Arsenic clusters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22Arsenic dose loss . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22

Phosphorus diffusion and activation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22Phosphorus diffusivity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22Phosphorus clusters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23Phosphorus dose loss . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24

Indium parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24Antimony parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25Parameters for silicon implantation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25Carbon diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25

Carbon diffusivity. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25Carbon clustering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26

Intrinsic carrier concentration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26Smoothing of amorphous–crystalline interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26Implant table selection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27Effect of germanium and stress . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27

Part 3: Initial conditions after ion implant . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28User-defined defect initialization. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29impPostProcess_AdvCal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30

Scaling factors for point defects and damage. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30Values for initial dopant activation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31Sum of as-implanted point defects and crystal damage . . . . . . . . . . . . . . . . . . . . . 32Updating total dopant concentration. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32

Subroutines for setting ifactor and dfactor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32ifactor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32vfactor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33dfactor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33

Part 4: Comprehensive and slow models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34Interstitial clusters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35Boron–interstitial clusters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36ChargedCluster model for arsenic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37

iv Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 5: Advanced Calibration User

Contents

www.cThe do

Phosphorus parameters in AdvancedModels . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38Indium parameters in AdvancedModels . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38

References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38

Chapter 3 Guidelines for additional calibration 43

Accuracy and limitations of Advanced Calibration of Sentaurus Process . . . . . . . . . . . . 43Point defects. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44

Bulk parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44Surface boundary conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44Oxidation-enhanced diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45Clusters of interstitials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45Vacancy clusters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46

Boron diffusion and clustering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46Diffusion and pairing in silicon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46Hopping length (for ChargedReact model) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47Effect of fluorine . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48Boron clustering and activation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48Boron dose loss. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51

Arsenic diffusion and activation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52Phosphorus diffusion and activation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53Co-diffusion of arsenic and phosphorus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54Indium diffusion and activation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54

Non-amorphizing condition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54Amorphizing ion implantation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54

Antimony diffusion and activation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55Carbon–interstitial clusters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55Diffusion in strained silicon and SiGe. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56As-implanted dopant profiles. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56

Coimplantation model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57Diffusion in polysilicon and out-diffusion from polysilicon . . . . . . . . . . . . . . . . . . . . 57Dopant penetration through gate oxide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57

Performing additional calibration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58Calibration procedure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58Loading a user calibration file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59Recommendations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60

Miscellaneous . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60Lateral diffusion along interface. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60

Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61Accelerating simulations for power technologies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62

Diffusion time steps . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62ChargedFermi model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63

Advanced Calibration User Guide vA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 6: Advanced Calibration User

Contents

www.cThe do

Reducing the number of equations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64Switching off Poisson equation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64Switching off transient dopant clustering equation . . . . . . . . . . . . . . . . . . . . . . . . 64Switching off transient interstitial clustering model equation . . . . . . . . . . . . . . . . 65

Summary: Speeding up simulation of power devices . . . . . . . . . . . . . . . . . . . . . . . . . 65References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66

Part II Advanced Calibration in Sentaurus Process Kinetic Monte Carlo 69

Chapter 4 Using Advanced Calibration file of Sentaurus Process KMC 71

Overview. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71Location of Advanced Calibration file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71Using Advanced Calibration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72Additional calibration by users . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72

Chapter 5 Contents of Advanced Calibration of Sentaurus Process KMC 75

Overview. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75Part 1: Model parameters for damage and point defects. . . . . . . . . . . . . . . . . . . . . . . . . . 76

Amorphization and recrystallization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76Diffusion, generation, and recombination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76Charge states . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78Extended defects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79

Part 2: Model parameters for impurities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80Diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80

Boron . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81Arsenic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82Phosphorus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83Indium. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83Carbon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84Fluorine. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84

Clusters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85Boron . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85Arsenic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86Phosphorus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86Indium. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86Carbon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87Fluorine. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87

Segregation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87Boron . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87Arsenic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88

vi Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 7: Advanced Calibration User

Contents

www.cThe do

Phosphorus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88Indium. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88Carbon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88Fluorine. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88

Recrystallization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89Boron . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89Arsenic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89Phosphorus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89Indium. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90Carbon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90Fluorine. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90

References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90

Chapter 6 Guidelines for additional calibration 95

Accuracy and limitations of Advanced Calibration of Sentaurus Process KMC . . . . . . . 95Damage and point defects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96

Amorphization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96Recrystallization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97Diffusion, generation, and recombination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97Extended defects. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97

Impurities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98Diffusion. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98Activation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100Dose loss. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101Recrystallization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102Stress and SiGe effects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103

References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103

Part III Advanced Calibration in TSUPREM-4 105

Chapter 7 Using Advanced Calibration file of TSUPREM-4 107

Overview. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107Parameter file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107Merging a process flow and parameter file with Merger . . . . . . . . . . . . . . . . . . . . . . . . 108

Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 108TSUPREM-4 Rules file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109Merging a Merger file and TSUPREM-4 Rules file . . . . . . . . . . . . . . . . . . . . . . . . . 111

Monte Carlo implantation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112

Advanced Calibration User Guide viiA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 8: Advanced Calibration User

Contents

www.cThe do

Chapter 8 Contents of Advanced Calibration of TSUPREM-4 113

Models of Advanced Calibration of TSUPREM-4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 113Contents of AdvCal_1d_tables_2008.09.smr . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 114

Gridblock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 114Startblock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 114

Basic model selection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115+N-model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115Intrinsic carrier concentration. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 116Point-defect models and parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 116Dopant models and parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117

Pattern-actions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122Deposition pattern-action . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122Pattern-actions for as-implanted profiles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122Pattern-actions for initial conditions after implant . . . . . . . . . . . . . . . . . . . . . . . . 123

Complex models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123Complex interstitial-clustering model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124BIC model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125Arsenic–vacancy clustering model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 126Modifying initial conditions after implant . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 126

References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127

Chapter 9 Guidelines for additional calibration 129

Accuracy and limitations of Advanced Calibration of TSUPREM-4. . . . . . . . . . . . . . . 129Fine-tuning parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 130

Point-defect parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131Interstitial clusters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131

Dopant parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131Diffusion. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131Activation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 132Segregation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 134Indium dose loss . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 134

As-implanted dopant profiles. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 134Polysilicon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 135Dopant penetration through gate oxide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 135

viii Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 9: Advanced Calibration User

Contents

www.cThe do

Part IV Advanced Calibration in Dios 137

Chapter 10 Using Advanced Calibration files of Dios 139

Parameter files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 139Merging a process flow and parameter file with Merger . . . . . . . . . . . . . . . . . . . . . . . . 140

Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 140Dios Rules files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141Merging a Merger file and Dios Rules file with Merger . . . . . . . . . . . . . . . . . . . . . . 142

Inserting parameters directly. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 143Guidelines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 143One-dimensional example: Direct use of Advanced Calibration parameters . . . . . . 144Implantation lookup tables. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 145

Crystal-TRIM or implantation tables? . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 146References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 147

Chapter 11 Contents of Advanced Calibration files of Dios 149

Overview. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 149Equation and model system of Dios Advanced Calibration . . . . . . . . . . . . . . . . . . . . . . 150Contents of AdvCal_1d_tables_2005.10.dmr. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 151

Startblock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 151Basic model selection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 151Oxidation-enhanced diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 151Parameters for B diffusion and segregation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 152Vacancy boundary conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 153Parameters for As diffusion and segregation . . . . . . . . . . . . . . . . . . . . . . . . . . . . 154Phosphorus diffusion and dose loss . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155Indium diffusion and dose loss. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 156Antimony diffusion and segregation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 156General implantation defaults. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 156Initializing process history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 157

Pattern-actions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 158Pattern-actions for B implantation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 158Pattern-actions for BF2 implantation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 159Pattern-actions for arsenic implantation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 160Pattern-actions for indium implantation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 161Pattern-actions for antimony implantation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 163Pattern-actions for Si and Ge implantation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 163Pattern-actions for diffusion steps . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 165Pattern-actions for selecting implantation lookup table and ion channeling . . . . 165

Parameters for Monte Carlo implantation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 166

Advanced Calibration User Guide ixA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 10: Advanced Calibration User

Contents

www.cThe do

Basic choice of models and parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 166Parameters governing as-implanted profile . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 167

Interatomic potential. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 167Electronic energy loss. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 168Damage accumulation (dacc) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 170Amorphized regions and damage saturation . . . . . . . . . . . . . . . . . . . . . . . . . . . . 170

Parameters for NMOS and PMOS simulations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 172Common needs for NMOS and PMOS simulations . . . . . . . . . . . . . . . . . . . . . . . . . 173Boron dose loss in NMOS simulations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 173Arsenic clustering in PMOS simulations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174

References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174

Chapter 12 Dios calibration method and limitations 177

Experimental data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 177Source of data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 177Conditions covered by Advanced Calibration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 178

Calibration of dopant diffusion and activation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 179Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 179Fundamental point-defect parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 180Pair diffusivities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 181

Effect of charges and doping level . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 182Accuracy of boron diffusivity. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 183

Pairing constants . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 183TED due to point defects from implantation damage . . . . . . . . . . . . . . . . . . . . . . . . 184

Interstitials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 184Vacancies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 186

Oxidation-enhanced diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 186Dopant activation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 187

acinit, aminit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 187Solid solubility (cl0, clw) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 188clurate0, cluratew . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 189SRP profiles and sheet resistance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 189Accuracy for dopant activation and deactivation . . . . . . . . . . . . . . . . . . . . . . . . . 190

Dose loss model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 190Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 190Dopant diffusivity and mesh in oxide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 192Dopant penetration through a thin oxide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 192Dose loss to materials other than oxide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 193Accuracy of dopant segregation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 193

Low surface concentration of boron. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 193Boron dose loss after Si implantation for preamorphization . . . . . . . . . . . . . . . . 194

x Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 11: Advanced Calibration User

Contents

www.cThe do

Dose loss for anneals with high thermal budget. . . . . . . . . . . . . . . . . . . . . . . . . . 194Consistency of parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 194

acinit. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 194Interstitial solid solubility (Dios: si(i(cl0))). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 195Boron segregation coefficient (Dios: siox(b(sg0))) . . . . . . . . . . . . . . . . . . . . . . . . . . 196

References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 196

Appendix A Merger 197

Using Merger . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 197Merger Editor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 197Starting Merger Editor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 199

Navigating the Merger Editor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 200Opening a project. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 200Creating a new Merger process file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 201Opening a Merger process file. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 201Editing a process flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 201Creating a new Rules file. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 201Opening a Rules file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 202

Editing rules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 202Creating a new output file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 202

Opening an output file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 202Editing the output . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 202

Editing rules with Merger Editor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 203Editing the title . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 203Editing the gridblock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 203Editing the startblock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 204Editing a pattern-action . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 204Adding a new pattern-action. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 205Deleting a pattern-action . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 205Undoing an editing step . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 206Saving a Rules file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 206

Merging . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 206Merging a single Merger file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 206Merging all Merger files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 207

Options. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 207Displaying argument parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 207Preferences . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 208

Merger Markup module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 208Sentaurus Workbench project . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 208Merger file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 209Merger file variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 209

Advanced Calibration User Guide xiA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 12: Advanced Calibration User

Contents

www.cThe do

Rules file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 210Title. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 210Gridblock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 211Startblock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 211Pattern-actions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 211

Output file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 212Merging . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 213

Reference guide. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 215Merger Editor menu options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 215Merger Editor keyboard navigation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 216Merger Rules syntax reference . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 216

Pattern syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 216Action syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 217Merger Rules file syntax. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 218

Sentaurus Workbench integration of Merger. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 220TSUPREM-4 prologue . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 220TSUPREM-4 setup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 221Dios prologue . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 221Dios setup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 223

Glossary: Merger 225

xii Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 13: Advanced Calibration User

www.cThe do

About this manual

Synopsys’ Services and Consulting is working continually on improving the simulation modelsand optimizing the model parameters for the latest technology nodes. This effort is based onlong-standing experience of model calibration for customers and a comprehensive, growingdatabase of state-of-the-art secondary ion mass spectroscopy (SIMS) profiles. The variety ofpartners and data ensures that systematic and random errors in experimental work areminimized in this model representation. Advanced Calibration provides users with a set ofparameters that have been calibrated to deep submicron CMOS technology, includingultrashallow junction (USJ) formation, surface dose loss, and channel and halo dopantimplantation and diffusion.

Sentaurus Process offers the Tcl-based scripting language Alagator for the implementation ofdiffusion and reaction models. This allows users to implement models or to model extensions.This possibility is also used in the Advanced Calibration of Sentaurus Process: The AdvancedCalibration file of Sentaurus Process contains model selections, parameter specifications, andsome model extensions. This file can be sourced at the beginning of a Sentaurus Processsimulation. In analogy, the Advanced Calibration file of Sentaurus Process Kinetic MonteCarlo contains model selections and parameter specifications. This file can be sourced at thebeginning of a Sentaurus Process simulation in atomistic mode as well.

The Advanced Calibration of TSUPREM-4 and Dios can be included by using either thespecific interpreter control commands of TSUPREM-4 and Dios or the software packageMerger, which provides a reliable interface for process flow markup. The tool merges a rawprocess flow with calibration parameters or additional process steps, following well-definedrules, to a TSUPREM-4 or Dios input file, respectively.

Current and future efforts of Services and Consulting are focused on the integration of theAdvanced Calibration in the process simulators Sentaurus Process, Sentaurus Process KineticMonte Carlo, TSUPREM-4, and Dios, and on further improvements of its accuracy.

This documentation is a user and reference manual for the Advanced Calibration of the processsimulators Sentaurus Process, Sentaurus Process Kinetic Monte Carlo, TSUPREM-4, andDios.

Part I: Advanced Calibration in Sentaurus Process

■ Chapter 1 explains the use of the Advanced Calibration file of Sentaurus Process.

■ Chapter 2 describes in detail the contents of the Advanced Calibration file of SentaurusProcess.

■ Chapter 3 explains the accuracy and limitations of the Advanced Calibration of SentaurusProcess and provides guidelines for additional calibration.

Advanced Calibration User Guide xiiiA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 14: Advanced Calibration User

About this manual Audience

www.cThe do

Part II: Advanced Calibration in Sentaurus Process Kinetic Monte Carlo

■ Chapter 4 describes the use of the Advanced Calibration file of Sentaurus Process KineticMonte Carlo.

■ Chapter 5 describes in detail the contents of the Advanced Calibration file of SentaurusProcess Kinetic Monte Carlo.

■ Chapter 6 explains the accuracy and limitations of the Advanced Calibration of SentaurusProcess Kinetic Monte Carlo and provides guidelines for additional calibration.

Part III: Advanced Calibration in TSUPREM-4

■ Chapter 7 describes the use of the Advanced Calibration file of TSUPREM-4 with theMerger application.

■ Chapter 8 explains the models, parameters, and USEIT model implementations used in theAdvanced Calibration file of TSUPREM-4.

■ Chapter 9 provides guidelines for additional calibration.

Part IV: Advanced Calibration in Dios

■ Chapter 10 explains how the Dios Advanced Calibration files are used.

■ Chapter 11 describes in detail the contents of the Advanced Calibration files of Dios.

■ Chapter 12 summarizes the calibration strategy used for the Dios calibration, which wouldinterest experienced TCAD users who want to perform their own calibration orcustomization of process simulation parameters.

Appendix A describes the functionality of Merger and outlines how to use the application.

Audience

In this manual, the contents, use, and syntax of the Advanced Calibration files for SentaurusProcess, Sentaurus Process Kinetic Monte Carlo, TSUPREM-4, and Dios are explained. It isdirected at users who are familiar with the use of Sentaurus Process or Dios and want to obtaina higher accuracy in process simulation.

For detailed information about the Sentaurus Process, Sentaurus Process Kinetic Monte Carlo,TSUPREM-4, and Dios process simulators, refer to the Sentaurus Process User Guide, theTaurus TSUPREM-4 User Guide, and the Dios User Guide.

xiv Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 15: Advanced Calibration User

About this manualRelated publications

www.cThe do

Related publications

For additional information about Advanced Calibration, see:

■ The TCAD Sentaurus release notes, available on SolvNet (see Accessing SolvNet onpage xvi).

■ Documentation on the Web, which is available through SolvNet athttps://solvnet.synopsys.com/DocsOnWeb.

Typographic conventions

Convention Explanation

Blue text Identifies a cross-reference (only on the screen).

Bold text Identifies a selectable icon, button, menu, or tab. It also indicates the name of a field, window, dialog box, or panel.

Courier font Identifies text that is displayed on the screen or that the user must type. It identifies the names of files, directories, paths, parameters, keywords, and variables.

Italicized text Used for emphasis, the titles of books and journals, and non-English words. It also identifies components of an equation or a formula, a placeholder, or an identifier.

Key+Key Indicates keyboard actions, for example, Ctrl+I (press the I key while pressing the Control key).

Menu > Command Indicates a menu command, for example, File > New (from the File menu, select New).

NOTE Identifies important information.

Advanced Calibration User Guide xvA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 16: Advanced Calibration User

About this manual Customer support

www.cThe do

Customer support

Customer support is available through SolvNet online customer support and throughcontacting the Synopsys Technical Support Center.

Accessing SolvNet

SolvNet includes an electronic knowledge base of technical articles and answers to frequentlyasked questions about Synopsys tools. SolvNet also gives you access to a wide range ofSynopsys online services, which include downloading software, viewing Documentation onthe Web, and entering a call to the Support Center.

To access SolvNet:

1. Go to the SolvNet Web page at http://solvnet.synopsys.com.

2. If prompted, enter your user name and password. (If you do not have a Synopsys user nameand password, follow the instructions to register with SolvNet.)

If you need help using SolvNet, click Help on the SolvNet menu bar.

Contacting the Synopsys Technical Support Center

If you have problems, questions, or suggestions, you can contact the Synopsys TechnicalSupport Center in the following ways:

■ Open a call to your local support center from the Web by going to http://solvnet.synopsys.com/EnterACall (Synopsys user name and password required).

■ Send an e-mail message to your local support center:

• E-mail [email protected] from within North America.

• Find other local support center e-mail addresses at http://www.synopsys.com/support/support_ctr.

■ Telephone your local support center:

• Call (800) 245-8005 from within the continental United States.

• Call (650) 584-4200 from Canada.

• Find other local support center telephone numbers at http://www.synopsys.com/support/support_ctr.

xvi Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 17: Advanced Calibration User

About this manualContacting your local TCAD Support Team directly

www.cThe do

Contacting your local TCAD Support Team directly

Send an e-mail message to:

[email protected] from within North America and South America.

[email protected] from within Europe.

[email protected] from within Asia Pacific (China, Taiwan, Singapore,Malaysia, India, Australia).

[email protected] from Korea.

[email protected] from Japan.

Advanced Calibration User Guide xviiA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 18: Advanced Calibration User

About this manual Contacting your local TCAD Support Team directly

www.cThe do

xviii Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 19: Advanced Calibration User

www.cThe do

Part I Advanced Calibration in Sentaurus Process

This part of the Advanced Calibration manual contains the following chapters:

Chapter 1 Using Advanced Calibration file of Sentaurus Process on page 3

Chapter 2 Advanced Calibration file of Sentaurus Process on page 9

Chapter 3 Guidelines for additional calibration on page 43

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 20: Advanced Calibration User

www.cThe do

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 21: Advanced Calibration User

www.cThe do

CHAPTER 1 Using Advanced Calibration file of Sentaurus Process

This chapter gives a brief introduction to the use of AdvancedCalibration in a process simulation with Sentaurus Process.

Overview

Advanced Calibration is a selection of models and parameters, which is recommended bySynopsys to be used for accurate process simulation. In Sentaurus Process, this selection ofmodels and parameters is contained in a text file, which can be opened with any standard texteditor.

By sourcing the Advanced Calibration file at the beginning of a process simulation, thestandard calibration of Synopsys is selected. If needed, you can change or extend the AdvancedCalibration. This can be performed by sourcing an additional calibration file, which containsthe required parameter changes, or by editing the Advanced Calibration file with a text editor.

Location of Advanced Calibration file

The Advanced Calibration file is the ultimate product of Synopsys’ Services and Consulting.For each release of Synopsys TCAD, there is a new Advanced Calibration file that includes thebest and latest set of models and parameters. To ensure backward compatibility, previousAdvanced Calibration files are still available.

The files for the Advanced Calibration of Sentaurus Process in this release are located at:

$STROOT/tcad/$STRELEASE/lib/floops/TclLib/AdvCal

The default file is named AdvCal_2008.09.fps. It represents the first version of AdvancedCalibration A-2008.09. Older versions of the Advanced Calibration file can be found in thesame directory. For example, the file AdvCal_2007.03.fps contains the AdvancedCalibration file for Version Z-2007.03 and is available for backward compatibility.

Advanced Calibration User Guide 3A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 22: Advanced Calibration User

1: Using Advanced Calibration file of Sentaurus Process Using Advanced Calibration

www.cThe do

Using Advanced Calibration

To use the Advanced Calibration of Sentaurus Process, at the beginning of the input file, insertthe line:

AdvancedCalibration 2008.09

Alternatively, this file can also be sourced by using:

source $FLXSHOME/TclLib/AdvCal/AdvCal_2008.09.fps

Earlier versions of Advanced Calibration

You can source earlier versions of the Advanced Calibration file by inserting, for example, theline:

AdvancedCalibration 2006.06

This will be internally converted to source $FLXSHOME/TclLib/AdvCal/AdvCal_2006.06.fps.

The following earlier versions of the Advanced Calibration file can be loaded with SentaurusProcess, Version A-2008.09:

■ AdvCal_2007.12.fps

■ AdvCal_2007.03.fps

■ AdvCal_2006.06.fps

■ AdvCal_2005.10.fps

They can be loaded with the respective commands:

■ AdvancedCalibration 2007.12

■ AdvancedCalibration 2007.03

■ AdvancedCalibration 2006.06

■ AdvancedCalibration 2005.10

This possibility is available to provide backward compatibility. You can run simulations withthe latest version of Sentaurus Process, but the simulations can still be based on an oldcalibration. For new TCAD projects, it is recommended to load the latest version of AdvancedCalibration.

4 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 23: Advanced Calibration User

1: Using Advanced Calibration file of Sentaurus ProcessAdvanced Calibration file for kinetic Monte Carlo simulations

www.cThe do

The original versions of the earlier Advanced Calibration files cannot be used in the latestversion of Sentaurus Process, due to changes in the source code and the model library ofSentaurus Process, which affect the functionality of the old files. Therefore, Synopsys hasadapted the earlier Advanced Calibration files to cope with those changes. These modificationshave been undertaken in such way that the choice of physical models and parameters is still theone from the corresponding release. The AdvancedCalibration command will always loadthe modified versions.

Advanced Calibration file for kinetic Monte Carlo simulations

An Advanced Calibration file is also available for simulations with the kinetic Monte Carlomode of Sentaurus Process. The use and contents of this file are described in Chapter 4 onpage 71 and Chapter 5 on page 75.

Additional calibration by users

Advanced Calibration is based on the assumption that all parameters that are not changed inthe parameter files are the default parameters of Sentaurus Process. To use the AdvancedCalibration file AdvCal_2008.09.fps, it must be sourced before the real processdescription.

After sourcing AdvCal_2008.09.fps, you can change the model switches or parametervalues of the physical models. This should ideally be performed by experienced users with agood understanding of the diffusion models of Sentaurus Process.

For the process simulation of silicon technology, Advanced Calibration is usually the beststarting point. You can further increase the accuracy for a certain technology by additional fine-tuning of a few physical parameters.

The best way to perform this is to put all additional calibration in a user calibration file, forexample, my_calibration.fps. This file includes all project-specific changes to thephysical models or parameters with respect to Advanced Calibration.

In the process simulation file, at the beginning of the process simulation, insert the lines:

AdvancedCalibration 2008.09source ./my_calibration.fps

Advanced Calibration User Guide 5A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 24: Advanced Calibration User

1: Using Advanced Calibration file of Sentaurus Process Sentaurus Workbench splits

www.cThe do

This approach allows you to:

■ Separate completely the calibration and the process description.

■ Use the Advanced Calibration file as a starting point.

■ Summarize all project-specific calibration in a short and clear text file.

Detailed information about how to perform additional calibration is given in Chapter 3 onpage 43.

Sentaurus Workbench splits

Sentaurus Process can be used within Sentaurus Workbench projects. If the process simulationis performed in a single tool instance, the use of Advanced Calibration is the same as withoutSentaurus Workbench.

Care needs to be taken if split commands of Sentaurus Workbench are used inside the input fileof Sentaurus Process. At each split command, Sentaurus Workbench will split the input file ofSentaurus Process into two parts for different tool instances. At the end of the first part, thestructure with all data fields is saved. For the subsequent part, the process simulation starts byloading the previously saved structure.

Similarly, care needs to be taken if you save and continue a process simulation outside aSentaurus Workbench project.

TDR format

Sentaurus Process does not save and load the complete status of the process simulation. Inparticular, the definitions of Alagator terms are only saved in TDR format if the keywordstore is used in the term definition command lines, and Tcl procedures are only saved if theyhave been defined by the command fproc (rather than proc). Furthermore, entries in theparameter database are only saved if the TDR format is used for saving and loading, but not forthe alternative DF–ISE format.

The Advanced Calibration file contains the definitions of terms and procedures. In the latestfile, AdvCal_2008.09.fps, the definitions of terms and procedures are performed in such away that they are saved to the TDR format and are reloaded. No additional attention is needed.

In earlier versions of the Advanced Calibration files, the definitions of terms and proceduresare not saved. Therefore, when using earlier versions of Advanced Calibration files, you mustensure that the files are loaded at the beginning of each part of a split process simulation. IfSentaurus Workbench splits are used, loading the Advanced Calibration (and the user

6 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 25: Advanced Calibration User

1: Using Advanced Calibration file of Sentaurus ProcessSentaurus Workbench splits

www.cThe do

calibration) must be performed in the header section of the input file of Sentaurus Process. Thisensures that the definitions of the calibration terms and procedures are loaded at the beginningof all parts of the process flow.

For more information about the TDR format, refer to the Sentaurus Data Explorer User Guide.In Sentaurus Workbench, when using earlier versions of Advanced Calibration, the input fileof the process simulation must be organized as in this example:

#headerAdvancedCalibration 2007.12source ./my_calibration.fps#endheader# ... First part of the simulation...#split A# ... Next part of the simulation...

DF–ISE format

If the alternative DF–ISE format is used for saving and loading the structure (for SentaurusWorkbench splits, this is the case if the environment variable TDRMODE is set to false), entriesin the parameter database (pdb) are not saved and loaded.

The use of the default Advanced Calibration is not affected, and Sentaurus Workbench splitscan be used in the same way as for saving and loading with the TDR format. However, theprogramming of the additional user calibration must be performed with greater care. You mustavoid defining a pdb parameter in a callback procedure (such as UserImpPostProcess) ifthis parameter is needed by Sentaurus Process in later process steps.

In rare cases, the programming of pdb parameters in callback procedures is beneficial for atechnology calibration. For example, you may want to define the parameter AcInit, whichsets the initial dopant activation in the first diffusion after an implant, as a function of implantenergy and dose in an implant callback procedure. In this case, you need to use one of thesemethods:

■ Use the TDR format to save and load structures in Sentaurus Workbench splits.

■ Avoid Sentaurus Workbench splits between process steps where a pdb parameter is set andprocess steps where this parameter is used (in the above example with AcInit: betweenan implant and the first successive anneal).

Advanced Calibration User Guide 7A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 26: Advanced Calibration User

1: Using Advanced Calibration file of Sentaurus Process Sentaurus Workbench splits

www.cThe do

8 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 27: Advanced Calibration User

www.cThe do

CHAPTER 2 Advanced Calibration file of Sentaurus Process

This chapter explains the contents of the Advanced Calibration fileof Sentaurus Process and documents the origin of the parametervalues.

Most of the model equations and model parameters are taken from reliable publications. Inaddition, a rigorous calibration has been performed by Synopsys, based on a SIMS database.

A large number of parameters come from the book Intrinsic Point Defects, Impurities, andTheir Diffusion in Silicon by Peter Pichler [1], which refers to more than 3000 scientific papersand gives a comprehensive state-of-the-art overview of the experimental data available for thecalibration of fundamental parameters for diffusion in silicon. For many relevant parameters,Pichler compares the results from many authors, which can be used to estimate the error barsof the parameter values.

The Advanced Calibration file AdvCal_2008.09.fps is divided into four parts. The partscontain numbered sections and are executed in sequence:

■ Part 1: Basic model switches

■ Part 2: Constant parameters

■ Part 3: Initial conditions after ion implantation

■ Part 4: Comprehensive and slow models

Part 1: Basic model switches

In Sentaurus Process, Advanced Calibration covers several alternatives for diffusion andactivation models. Some models are very simple and fast, such as the ChargedFermi modelfor dopant diffusion or a full activation of dopants. Other models are more sophisticated (forexample, the ChargedReact model for dopant diffusion and the kinetic models for dopantactivation) but require more equations to be solved in the diffusion solver and, therefore,require more CPU time. These different models coexist in Advanced Calibration so that, insimple limiting situations (for example, in thermal equilibrium for low dopant concentration),different models give the same results. In more complex situations, for example, duringtransient-enhanced diffusion (TED), the more complex models will give better results.

Advanced Calibration User Guide 9A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 28: Advanced Calibration User

2: Advanced Calibration file of Sentaurus Process Part 1: Basic model switches

www.cThe do

The best choice of fundamental models depends on the problem to be solved. Part 1 of theAdvanced Calibration represents a choice that is recommended by Synopsys for standard deepsubmicron CMOS simulation. In CMOS process simulation, the modeling of TED and dopantactivation is important, and it is necessary to use some of the more complex models thatdescribe the underlying physics accurately.

It is often useful to reduce the number of equations to be solved in order to save CPU time. Onthe other hand, it may be sometimes necessary to select models that are more sophisticated thanthe default choice for CMOS simulation, even at the cost of increasing the CPU time. In thischapter, the possible changes with respect to the default model switches are explained.

The most elegant way to change a basic model switch to add a corresponding line at thebeginning of a project-specific or user-specific calibration file, which is sourced after loadingthe Advanced Calibration file. In this way, you can track the differences to the defaultsuggestions of Synopsys.

CPU time is an important issue for the process simulation of power device fabrication, whichoften includes a large number of thermal anneals. A summary of the methods to speed up thesimulation of power device processes is given in Accelerating simulations for powertechnologies on page 62.

Part 4 of the Advanced Calibration file contains the procedure AdvancedModels, whichoffers an option to switch to a consistently calibrated set of state-of-the-art models for dopantand defect clustering with a single command line. This option is recommended for fundamentalresearch and can also be used in very advanced CMOS technology. It is described in Part 4:Comprehensive and slow models on page 34.

Diffusion models in silicon

See section 1.1 of AdvCal_2008.09.fps.

The default choice is the pair diffusion model ChargedPair. The dopants diffuse only throughdopant-defect pairs, where defects can be either interstitials or vacancies. All charge states ofdefects and dopant-defect pairs are taken into account, and the concentration of pairs isassumed to be in local equilibrium with unpaired dopants and defects.

A faster alternative is the model ChargedFermi, which can be activated by the line:

pdbSet Si Dopant DiffModel ChargedFermi

Here, the concentration of interstitials and vacancies is assumed to be always in thermalequilibrium. No equations need to be solved for interstitials or vacancies. TED and oxidation-enhanced diffusion (OED) cannot be simulated with the ChargedFermi model.

10 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 29: Advanced Calibration User

2: Advanced Calibration file of Sentaurus ProcessPart 1: Basic model switches

www.cThe do

A more sophisticated alternative is the ChargedReact model, a so-called five-stream model,which is selected by:

pdbSet Si Dopant DiffModel ChargedReact

Here, the diffusion of dopants is simulated through dopant-defect pairs. In contrast to theChargedPair model, the simplifying assumption of local equilibrium between pairs andunpaired dopants is omitted. Instead, the kinetics of pair formation and dissolution is taken intoaccount. This model needs more CPU time than the ChargedPair model, because additionalequations need to be solved for each dopant. It is possible to select the ChargedReact modelindividually for some dopants. For example, it may be reasonable to select it only for boron butnot for other dopants. This can be performed by adding the line:

pdbSet Si Boron DiffModel ChargedReact

It is possible to use the ChargedReact model for some dopants and the ChargedPair modelfor all other dopants. In contrast, it is not recommended to mix the ChargedFermi model witheither of the ChargedReact or ChargedPair model, because the treatment of point defectswould become inconsistent.

Dopant cluster models in silicon

See section 1.2 of AdvCal_2008.09.fps.

These models govern the dopant activation during thermal annealing. The simplest and fastestmodel is None, which means that there are no dopant clusters. This model is recommended fordopants for which clustering has no influence. This is typically the case when the maximumconcentration of a dopant is far below the solid solubility. For example, in an NMOS simulationwith a very low indium dose for the channel implantation (for example, ), it isreasonable to set the indium activation model to None, to speed up the simulation.

For the dopant impurities boron, indium, arsenic, phosphorus, and antimony, the activationmodel Transient is used as the default. In this model, dopants can be bound in clusters,which consist only of dopants of one species. The equilibrium distribution of dopants intoclusters and substitutional impurities is governed by the solid Solubility; the rate at whichthe equilibrium is reached is governed by the parameter CluRate. Both Solubility andCluRate are Arrhenius-type constants with individual parameters for each dopant.

Special models exist for boron, arsenic, and carbon clustering.

1012 cm 2–

Advanced Calibration User Guide 11A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 30: Advanced Calibration User

2: Advanced Calibration file of Sentaurus Process Part 1: Basic model switches

www.cThe do

Boron can form so-called boron–interstitial clusters (BICs) together with silicon interstitials.BICs exist in various sizes, as BmIn ‘molecules’ inside silicon, which grow or evaporate by theincorporation or emission of silicon interstitials or boron-interstitial pairs. The BIC model canbe selected by using:

pdbSet Si Boron ActiveModel ChargedCluster

The BIC model is not used by default because the solution of individual equations for all BICsis numerically expensive. Furthermore, the BIC model should only be used in combinationwith the Full model for interstitial clusters, which uses more equations than the 1Momentmodel for interstitial clusters, which is the Advanced Calibration default. The recommendedway to use the BIC model is to execute the procedure AdvancedModels defined in part 4 ofthe Advanced Calibration file (see Part 4: Comprehensive and slow models on page 34). Theselection Boron ActiveModel Transient gives satisfactory results in many situations.

Arsenic can form arsenic–vacancy (As–V) clusters together with vacancies. An As–Vclustering model with a single cluster species can be selected by using:

pdbSet Si Arsenic ActiveModel Cluster

In this model, As–V clusters include four arsenic atoms and one vacancy. As in ActiveModelTransient, only a single reaction is considered for the formation and dissolution of clusters.The As–V clustering model [2] was the default in the Advanced Calibration file for VersionX-2005.10, together with a different set of parameters for As clustering and initial conditionsafter As implantation. The procedure AdvancedModels contains a more comprehensiveAs–V clustering model, which describes six possible As and As–V clusters.

Carbon can form carbon-interstitial clusters, with a similar reaction chain as for BICs. Theformation of carbon-interstitial clusters is activated by:

pdbSet Si Carbon ActiveModel Transient

NOTE ActiveModel Transient has a different meaning for carbon than forall other impurities.

The following command switches off the kinetics for Ge–B pairing in order to save CPU time:

solution name=GeB nosolve

Solving a transient equation for the formation and dissolution of Ge-B pairs is not considerednecessary. Instead, in cases where the chemical effect of Ge on B diffusion needs to be takeninto account, you can select a calibrated modification of B diffusivity in the presence ofgermanium by using:

SiGe_and_Stress_Effect 1 0

12 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 31: Advanced Calibration User

2: Advanced Calibration file of Sentaurus ProcessPart 1: Basic model switches

www.cThe do

immediately after sourcing the Advanced Calibration file. This is explained in Effect ofgermanium and stress on page 27.

Defect cluster models in silicon

See section 1.3 of AdvCal_2008.09.fps.

Interstitial clustering is described by the 1Moment cluster model. In this model, the capturingand release of interstitials from {311} defects is described according to a publication byRafferty et al. [3]. This model uses only a single equation to describe the time evolution ofinterstitial clusters and is considered a good compromise between accuracy and computationspeed.

A faster but less accurate alternative can be selected by using:

pdbSet Si Int ClusterModel Equilibrium

In this model, the interstitial clusters are assumed to be in local equilibrium with freeinterstitials. Vacancy clusters are not taken into account in the Advanced Calibration.

Poisson equation

See section 1.4 of AdvCal_2008.09.fps.

In Advanced Calibration, the Poisson equation for the electrical potential is solved.Alternatively, you can switch off the Poisson equation with the command:

pdbSetBoolean Si Potential Poisson 0

In this case, local charge neutrality is assumed and the number of partial differential equationsis reduced by one. In most situations, local charge neutrality gives approximately the sameresults as the Poisson equation. At p-n junctions, the assumption of charge neutrality gives asharper peak of the electric field than the Poisson equation, which results in slightly sharperkinks of dopant profiles at p-n junctions.

Damage accumulation during implantation

See section 1.5 of AdvCal_2008.09.fps.

The coimplantation model [4] for damage accumulation is switched on. This model provides adescription of ion channeling for successive ion implantations.

Advanced Calibration User Guide 13A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 32: Advanced Calibration User

2: Advanced Calibration file of Sentaurus Process Part 1: Basic model switches

www.cThe do

Boundary conditions

See section 1.6 of AdvCal_2008.09.fps.

In the pair diffusion model, the segregation of dopants at silicon surfaces involves the captureor creation of dopant–defect pairs at the silicon side of the interface.

In the pair segregation model used in Advanced Calibration, when a dopant–defect pairdiffuses to an interface between silicon and another material, the dopant may enter the othermaterial (or, for three-phase segregation, the interface layer), whereas the point defect remainson the silicon side of the interface.

The selection:

pdbSet Ox_Si Boundary UseUnpairedTotalInt 1pdbSet Ni_Si Boundary UseUnpairedTotalInt 1pdbSet Gas_Si Boundary UseUnpairedTotalInt 1

means that the point defect released may have any charge state (and not only a neutral chargestate). Similarly, for the opposite segregation reaction, when a dopant–defect pair is formed atthe silicon side of the interface, a point defect with any charge state may be consumed at thesilicon side of the interface.

As a consequence of this selection, the time at which segregation equilibrium is reached inhighly doped regions, where most point defects are charged, is decreased. The segregationequilibrium itself is not affected. Although the name of the Boolean parameter isUseUnpairedTotalInt, the selection is applied to both interstitials and vacancies.

The selection:

pdbSetSwitch Ox_Si I Surf.Recomb.Vel Normalized

and the corresponding lines for vacancies and other interfaces allow the generation andrecombination of point defects at silicon surfaces in all charge states.

For B, As, and P, the three-phase segregation model is the default in Advanced Calibration. ForIn and Sb, the simpler segregation model is the default.

14 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 33: Advanced Calibration User

2: Advanced Calibration file of Sentaurus ProcessPart 2: Constant parameters

www.cThe do

Summary of model switches

Table 1 gives an overview of the default model switches and all the alternatives supported byAdvanced Calibration. For all supported model switches, the corresponding calibratedparameters are included in the Advanced Calibration file (AdvCal_2008.09.fps) and areready to be applied automatically when alternative models are selected. The procedureAdvancedModels, which switches on several more complex models at the same time, isexplained in Part 4: Comprehensive and slow models on page 34.

Part 2: Constant parameters

This part of the Advanced Calibration file contains the parameters for the diffusion and reactionequations, which are set at the beginning of the process simulation and remain valid for allprocess steps until the end of the simulation. The parameters are set for all alternatives listedin Table 1 on page 15. This allows you to select any of the alternatives models with allcorresponding parameters by using a single command line, which can be ideally placed in auser calibration file, which is sourced immediately after sourcing AdvCal_2008.09.fps.

Table 1 Model switches in Advanced Calibration

Model Default Supported alternatives

pdbSet Si Dopant DiffModel ChargedPair ChargedFermi, ChargedReact1

1. If the basic choice is ChargedPair, it is possible to select ChargedReact for individual dopants.

pdbSet Si Boron ActiveModel Transient None

pdbSet Si Indium ActiveModel Transient None

pdbSet Si Arsenic ActiveModel Transient None

pdbSet Si Phosphorus ActiveModel Transient None

pdbSet Si Antimony ActiveModel Transient None

pdbSet Si Germanium ActiveModel None

pdbSet Si Carbon ActiveModel Transient None

solution name=GeB nosolve nosolve solve

pdbSet Si Int ClusterModel 1Moment Equilibrium

pdbSet Si Vac ClusterModel None

pdbSet Si Potential Poisson 1 0

pdbSet ImplantData UseCoImplant 1 0

pdbSet Ox_Si Boundary UseUnpariedTotalInt 1 1

Advanced Calibration User Guide 15A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 34: Advanced Calibration User

2: Advanced Calibration file of Sentaurus Process Part 2: Constant parameters

www.cThe do

Many parameters are taken from either the literature of carefully designed experiments or thepublication by Pichler [1], which gives an outstanding, comprehensive overview on thepublications of impurity diffusion and activation in silicon. Other parameters have beencalibrated based on the SIMS database of Synopsys.

Model parameters, which depend on particular process steps, are included in the third part ofthe Advanced Calibration file and are described in Part 3: Initial conditions after ion implanton page 28. Examples of these are the number of point defects generated by ion implantation,which may depend on the implantation conditions.

Basic point-defect parameters

See section 2.1 of AdvCal_2008.09.fps.

The bulk parameters for silicon interstitials and vacancies (sections 2.1.1–2.1.3 ofAdvCal_2008.09.fps) are the most fundamental parameters in the pair diffusion model.They have been carefully selected from the literature. Any change will affect not only thediffusion of point defects, but also the diffusion of all dopant species that diffuse in dopant-defect pairs. Changing the point-defect parameters with every new technology calibrationwould make it difficult to compare the results of different calibration projects. Therefore, it isstrongly recommended that these parameters are not changed in any way.

In principle, this is also true for the surface boundary conditions (BCs) for point defects(section 2.1.4 of AdvCal_2008.09.fps). It is advisable not to change them because thecalibration of all models for TED and the diffusion of all dopants would be affected. However,the BCs depend on the capping material and the local concentration of impurities. Forpolysilicon and oxynitride, the BCs may depend on the details of the process flow. Therefore,in practice, the surface recombination lengths of point defects can be considered to becalibration parameters for the fine-tuning of process simulation.

Oxidation and nitridation cause the injection of interstitials and vacancies, respectively, at theexposed surface. A calibration of interstitial injection has been performed for dry oxidation.For nitridation and wet oxidation, the surface boundary conditions for point defects are lessreliable than for inert atmosphere and can be considered to be calibration parameters for thefine-tuning of diffusion processes.

Bulk parameters for free interstitials

See section 2.1.1 of AdvCal_2008.09.fps.

The diffusivity of interstitials Di is taken from Bracht et al. [5]. The equilibrium concentrationCstar is chosen such that the product Di*Cstar has the value

16 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 35: Advanced Calibration User

2: Advanced Calibration file of Sentaurus ProcessPart 2: Constant parameters

www.cThe do

. This is a reasonable compromise betweenconflicting suggestions in recent literature [1][6][7] and is in acceptable agreement withvarious ‘clean’ data on silicon isotope diffusion and dopant diffusion in silicon that has beenpublished [5][7][8]. The same value for Di*Cstar was also used in [9].

The charge distribution for free interstitials and vacancies was taken from method.advancedof the process simulator TSUPREM-4 and is based on various publications [10][11][12].During calibration, a small change with respect to the TSUPREM-4 parameters has beenintroduced for the relative abundance of negatively charged vacancies.

Bulk parameters for free vacancies

See section 2.1.2 of AdvCal_2008.09.fps.

The diffusivity of vacancies Dv is taken from [5]. The equilibrium concentration Cstar ischosen such that Dv*Cstar corresponds to the value from [5] at . The activationenergy for Dv*Cstar (4.14 eV) is taken from [6].

Bulk recombination of point defects

See section 2.1.3 of AdvCal_2008.09.fps.

It is assumed that the bulk recombination is diffusion limited. Furthermore, the recombinationof interstitials and vacancies, which are both positively or both negatively charged, is assumedto be suppressed by electrostatic repulsion.

Boundary conditions for point defects

See section 2.1.4 of AdvCal_2008.09.fps.

Natural boundaries for both vacancies and interstitials are assumed. The surface recombinationlength is 1 nm for Si–SiO2 boundaries and 10 nm for Si–SiN boundaries.

During oxidation, there is an additional flux of interstitials into silicon. The rate of interstitialinjection by oxidation is proportional to the parameter theta and depends on the velocity v ofthe moving Si–SiO2 interface and the electron concentration at the silicon side of the interfaceby the factor:

(1)

where theta, Gpow, mm, m, p, and pp are given in section 2.1.4.1 of AdvCal_2008.09.fps.

1.59 1025 4.702 eV/kT–( )exp×× cm 1– s 1–

1014.25°C

v1 Gpow+( ) mm m 1 p pp+ + + +

mm n ni⁄( )2 m n ni⁄( ) 1 p n ni⁄( ) 1–pp n ni⁄( ) 2–×+×+ +×+×

----------------------------------------------------------------------------------------------------------------------------------------------------------×

Advanced Calibration User Guide 17A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 36: Advanced Calibration User

2: Advanced Calibration file of Sentaurus Process Part 2: Constant parameters

www.cThe do

For dry oxidation, the values of theta and Gpow were calibrated with experimental data from[13]1 and [14] for low-doped silicon. The values of mm, m, p, and pp have been calibrated withdata from USJ formation in dry, oxidizing atmosphere. They can be modified for the purposeof fine-tuning oxidation-enhanced diffusion for high surface doping. For wet atmosphere(partial pressure of ), a smaller value of theta has been calibrated fromcorresponding SIMS data.

Transient-enhanced diffusion

See section 2.2 of AdvCal_2008.09.fps.

The model of Rafferty et al. [3] is used to simulate the evaporation of interstitials from {311}defects. The reaction rates for the capture and evaporation of interstitials have been calibratedwith transmission electron microscope (TEM) data on the dissolution of {311} defectspublished by Stolk et al. [15] and Saleh et al. [16].

As an initial condition, it is assumed that all interstitials generated by ion implantation arebound in {311} clusters (InitPercent = 1.0).

The selected model gives accurate results for the dissolution of {311} defects. However, notethat the model is too simple to describe the initial phase of ultrahigh interstitial supersaturationafter ion implantation, which was reported by Cowern et al. [8] and is ascribed to the formationand dissolution of small interstitial clusters. In addition, the model underestimates the stabilityof interstitial clusters in situations where dislocation loops form and where most of the excessinterstitials are bound to dislocation loops rather than {311} defects. This happens, forexample, after high-dose amorphizing implantation of silicon ions into silicon.

In situations where TED is not governed by {311} defects, but rather by small clusters ordislocation loops, the model is less accurate. A more comprehensive model for interstitialclusters has been calibrated by Zographos et al. [17]. This is switched on if you execute theprocedure AdvancedModels, defined in part 4 of the Advanced Calibration file.

1. The reference [13] includes data for oxidation-enhanced diffusion (OED) of P and B. A higher diffusionenhancement was reported for P than for B, which was ascribed to a 20% vacancy component of boron diffusion.Recent experiments indicate that the vacancy component for B diffusion should be much less than 20% (for anoverview, see [1]). Therefore, instead of ascribing the lower OED of boron to diffusion of B–V pairs, the calibrationof theta with data from [13] was performed under the assumption that the observed difference between the OEDof P and B was mainly due to experimental inaccuracies. Giving equal weight to the P and B data, Synopsys obtaineda 10% reduction of theta with respect to using only the P data for the extraction of theta.

H2O 0>

18 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 37: Advanced Calibration User

2: Advanced Calibration file of Sentaurus ProcessPart 2: Constant parameters

www.cThe do

Boron diffusion and activation

See section 2.3 of AdvCal_2008.09.fps.

Boron diffusion coefficient and B–I pairing

The macroscopic values for the boron diffusivity are taken from the literature [18]. FollowingTSUPREM-4, the pairing constants are chosen such that the diffusivity of boron–interstitialpairs equals approximately the diffusivity of unpaired interstitials.

The hopping length of B is taken from Giles et al. [19] and is based on B marker layer diffusiondata in the temperature range of – . It is only relevant if the ChargedReactmodel is switched on for boron. In this case, the hopping length has an influence on the lengthof the tail of the profile.

Effect of fluorine

It is known that boron diffusion can be reduced by the presence of fluorine. The main reasonfor this is that F–V clusters, which form after ion implantation, catch excess interstitials, whichare also present in silicon after implantation [20]. A complete physics-based model for theinteractions between B, I, and F must be very complex, because F atoms are redistributedduring solid phase epitaxial regrowth of amorphized layers. Instead, in the AdvancedCalibration, a simpler approach is used.

It is assumed that F atoms are immobile after ion implantation and that the presence of F atomsreduces directly the diffusivity of B atoms by a factor (BoronDiffFactor), which dependson the F concentration. This factor is close to 1 for F concentrations smaller than andbecomes important only for very high F concentrations. It has been calibrated by comparisonsof ultrashallow junction boron SIMS profiles, which were made by boron implantation andannealing, and BF2 implantation and annealing, respectively.

Boron clustering

The transient cluster model is used. Four boron atoms form a cluster. Interstitials are not builtinto B clusters. The solid solubility value is a fit to the collection of literature data compiled byPichler [1]. Two Arrhenius functions are combined: one covers the range T < and theother, T > . The active boron reaches the solid solubility if the total B concentrationreaches TotSolubility, which is defined in Advanced Calibration as three times the B solidsolubility. The rate at which the equilibrium between active and clustered B is reached is givenby the parameter CluRate. CluRate has been calibrated by Synopsys using experimental datafrom the Synopsys SIMS database. With the obtained value, a significant amount of boronSIMS data can be reproduced, including ultrashallow junction profiles. However, in some

500°C 800°C

1 1020×

1000°C1000°C

Advanced Calibration User Guide 19A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 38: Advanced Calibration User

2: Advanced Calibration file of Sentaurus Process Part 2: Constant parameters

www.cThe do

situations, it is recommended to tune CluRate to improve the accuracy of fitting SIMS data orsheet resistance data in the process window of interest. In particular, in the presence of F, it maybe necessary to reduce CluRate.

For the initial activation of boron after implantation, the basic assumption is that it is given bya small value in crystalline silicon (AcInit) and by a higher value (AmInit) in recrystallizedareas. In the Synopsys calibration, the initial activation of boron in recrystallized regions issmaller than the measured values reported, for example, by Colombeau et al. [21]. This isnecessary because, with the chosen clustering model for boron, using initial activation levelshigher than results in an overestimated transient-enhanced diffusion of boron for anumber of SIMS data in the Synopsys database, where ultralow energy implantation wasfollowed by low-temperature annealing.

If the BIC model is used, good results for B activation and deactivation can be obtained withrealistic assumptions for AcInit and AmInit. This is taken into account in the procedureAdvancedModels in part 4 of the Advanced Calibration file.

Boron dose loss

For B, As, and P, the three-phase segregation model is used for dose loss modeling.

In Sentaurus Process, by default, these dopants do not share trap sites at the interface with otherdopants. For the ChargedPair model and the ChargedReact diffusion model, the flux ofdopants from silicon into the interface layer is proportional to the concentration ofdopant–defect pairs on the silicon side of the interface, and the out-diffusion from the interfaceto silicon is proportional to the concentration of point defects on the silicon side of theinterface.

The number CMax of trap sites at the interface and the trapping and emission rates of the three-phase segregation model have been calibrated by Synopsys, based on a collection of boronSIMS profiles.

The diffusivity of trapped B atoms along the Si–SiO2 interface is set to zero. It can be set to avalue higher than zero for increasing the lateral diffusion in 2D or 3D simulations [22].

In oxide, the boron diffusivity is increased for very high B concentrations (> )and for high F concentrations. This diffusion enhancement has been calibrated by Synopsys,based on SIMS profiles. For very high B concentration in oxide (> ), out-diffusion into the gas contributes to the dose loss. The out-diffusion rate has been calibrated bySynopsys, using SIMS data.

Spacer oxides that are formed by a TEOS process and capped by a SiN layer may contain ahigh concentration of hydrogen, which increases the boron diffusivity in oxide. This case is nottaken into account in the Advanced Calibration file.

1 1020×

1 1021× cm 3–

1 1021× cm 3–

20 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 39: Advanced Calibration User

2: Advanced Calibration file of Sentaurus ProcessPart 2: Constant parameters

www.cThe do

Fluorine

See section 2.4 of AdvCal_2008.09.fps.

As explained in the previous chapter, the Advanced Calibration does not offer a completephysics-based model for the effects of fluorine in silicon. Instead, F is treated in a very simpleway. It is assumed that fluorine is completely immobile after ion implantation.

Three empirical effects of fluorine on B diffusion are implemented in section 2.3 ofAdvCal_2008.09.fps:

■ Fluorine reduces directly the B diffusivity in Si (using BoronDiffFactor).

■ Fluorine increases the B diffusivity in oxide and, therefore, increases the dose loss.

■ Fluorine increases the B out-diffusion from oxide to the gas and, therefore, increases the Bdose loss.

The effect of fluorine on boron diffusion and dose loss has been calibrated with SIMS datafrom BF2 implantation and annealing. For all data, B and F have approximately the same spatialdistribution after ion implantation. The calibration is valid only for this particular situation.Experimental data where fluorine was implanted separately was not taken into account in thecalibration. When F is implanted separately from B, Advanced Calibration Version A-2008.09does not accurately predict the influence of F on dopant diffusion.

Arsenic diffusion and activation

See section 2.5 of AdvCal_2008.09.fps.

Arsenic diffusivity

The values for the diffusivity of arsenic have been calibrated by Synopsys based on SIMS data.For regions with high As concentration (> ), the diffusivity of As is increasedsharply, following the measurements by Larsen et al. [23] and using a formula that is based onthe percolation theory and lattice Monte Carlo simulations [24]. It is assumed that a highconcentration of P increases the diffusivity of As–V pairs in a similar way as a highconcentration of As using percolation. Following TSUPREM-4, the pairing constants arechosen such that the diffusivity of arsenic-defect pairs equals approximately the diffusivity ofunpaired defects.

2.0 1020×

Advanced Calibration User Guide 21A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 40: Advanced Calibration User

2: Advanced Calibration file of Sentaurus Process Part 2: Constant parameters

www.cThe do

Arsenic clusters

It is assumed that three arsenic atoms form an As3 cluster. The values of the solid solubility andthe clustering rate have been calibrated by Synopsys.

Arsenic dose loss

Arsenic dose loss is modeled by the three-phase segregation model, following the experimentalwork of Kasnavi et al. [25] and the model of Oh and Ward [22]. In the Advanced Calibration,arsenic atoms do not share interface trap sites with other dopants such as P. The parameters forAs dose loss have been calibrated by Synopsys based on SIMS data. The parameter CMax,which gives the maximum concentration of As atoms that can be stored per of theinterface, is used to fine-tune the As dose loss. In the ChargedPair model and theChargedReact model, the segregation rate is proportional to the concentration of As–I andAs–V pairs.

Phosphorus diffusion and activation

See section 2.6 of AdvCal_2008.09.fps.

Phosphorus diffusivity

At high temperatures, phosphorus diffuses predominantly through interstitials. The diffusivityof P–I pairs has a similar value as the fit to literature data in [1], with a slightly increasedcontribution of P+I0 pairs and a slightly reduced contribution of P+I– pairs. The diffusivity ofP–V pairs is smaller and only relevant at very high P concentrations.

At low temperatures, the value for phosphorus diffusivity suggested in [1] is too small incomparison to diffusivities extracted from very long furnace anneals at low phosphorusconcentrations [26]. A possible explanation is that P–V pairs contribute significantly to thetotal diffusivity of P at low temperatures.

In AdvCal_2008.09.fps, a very small activation energy of only 1.8 eV is ascribed to thediffusion of P using P–V pairs. The diffusivity of P+V– and P+V0 pairs has been calibrated withSIMS data for P diffusion at low temperatures. In intrinsic silicon, at temperatures less than

, P–V pairs contribute more than 50% to the diffusivity of P. It should be mentioned thatthe activation energy of 1.8 eV is much less than what has been calculated by first-principlessimulation [27]. The research on phosphorus diffusivity is ongoing.

Following TSUPREM-4, the pairing constants are chosen such that the diffusivity ofphosphorus-defect pairs equals approximately the diffusivity of unpaired defects.

cm2

780°C

22 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 41: Advanced Calibration User

2: Advanced Calibration file of Sentaurus ProcessPart 2: Constant parameters

www.cThe do

Phosphorus clusters

The model parameters for the formation and dissolution of P clusters have been calibrated bySynopsys, based on SIMS data at high concentrations. It is assumed that three P atoms canform a P3 cluster.

It should be mentioned that the clustering model underestimates the stability of P complexesfor extremely high P concentrations (> ), which can be obtained after very high doseimplantation (for example, ) with low energy (for example, 2 keV).

As–P clusters

The physics of P diffusion is very complex if P and As are implanted and annealed together, inparticular, for high As implant doses (> ). Synopsys has not yet completed acalibration for the whole range of possible process conditions for the co-diffusion of As and P.Depending on the process window of interest (window of As dose, As energy, P dose, P energy,annealing conditions), the following approaches can help to improve the accuracy with respectto Advanced Calibration default parameters:

■ Modify the P diffusivity as a function of As concentration using the termPhosphorusDiffFactor, PhosphorusVacDiffFactor, orPhosphorusIntDiffFactor. This approach was used in Version X-2005.10 ofAdvanced Calibration. The following command was applied in the 2005.10 calibration:

term name=PhosphorusDiffFactor add Silicon \eqn="(1.0/(1.0+Arsenic*[Arr 1.0e-32 -3.0\]))"

■ Modify the dependence of P–I pair diffusion on the Fermi level. In particular, it may behelpful to introduce negatively charged P+I– – pairs with a high abundance in As-dopedregions. For this purpose, you must redefine the diffusivity and the pairing constants of P–Ipairs.

■ Take into account the formation and dissolution of mixed As–P clusters. It appears naturalto assume that P atoms can be built into As clusters. The As–P clusters act as a temporarytrap for P atoms and help to keep P inside highly As-doped regions.

A simple model for As–P clusters is implemented in section 2.6.2.2 ofAdvCal_2008.09.fps. It is not switched on by default, because the calibration is not fullyreliable. After sourcing the Advanced Calibration file, you can switch on the model by thecommand:

Use_As3P_clusters

Use_As3P_clusters is a procedure defined in section 2.6.2.2 of AdvCal_2008.09.fps,which instructs Sentaurus Process to solve for the mixed cluster As3P. The parametersAs3P_k1 and As3P_k2, defined in section 2.6.2.2, govern the formation and dissolution rateof such clusters.

1022 cm 3–

5 1015× cm 2–

1014 cm 2–

Advanced Calibration User Guide 23A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 42: Advanced Calibration User

2: Advanced Calibration file of Sentaurus Process Part 2: Constant parameters

www.cThe do

Phosphorus dose loss

Phosphorus dose loss is described by the three-phase segregation model. Phosphorus atoms canbe incorporated into the silicon–SiO2 interface.

In addition, it is assumed that two P atoms located at the silicon–SiO2 interface can form P2

pairs. The concentration of pairs increases quadratically with the concentration of unpaired Patoms trapped at the interface. The calibration of P and P2 trapping at the interface is performedin such a way that, for low P concentrations at the silicon side of the interface (< ),unpaired P atoms govern the P dose loss. For high P concentrations (> ), most of thephosphorus trapped at the interface is bound in P2 pairs.

This dose loss model was developed due to the need to calibrate, with a consistent set ofparameters, the P dose loss for low and high interface concentrations, using the Synopsys SIMSdatabase. The model is supported by the results of first-principles calculations on themechanism of P segregation at the silicon–SiO2 interface [28].

The interface trap density, emission, and trapping rates, and the pair formation and dissolutionrates have been calibrated by Synopsys based on phosphorus SIMS data ranging fromultrashallow junction formation to long-time oxidation.

The last lines of section 2.6.3 of AdvCal_2008.09.fps contain the Alagator implementationof the P pair formation at silicon–SiO2 interfaces.

Indium parameters

See section 2.7 of AdvCal_2008.09.fps.

The diffusivity values have been obtained by Synopsys from calibration of SIMS data. Thepairing constants are chosen such that the diffusivity of In–I pairs is approximately equal to thediffusivity of free interstitials. The indium solid solubility and the clustering rate have beencalibrated by Synopsys.

The dose loss of indium during annealing is diffusion limited. Almost all indium atoms, whichdiffuse to the Si–SiO2 interface, are built into the oxide. This is reflected by a very lowsegregation coefficient. Furthermore, it is assumed that indium evaporates at the oxide–gassurface.

The indium diffusion and dose loss is well calibrated for typical indium channel or haloimplants below the amorphization dose. For high indium doses (typically > ) andfor the annealing of preamorphized wafers, the modeling of indium is not accurate for thefollowing reason: During solid phase epitaxial regrowth (SPER), indium atoms are pushedtowards the surface, due to a segregation effect between the crystalline and amorphous phases

1017 cm 3–

1020 cm 3–

5 1013× cm 2–

24 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 43: Advanced Calibration User

2: Advanced Calibration file of Sentaurus ProcessPart 2: Constant parameters

www.cThe do

of silicon [29][30]. This segregation increases the overall dose loss of indium dramatically.However, the SPER is not modeled explicitly in Sentaurus Process.

Antimony parameters

See section 2.8 of AdvCal_2008.09.fps.

The diffusivity of antimony in silicon has been calibrated by Synopsys based on SIMS data.Antimony diffuses predominantly through Sb–V pairs. In highly doped regions (antimonyconcentration > ), the diffusivity is enhanced as observed by Larsen et al. [23]. Thepairing constants are chosen such that the Sb-defect pair diffusivity has the same value as thediffusivity of the unpaired defect.

The cluster parameters and the segregation and transfer coefficients at the Si–SiO2 interfacehave been calibrated by Synopsys based on SIMS data and sheet resistance data. Noultrashallow junction Sb profiles have been used for the calibration, therefore, the modelparameters are not expected to be predictive for Sb ultrashallow junction formation. Thediffusivity of Sb in oxide is taken from Aoyama et al. [31].

Parameters for silicon implantation

See section 2.9 of AdvCal_2008.09.fps.

The parameters Dacc and Dcrit, which govern the damage accumulation and amorphizationduring Monte Carlo ion implantation with Crystal-TRIM, have been changed to improve thereproduction of the depth of amorphous layers after Si implantation.

Carbon diffusion

See section 2.10 of AdvCal_2008.09.fps.

Carbon diffusivity

The macroscopic diffusivity of carbon in silicon is taken from the literature [1]. The diffusivityof C–I pairs has been calibrated by Synopsys. The Frank–Turnbull mechanism is switched off.Carbon interstitials are only formed by the kick-out mechanism.

3.5 1020×

Advanced Calibration User Guide 25A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 44: Advanced Calibration User

2: Advanced Calibration file of Sentaurus Process Part 2: Constant parameters

www.cThe do

Carbon clustering

Three types of carbon–interstitial cluster are taken into account: C2I, C3I2, and C3I3. Thefollowing reactions for the formation and dissolution of clusters are considered:

■ C–I + C <> C2I

■ C2I + C–I <> C3I2

■ C3I2 <> C3I3 + V

The clustering rates, together with the diffusivity of C–I pairs, have been calibrated bySynopsys using SIMS data from marker layer experiments [32][33] and from data onultrashallow junction formation following Ge+C+B [34] and Ge+C+BF2 ‘cocktail’ implants.The hopping length of C–I pairs is calibrated in the Sentaurus Process defaults.

During the formation of C–I clusters, the concentration of free interstitials is reduced andvacancies are created. As a consequence, B diffusion is retarded and Sb diffusion is enhanced.The increase of the solid solubility of boron in regions of high carbon concentration [34] is nottaken into account in the Advanced Calibration.

The C–I clustering model allows you to obtain accurate results also for the analysis ofphosphorus ultrashallow junction formation by Si+C+P ‘cocktail’ implants and subsequentspike annealing [35][36].

Intrinsic carrier concentration

See section 2.11 of AdvCal_2008.09.fps.

The intrinsic carrier concentration ni is taken from Morin and Maita [37]. As an alternative, asimplified formula (ni_MM_simple) has been prepared. This simplified formula givesapproximately the same results and is frequently used in other silicon process simulators.

Smoothing of amorphous–crystalline interface

See section 2.12 of AdvCal_2008.09.fps.

After ion implantation some silicon regions can be amorphized. The solid phase epitaxialregrowth is not simulated explicitly in Sentaurus Process, but the initial conditions for pointdefects and dopant activation are set differently in amorphized or crystalline regions.

At the amorphous–crystalline interface, there is a sharp step in the initial conditions for thediffusion solver. Unless the mesh is very fine at the amorphous–crystalline interface, this may

26 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 45: Advanced Calibration User

2: Advanced Calibration file of Sentaurus ProcessPart 2: Constant parameters

www.cThe do

lead to a numeric error in the result. To reduce this error, Sentaurus Process can smooth theinitial point-defect concentration at the amorphous–crystalline interface with the parameterAmorpGamma. Synopsys has investigated typical situations and has found that the valueAmorpGamma=0.95 often gives the smallest numeric error.

Implant table selection

See section 2.13 of AdvCal_2008.09.fps.

For analytic implant of BF2 and indium, improved tables have been generated. Their use isswitched on by the lines:

pdbSet ImplantData BF2 TableVersion 2008.09pdbSet ImplantData In TableVersion 2008.09

Effect of germanium and stress

See section 2.14 of AdvCal_2008.09.fps.

Strained and relaxed SiGe, strained-silicon layers, and process-induced stress are being widelyinvestigated for state-of-the-art silicon process technology. Section 2.14 ofAdvCal_2008.09.fps is dedicated to the effects of Ge concentration and stress on dopantsand point defects. The calibration of these effects is contained in the procedureSiGe_and_Stress_Effect.

If the stress effect is switched on, the equilibrium point defect concentration in silicon ischanged by the factor exp(–Pressure*Volume/kT), where Volume is specified inAdvCal_2008.09.fps. Furthermore, stress and Ge concentration are assumed to have adirect influence on dopant diffusivity. This is simulated by diffusion enhancement factors, forexample, in the case of boron by the terms BoronIntDiffFactor andBoronVacDiffFactor, which are defined in silicon. During assembly of the diffusionequations, Sentaurus Process checks each dopant and material if such diffusion factors exist.The diffusivity through dopant-interstitial and dopant-vacancy pairs is then multiplied by thecorresponding diffusion enhancement factors. A separation between interstitial and vacancyeffects is necessary because, with increasing Ge content of SiGe, the fractions of diffusionmediated by dopant-interstitial and dopant-vacancy pairs change.

The chemical effect of Ge on the diffusivity of B, P, and Ge has been calibrated by Synopsysusing data from Zangenberg [38]. The chemical effect of Ge on As and Sb diffusivity has beencalibrated by Synopsys using marker layer data from [39] and [40], respectively. For the effectof Ge concentration on B diffusion, the formula includes the presence of GeB pairs in the waysuggested by Kuo et al. [41]. The stress effect on dopant diffusivity is superimposed on the

Advanced Calibration User Guide 27A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 46: Advanced Calibration User

2: Advanced Calibration file of Sentaurus Process Part 3: Initial conditions after ion implant

www.cThe do

chemical effect of Ge in a multiplicative way. Synopsys has calibrated the effect of stress on Band Ge diffusivity using data from [38], and on P and Sb diffusivity using data from [42] and[43], respectively. Stress is only taken into account through the hydrostatic pressure. Possibleanisotropic effects are not considered.

The procedure SiGe_and_Stress_Effect has two Boolean arguments. The first argumentspecifies whether the chemical effect of Ge on dopant diffusivity is switched on; the secondargument specifies whether the effect of mechanical stress on diffusivities and point-defectequilibrium concentration should be taken into account. By default, the Ge and stress effectsare not switched on. This saves CPU time in situations where the effects can be neglected.

NOTE The calibration of stress and Ge effects is based on published markerlayer experiments and has not yet been tested rigorously against SIMSdata and electrical data from real-device fabrication processes. It is notknown how accurate the models are for ion implantation and subsequentannealing.

After sourcing AdvCal_2008.09.fps, you can switch on the desired effects by any of thesecommand lines:

SiGe_and_Stress_Effect 1 0SiGe_and_Stress_Effect 0 1SiGe_and_Stress_Effect 1 1

Part 3: Initial conditions after ion implant

In this part of the Advanced Calibration file, the initial conditions for diffusion data fields afterion implantation are set immediately after implantation or immediately before the diffusion. Inparticular, the concentration of point defects or point-defect clusters present after ionimplantation is specified dependent on the implant species, energy, and dose. Furthermore, aframework is provided for a user-defined specification of initial electrical activation of as-implanted dopants.

In general, the initial conditions are important for annealing steps with small thermal budget.This is typically the case in deep submicron technology.

In the following, the contents of part 3 of the Advanced Calibration file is documented.

28 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 47: Advanced Calibration User

2: Advanced Calibration file of Sentaurus ProcessPart 3: Initial conditions after ion implant

www.cThe do

User-defined defect initialization

See sections 3.1, 3.4, and 3.5 of AdvCal_2008.09.fps.

The following command is selected:

pdbSet ImplantData defect.model user.defined

Using this command, Sentaurus Process expects the updating of data fields after ionimplantation to be performed by the Alagator procedure UserPointDefectModel. Thisprocedure is defined in section 3.5 of AdvCal_2008.09.fps. With defect.model assignedto user.defined, this procedure is called once after each ion implantation.1

UserPointDefectModel performs two tasks:

■ It calls the procedure impPostProcess_AdvCal, implemented in section 3.3 ofAdvCal_2008.09.fps. This procedure updates the data fields and parameters forinitialization of defect and dopant data fields after ion implantation and before diffusion,dependent on the implant conditions. The same physical parameters are applied to analyticand Monte Carlo implantations.

■ For Monte Carlo implantation, two additional procedures are performed byUserPointDefectModel. First, the procedure ctrimDamageModels_AdvCal is calledin the case of Crystal-TRIM implantation, which is implemented in section 3.4 ofAdvCal_2008.09.fps. This is needed for the storing of the Monte Carlo amorphizationdata field. Second, the procedure CoImpPostProcess is called if the Coimplant model isswitched on. (For analytic implants, CoImpPostProcess is already in the regularimplantation postprocessing procedures of Sentaurus Process and does not need to becalled again in UserPointDefectModel.)

All data-field processing for dopants and point defects is performed in the Alagator procedurenamed impPostProcess_AdvCal.

1. For Monte Carlo implantation of the molecular species BF2, the procedure UserPointDefectModel isactually called once for each element (boron and fluorine). This special case is taken into account in theimplementation of UserPointDefectModel.

Advanced Calibration User Guide 29A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 48: Advanced Calibration User

2: Advanced Calibration file of Sentaurus Process Part 3: Initial conditions after ion implant

www.cThe do

impPostProcess_AdvCal

See section 3.3 of AdvCal_2008.09.fps.

Scaling factors for point defects and damage

See section 3.3.1 of AdvCal_2008.09.fps.

Point defects

The amount of point defects generated by ion implantation is calculated with the AdvancedCalibration ‘+x’ model. The point defects are located at the same position as the as-implantedions. The interstitial concentration originating from ion implantation is the as-implanteddopant profile multiplied by ifactor. The vacancy concentration is the as-implanted dopantprofile multiplied by vfactor.

Unless specified directly in the implant statement, ifactor and vfactor are calculated in thefollowing way. If a procedure ifactor_$Species (for example, ifactor_Boron) isdefined for the current implant species, ifactor is calculated in this procedure as a functionof implantation energy and dose. Otherwise, ifactor has the value 1. Similarly, if a procedurevfactor_$Species is defined for the current implant species, vfactor is calculated in thisprocedure as a function of energy and dose. Otherwise, vfactor has the value 0.

In section 3.2 of AdvCal_2008.09.fps, procedures are defined for the calculation ofifactor after implantation of As, BF2, C, In, or Si ions. vfactor equals 0 for all implantationspecies.

The concept behind this type of implementation is that it is very convenient for users to fine-tune ifactor or vfactor for any species as a function of implantation energy and dose,according to their needs. For this purpose, it is sufficient to (re-)define a very short procedureifactor_$Species or vfactor_$Species. This can be performed ideally after sourcingthe Advanced Calibration file.

Damage

The crystal damage is proportional to the concentration of displaced atoms in silicon, includingFrenkel pairs. It is scaled by dfactor. The damage field is used by Sentaurus Process todetermine whether a region is amorphous or crystalline at the beginning of the annealing.

By default, the damage model (AmModel) is Damage. In this case, the damage field iscalculated during ion implantation, using the damage accumulation model of Crystal-TRIM orTaurus Monte Carlo for Monte Carlo ion implantation or analytic damage profiles suggestedby Hobler and Selberherr [44] for analytic ion implantation. The damage field is scaled by

30 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 49: Advanced Calibration User

2: Advanced Calibration file of Sentaurus ProcessPart 3: Initial conditions after ion implant

www.cThe do

dfactor. For analytic implantation, dfactor may depend on implantation energy and doseif a procedure dfactor_$Species is defined for the implantation species. For Monte Carloimplantation, a constant value MC.DFactor has been calibrated for various implant species.The calibration of MC.DFactor has been performed for the implant code Taurus Monte Carloand is expected to be less accurate for Crystal-TRIM.

It is possible to use a ‘+x’ damage model by defining a procedure AmModel_$Species, whichgives the return value ‘+1’. In this case, the crystal damage is the product of the as-implanteddopant profile and dfactor. The ‘+x’ damage model can be helpful to describe situationswhere buried amorphous layers are formed after medium-dose implantation of heavy ions (forexample, indium implantation with 100 keV, ). With the ‘+x’ damage model, itis necessary to define very high values for dfactor to adjust the measured and simulatedamorphization.

Values for initial dopant activation

See section 3.3.2 of AdvCal_2008.09.fps.

AcInit and AmInit determine the initial activation of dopants after implantation. For regionswith an as-implanted dopant concentration higher than AcInit (AmInit), the surplus dopantsare assumed to be in clusters at the beginning of a diffusion process. Both AcInit and AmInitare applied in subroutines of the callback procedure diffPreProcess, which is called beforeeach diffusion simulation. AcInit is used for regions that are not amorphized by ionimplantation, and AmInit is used for regions that are amorphized by ion implantation andrecrystallize at the beginning of the diffusion process.

By default, AcInit and AmInit are defined for all dopants, in part 2 of the calibration file, asconstant parameters. However, you can define AcInit and AmInit in a similar way toifactor. If a procedure acinit_$Species (for example, acinit_Arsenic) is defined,AcInit is calculated in this procedure as a function of implantation energy and dose. The sameapplies to AmInit.

NOTE If the values of AcInit and AmInit are defined in implantationcallback procedures, you must be careful: The values would bediscarded when saving and loading the structure in DF–ISE format.(DF–ISE does not save the values of pdb parameters.) In addition, thevalues would be overwritten upon sourcing AdvCal_2008.09.fps,where these parameters are also defined in part 2.

6 1013× cm 2–

Advanced Calibration User Guide 31A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 50: Advanced Calibration User

2: Advanced Calibration file of Sentaurus Process Part 3: Initial conditions after ion implant

www.cThe do

Sum of as-implanted point defects and crystal damage

See sections 3.3.3 of AdvCal_2008.09.fps.

The data fields Int_LastImp and Vac_LastImp, which are generated during implantation,are added to the fields Int_Implant and Vac_Implant, respectively, which are used in thecallback procedure diffPreProcess for generating the initial conditions for diffusion. Thisenables a correct treatment of several subsequent implants of the same dopant.

Similarly, the crystal damage from individual implant steps is added to the field Damage, whichis used during diffusion preprocessing to determine amorphous regions. In AdvancedCalibration, AmModel is Damage for all species by default. Therefore, the damage fieldDamage_LastImp is added to Damage. Damage_LastImp has been calculated during ionimplantation using either the damage accumulation model of Crystal-TRIM for Monte Carloion implantation or analytic damage formulas suggested by Hobler and Selberherr [44] foranalytic ion implantation.

Updating total dopant concentration

See section 3.3.4 of AdvCal_2008.09.fps.

To allow you to write 1D dopant profiles after ion implantation in a convenient way, the totalconcentration of the implanted dopants is updated. This does not affect the results of thesimulation. However, to write out 1D dopant profiles after ion implantation, which follow ananneal, a dummy diffusion step of no time should be inserted immediately after the ionimplantation step to update the total dopant concentration field correctly.

Subroutines for setting ifactor and dfactor

See section 3.2 of AdvCal_2008.09.fps.

In the Advanced Calibration, ifactor, vfactor, dfactor, AcInit, and AmInit candepend on the species, energy, and dose of the ion implantation. All values and formulas insection 3.2 have been calibrated by Synopsys, using mainly SIMS data. The guidelines usedfor the calibration are explained here.

ifactor

Giles [45] observed that using an ifactor of 1.0 gives good results for many situations. Anifactor of 1.0 means that, after the fast recombination of excess interstitials and vacanciesgenerated by ion implantation, one interstitial atom survives per implanted ion. InAdvCal_2008.09.fps, an ifactor of 1.0 is used for the implantation of most species.

32 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 51: Advanced Calibration User

2: Advanced Calibration file of Sentaurus ProcessPart 3: Initial conditions after ion implant

www.cThe do

For high dose (> ) arsenic implantation, a higher value of ifactor is used. Inthis case, ifactor is set in the procedure ifactor_Arsenic as a steady function ofimplantation energy and dose, and can have a value up to 3.0 for high-energy and high-doseimplantation. The higher value of ifactor will reflect a consequence of amorphization of thesilicon surface layer by high-dose arsenic implantation. During solid phase epitaxial regrowth(SPER) of the amorphized surface region, all point defects in that region are eliminated.

Since the interstitials generated by collisions during ion implantation are (on average) locatedslightly deeper than the vacancies, a larger fraction of interstitials will be located in the deeperregion inside silicon, which is not amorphized, and will survive the damage annealing bySPER. After recombination of all of the vacancies and interstitials that survived SPER, thereremains an average number of interstitials per implanted ion higher than 1.0 in the non-amorphized region. This consideration can be investigated quantitatively by using the fullcascade mode of Monte Carlo ion implantation simulation.

ifactor is set to the value 0.5 for BF2 implantation. This is meant to anticipate the capabilityof F to eliminate interstitials, which is not taken into account in the diffusion models used byAdvanced Calibration.

vfactor

vfactor is set to 0.0 for all implanted ions.

dfactor

The damage factor is set to 0.5 for boron implantation.

It is increased for BF2, P, and Si implantation in order to compensate for the defaultunderestimation of amorphization caused by silicon implantation.

For analytic As and Ge implantation, dfactor depends on the implant energy and dose. Theformulas have been calibrated with Monte Carlo ion implantation, which was calibrated earlierwith experimental data.

1 1014× cm 2–

Advanced Calibration User Guide 33A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 52: Advanced Calibration User

2: Advanced Calibration file of Sentaurus Process Part 4: Comprehensive and slow models

www.cThe do

Part 4: Comprehensive and slow models

The last part of AdvCal_2008.09.fps contains the procedure AdvancedModels. Thisprocedure switches on a consistent calibration of some advanced models, which are not usedby default. To use this procedure, apply the command AdvancedModels immediately afterloading the Advanced Calibration file. This switches on the following models:

■ The Full model for interstitial clusters. In this model, the kinetics of formation anddissolution of small interstitial clusters, {311} defects, and dislocation loops is describedby seven equations [17].

■ The ChargedCluster model for boron–interstitial clusters (BICs) and arsenic–vacancyclusters. Boron-clustering kinetics is described by the formation and dissolution of sixtypes of BIC: B2, B2I, B2I2, B3I, B3I2, and B3I3. Arsenic–vacancy clustering is described bysix clusters: As2, As2V, As3, As3V, As4, and As4V.

In addition, other model parameters are adjusted for B, As, P, and In diffusion. These additionaladjustments have been calibrated with SIMS data. They are needed because the simulation oftransient-enhanced diffusion of these dopants is affected by switching on the Full model forinterstitial clusters instead of the 1Moment model, which is the default in AdvancedCalibration.

The disadvantage of switching on the advanced models is that the total number of equations tobe solved for dopant and defect clustering increases sharply, which leads to a typical increaseof CPU time for annealing by a factor of three, in comparison to simulations with the AdvancedCalibration standard models.

In general, it is not recommended to use only part of the advanced models. In particular, for BUSJ formation, you should not use the ChargedCluster model without switching on theFull model for interstitials, and vice versa. However, in devices where the maximumconcentration of B or As is small, you may carefully consider selecting a simple clusteringmodel for this dopant to save CPU time.

The option AdvancedModels is recommended for fundamental research on processsimulation models and for applications where the standard models are not suited to predict alltrends correctly. These may include, for example, the modeling of dopant activation and defectannealing by advanced millisecond annealing with a laser or flash lamp.

In the following sections, the contents of the procedure AdvancedModels is described.

34 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 53: Advanced Calibration User

2: Advanced Calibration file of Sentaurus ProcessPart 4: Comprehensive and slow models

www.cThe do

Interstitial clusters

See section 4.1 of AdvCal_2008.09.fps.

The advanced interstitial clustering model, first published in [17], is switched on by:

pdbSet Si Int ClusterModel FullpdbSet Si Int MultiClusterModel Full { 2Moment Loop }

In this model, seven equations are solved to describe the kinetics of self-interstitial clusters:

■ Three data fields (I2, I3, I4) describe small interstitial clusters (SMICs).

■ Two data fields (D311, density of {311} defects, and C311, density of interstitials boundin {311} defects) describe the presence of {311} defects.

■ Two data fields (DLoop, density of dislocation loops, and CLoop, density of interstitialsbound in dislocation loops) describe dislocation loops.

For a complete description of the model and a comparison to experimental data, refer to theliterature [17].

The differences of this model to the default 1Moment model are illustrated in Figure 1 andFigure 2 on page 36.

Figure 1 Time evolution of interstitial supersaturation after low-dose silicon implantation, during annealing at 600oC. Experimental data points are extracted from [8]. For short-time anneals (< 1000 s), a high supersaturation is maintained by dissolution of SMICs. This is reproduced correctly with the Full model, but not with the 1Moment model. For longer anneal times, the interstitial supersaturation is maintained by {311} defects. In this situation, the 1Moment model gives similar results to the Full model.

ExperimentSimulation: AdvancedModelsSimulation: 1Moment model

40 keV silicon implant, dose 2x1013 cm−3, anneal at 600°C

Time [s]100 101 102 103 104 105

Inte

rstit

ial S

uper

satu

ratio

n

100

101

102

103

104

105

106

107

Advanced Calibration User Guide 35A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 54: Advanced Calibration User

2: Advanced Calibration file of Sentaurus Process Part 4: Comprehensive and slow models

www.cThe do

Figure 2 Time evolution of concentration of interstitials in {311} defects and dislocation loops after amorphizing silicon implantation, during annealing at 800oC. Experimental data points (TEM) are extracted from [46]. The Full model offers a good description of interstitials bound to {311} defects and dislocation loops. In contrast, the 1Moment model underestimates the stability of interstitial clusters in situations with dislocation loops.

The high supersaturation of interstitials in the initial phase of low-temperature annealing isimportant also for USJ formation with spike annealing, since all temperature ramps start at lowtemperature. Therefore, if the Full model is used for interstitial clusters, a suitable calibrationof transient-enhanced diffusion of dopants that diffuse together with interstitials (B, P, In)requires either a dopant–interstitial clustering model or reduced diffusivities ofdopant–interstitial pairs at low temperatures.

Boron–interstitial clusters

See section 4.2 of AdvCal_2008.09.fps.

Boron–interstitial clusters (BICs) should be used in combination with the Full model forinterstitial clusters. The BIC model is selected by:

pdbSet Si B ActiveModel ChargedCluster

All clusters are assumed to be electrically neutral. The most important model parameters arethe formation energies of the various clusters. These have been optimized by comparison to acollection of SIMS data for various process conditions.

The BIC model allows you to use realistic initial conditions (AmInit, AcInit, ifactor,dfactor) for B after ion implantation, in all situations. These are set in section 4.2.2 ofAdvCal_2008.09.fps. The scaling factors for the damage (dfactor) and for the number of

TEM: Total interstitials in clustersSimulation: Total interstitials in clusters, Full modelSimulation: Interstitials in clusters, 1Moment modelTEM: Interstitials in loopsSimulation: Interstitials in loops, Full modelTEM: Interstitials in {311} defectsSimulation: Interstitials in {311}, Full model

100 keV silicon implant, dose 2x1014, anneal at 800°C

Time [s]102 103

Clu

ster

ed In

ters

titia

ls [c

m−

2 ]

1012

1013

1014

36 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 55: Advanced Calibration User

2: Advanced Calibration file of Sentaurus ProcessPart 4: Comprehensive and slow models

www.cThe do

interstitials (ifactor) produced by implantation of BF2 have been extracted from calibratedreference simulations using the full cascade Monte Carlo engine. The ChargedReact (five-stream) diffusion model for B is switched on together with the BIC model.

The BIC model describes the activation of B more accurately than the Transient clustermodel. This is most evident for thermal anneals with a low thermal budget, such as low-temperature rapid thermal annealing (RTA) or millisecond annealing.

An example is shown in Figure 3, where the AdvancedModels provides superior accuracy forRTA at (left), but no substantial improvement is obtained for the spike anneal (right).

Figure 3 Comparison of simulated B profiles with BIC model (red lines) and the simple cluster model to SIMS data: (left) B profiles after RTA at 900oC (SIMS data from [47]). The BIC model is significantly more accurate. (Right) B profiles after a spike anneal at 1050oC (SIMS data from VSEA1). All simulations are performed with AdvCal_2007.12.fps, either with or without the option AdvancedModels.

ChargedCluster model for arsenic

See section 4.3 of AdvCal_2008.09.fps.

The kinetics of As cluster formation and dissolution is described with a family of six clusters:As2, As2V, As3, As3V, As4, and As4V. In this model, as for the BIC model, the most importantcalibration parameters are the formation energies (ClusterFormE) of the various clustertypes. The most stable cluster at high As concentrations is As4V.

1. Varian Semiconductor Equipment Associates, Inc.

900°C

SIMSSimulation: BIC modelSimulation: Transient cluster

20 keV B implant, dose 5x1014, 30 s anneal at 900°C

Depth [nm]0 100 200 300

Bor

on C

once

ntra

tion

[cm

−3 ]

1016

1017

1018

1019

1020

SIMSSimulation: BIC modelSimulation: Transient cluster

Depth [nm]0 20 40 60 80

Bor

on C

once

ntra

tion

[cm

−3 ]

1016

1017

1018

1019

1020

1021

Advanced Calibration User Guide 37A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 56: Advanced Calibration User

2: Advanced Calibration file of Sentaurus Process References

www.cThe do

The ChargedCluster model for As clusters has been calibrated for use in combination withthe Full model for interstitial clusters. The initial conditions after implantation and the doseloss parameter CMax have been modified with respect to the default calibration of As diffusion.Similar to B, the diffusion model ChargedReact is switched on together with theChargedCluster model.

Phosphorus parameters in AdvancedModels

See section 4.4 of AdvCal_2008.09.fps.

To compensate for the effect of high interstitial supersaturation at the early stage of annealingafter implantation simulated with the Full model for interstitial clusters (see Figure 1 onpage 35), the P diffusivity at low temperature is reduced in the procedure AdvancedModels.This is mainly achieved by using an increased Arrhenius energy for the diffusivity of P–I pairs.In addition, the solid solubility and the cluster formation rate, as well as the dose loss parameterP2_k2, have been slightly adjusted, based on calibration with SIMS data.

Finally, the procedure for the formation of As–P clusters has been modified to be compatiblewith the ChargedCluster model, which is switched on for As clusters in AdvancedModels.

Indium parameters in AdvancedModels

See section 4.5 of AdvCal_2008.09.fps.

The diffusivity of In–I pairs is reduced for low temperatures for the same reason and by thesame method as described for phosphorus in Phosphorus parameters in AdvancedModels.

The following lines help to improve the convergence of diffusion simulation with In:

pdbSetDouble Si In Abs.Error 1e5pdbSetDouble Si In Rel.Error 1e-2

References

[1] P. Pichler, Intrinsic Point Defects, Impurities, and Their Diffusion in Silicon,Computational Microelectronics, Vienna: Springer, 2004.

[2] S. Chakravarthi et al., “Modeling of Diffusion and Activation of Low Energy ArsenicImplants in Silicon,” in MRS 2002 Spring Meeting Proceedings, Symposium C, vol. 717,San Francisco, CA, USA, pp. C3.7.1–C3.7.6, April 2002.

38 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 57: Advanced Calibration User

2: Advanced Calibration file of Sentaurus ProcessReferences

www.cThe do

[3] C. S. Rafferty et al., “Simulation of cluster evaporation and transient enhanced diffusionin silicon,” Applied Physics Letters, vol. 68, no. 17, pp. 2395–2397, 1996.

[4] S. Strauss et al., “Analytic model for ion channeling in successive implantations incrystalline silicon,” Materials Science and Engineering B, vol. 124–125, pp. 376–378,December 2005.

[5] H. Bracht, N. A. Stolwijk, and H. Mehrer, “Equilibrium Concentrations of IntrinsicPoint Defects in Silicon Determined by Zinc Diffusion,” in Proceedings of the SeventhInternational Symposium on Silicon Materials Science and Technology (SemiconductorSilicon), vol. 94-10, San Francisco, CA, USA, pp. 593–602B, May 1994.

[6] H. Bracht, E. E. Haller, and R. Clark-Phelps, “Silicon Self-Diffusion in IsotopeHeterostructures,” Physical Review Letters, vol. 81, no. 2, pp. 393–396, 1998.

[7] A. Ural, P. B. Griffin, and J. D. Plummer, “Self-Diffusion in Silicon: Similarity betweenthe Properties of Native Point Defects,” Physical Review Letters, vol. 83, no. 17,pp. 3454–3457, 1999.

[8] N. E. B. Cowern et al., “Energetics of Self-Interstitial Clusters in Si,” Physical ReviewLetters, vol. 82, no. 22, pp. 4460–4463, 1999.

[9] B. Colombeau and N. E. B. Cowern, “Modelling of the chemical-pump effect and Cclustering,” Semiconductor Science and Technology, vol. 19, no. 12, pp. 1339–1342,2004.

[10] P. M. Fahey, P. B. Griffin, and J. D. Plummer, “Point defects and dopant diffusion insilicon,” Reviews of Modern Physics, vol. 61, no. 2, pp. 289–388, 1989.

[11] M. D. Giles, “Defect-Coupled Diffusion at High Concentrations,” IEEE Transactions onComputer-Aided Design, vol. 8, no. 5, pp. 460–467, 1989.

[12] I. Bork and H. Matsumoto, “On the Determination of Boron Diffusivities and BoronInterstitial Pair Binding Energies in Silicon,” in International Conference on Simulationof Semiconductor Processes and Devices (SISPAD), Tokyo, Japan, pp. 91–92,September 1996.

[13] P. A. Packan and J. D. Plummer, “Temperature and time dependence of B and Pdiffusion in Si during surface oxidation,” Journal of Applied Physics, vol. 68, no. 8,pp. 4327–4329, 1990.

[14] P. B. Griffin and J. D. Plummer, “Process Physics Determining 2-D Impurity Profiles inVLSI Devices,” in IEDM Technical Digest, Los Angeles, CA, USA, pp. 522–525,December 1986.

[15] P. A. Stolk et al., “Physical mechanisms of transient enhanced dopant diffusion in ion-implanted silicon,” Journal of Applied Physics, vol. 81, no. 9, pp. 6031–6050, 1997.

[16] H. Saleh et al., “Energy dependence of transient enhanced diffusion and defect kinetics,”Applied Physics Letters, vol. 77, no. 1, pp. 112–114, 2000.

Advanced Calibration User Guide 39A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 58: Advanced Calibration User

2: Advanced Calibration file of Sentaurus Process References

www.cThe do

[17] N. Zographos, C. Zechner, and I. Avci, “Efficient TCAD Model for the Evolution ofInterstitial Clusters, {311} Defects, and Dislocation Loops in Silicon,” in MRSSymposium Proceedings, Semiconductor Defect Engineering—Materials, SyntheticStructures and Devices II, vol. 994, San Francisco, CA, USA, p. 0994-F10-01, April2007.

[18] F. Wittel, Development and Characterization of Process Simulation Models forDiffusion and Co-Diffusion of Dopants in Silicon, Ph.D. thesis, Boston University,Boston, MA, USA, 1996.

[19] L. F. Giles et al., “Transient enhanced diffusion of B at low temperatures under extrinsicconditions,” Solid-State Electronics, vol. 49, no. 4, pp. 618–627, 2005.

[20] G. Impellizzeri et al., “Role of fluorine in suppressing boron transient enhanceddiffusion in preamorphized Si,” Applied Physics Letters, vol. 84, no. 11, pp. 1862–1864,2004.

[21] B. Colombeau et al., “Current Understanding and Modeling of B Diffusion andActivation Anomalies in Preamorphized Ultra-Shallow Junctions,” in MRS SymposiumProceedings, Silicon Front-End Junction Formation—Physics and Technology, vol. 810,San Francisco, CA, USA, pp. 91–102, April 2004.

[22] Y.-S. Oh and D. E. Ward, “A Calibrated Model for Trapping of Implanted Dopants atMaterial Interface During Thermal Annealing,” in IEDM Technical Digest, SanFrancisco, CA, USA, pp. 509–512, December 1998.

[23] A. N. Larsen et al., “Heavy doping effects in the diffusion of group IV and V impuritiesin silicon,” Journal of Applied Physics, vol. 73, no. 2, pp. 691–698, 1993.

[24] S. T. Dunham and C. D. Wu, “Atomistic models of vacancy-mediated diffusion insilicon,” Journal of Applied Physics, vol. 78, no. 4, pp. 2362–2366, 1995.

[25] R. Kasnavi et al., “Characterization of arsenic dose loss at the Si/SiO2 interface,”Journal of Applied Physics, vol. 87, no. 5, pp. 2255–2260, 2000.

[26] K. Suzuki, “Model for Transient Enhanced Diffusion of Ion-Implanted Boron, Arsenic,and Phosphorous over Wide Range of Process Conditions,” FUJITSU Scientific &Technical Journal, vol. 39, no. 1, pp. 138–149, 2003.

[27] X.-Y. Liu et al., “First-principles study of phosphorus diffusion in silicon: Interstitial-and vacancy-mediated diffusion mechanisms,” Applied Physics Letters, vol. 82, no. 12,pp. 1839–1841, 2003.

[28] J. Dabrowski et al., “Mechanism of dopant segregation to SiO2/Si(001) interfaces,”Physical Review B, vol. 65, p. 245305, May 2002.

[29] V. C. Venezia et al., “Dopant redistribution effects in preamorphized silicon during lowtemperature annealing,” in IEDM Technical Digest, Washington, DC, USA,pp. 489–492, December 2003.

40 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 59: Advanced Calibration User

2: Advanced Calibration file of Sentaurus ProcessReferences

www.cThe do

[30] C. Zechner, D. Matveev, and A. Erlebach, “Phase-field model for the dopantredistribution during solid phase epitaxial regrowth of amorphized silicon,” MaterialsScience and Engineering B, vol. 114–115, pp. 162–165, 2004.

[31] T. Aoyama, H. Tashiro, and K. Suzuki, “Diffusion of Boron, Phosphorus, Arsenic, andAntimony in Thermally Grown Silicon Dioxide,” Journal of the ElectrochemicalSociety, vol. 146, no. 5, pp. 1879–1883, 1999.

[32] H. Rücker et al., “Suppressed diffusion of boron and carbon in carbon-rich silicon,”Applied Physics Letters, vol. 73, no. 12, pp. 1682–1684, 1998.

[33] P. Lavéant et al., “Engineering the diffusion behavior of dopants (B, Sb) in silicon byincorporation of carbon,” Nuclear Instruments and Methods in Physics Research B,vol. 186, no. 1–4, pp. 292–297, 2002.

[34] V. Moroz et al., “Optimizing boron junctions through point defect and stressengineering using carbon and germanium co-implants,” Applied Physics Letters,vol. 87, p. 051908, August 2005.

[35] B. J. Pawlak et al., “Suppression of phosphorus diffusion by carbon co-implantation,”Applied Physics Letters, vol. 89, p. 062102, August 2006.

[36] C. Zechner et al., “Modeling Ultra Shallow Junctions Formed by Phosphorus-Carbonand Boron-Carbon Co-implantation,” in MRS Symposium Proceedings, SemiconductorDefect Engineering—Materials, Synthetic Structures and Devices II, vol. 994, SanFrancisco, CA, USA, p. 0994-F11-17, April 2007.

[37] F. J. Morin and J. P. Maita, “Electrical Properties of Silicon Containing Arsenic andBoron,” Physical Review, vol. 96, no. 1, pp. 28–35, 1954.

[38] N. Zangenberg, Defect and Diffusion Studies in Si and SiGe, Ph.D. thesis, University ofAarhus, Denmark, 2003.

[39] P. Laitinen, Self- and Impurity Diffusion in Intrinsic Relaxed Silicon - Germanium,Ph.D. thesis, University of Jyväskylä, Finland, 2004.

[40] A. N. Larsen and P. Kringhoj, “Diffusion of Sb in relaxed Si1–xGex,” Applied PhysicsLetters, vol. 68, no. 19, pp. 2684–2686, 1996.

[41] P. Kuo et al., “Boron Diffusion in Si and Si1–xGex,” in MRS Symposium Proceedings,Strained Layer Epitaxy - Materials, Processing, and Device Applications, vol. 379,pp. 373–378, 1995.

[42] J. S. Christensen, Dopant diffusion in Si and SiGe, Ph.D. thesis, KTH, Royal Institute ofTechnology, Stockholm, Sweden, 2004.

[43] A. F. W. Willoughby, J. M. Bonar, and M. S. A. Karunaratne, IST Project 2000-30129,Report on the Effects of Defect Injection on Sb in SiGe, Technical Report D605,FRENDTECH, Southampton, UK, September 2003.

Advanced Calibration User Guide 41A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 60: Advanced Calibration User

2: Advanced Calibration file of Sentaurus Process References

www.cThe do

[44] G. Hobler and S. Selberherr, “Two-Dimensional Modeling of Ion Implantation InducedPoint Defects,” IEEE Transactions on Computer-Aided Design, vol. 7, no. 2,pp. 174–180, 1988.

[45] M. D. Giles, “Transient Phosphorus Diffusion Below the Amorphization Threshold,”Journal of the Electrochemical Society, vol. 138, no. 4, pp. 1160–1165, 1991.

[46] J. Li and K. S. Jones, “{311} defects in silicon: The source of the loops,” AppliedPhysics Letters, vol. 73, no. 25, pp. 3748–3750, 1998.

[47] S. Solmi, F. Baruffaldi, and R. Canteri, “Diffusion of boron in silicon during post-implantation annealing,” Journal of Applied Physics, vol. 69, no. 4, pp. 2135–2142,1991.

42 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 61: Advanced Calibration User

www.cThe do

CHAPTER 3 Guidelines for additional calibration

This chapter provides guidelines for additional calibration.

The Advanced Calibration file is a good starting point for accurate process simulation withSentaurus Process. However, the Advanced Calibration cannot fully replace an additionalcalibration by the user for 2D applications. With a customized process calibration, the accuracycan always be further increased for any technology of interest. A customized calibration ofprocess and device simulation models needs to be performed by the user or can be requestedfrom Synopsys in the context of a customer service project.

To further improve the Advanced Calibration, Synopsys appreciates feedback from customersregarding the accuracy obtained with the parameter files for different process conditions, andsuggestions for improved models or parameter values.

Accuracy and limitations of Advanced Calibration of Sentaurus Process

The Advanced Calibration is based on scientific literature on process simulation models andon a continual calibration effort based on the Synopsys collection of SIMS profiles from state-of-the-art device manufacturing technology. A good agreement is obtained for a large portionof the SIMS data. However, in many cases, there is a significant mismatch between simulationresults obtained with Advanced Calibration and the experimental data for several reasons:

■ Many models are simplifications of real physics.

■ Only a few parameters of diffusion and reaction physics in silicon can be determined bydirect measurements. Therefore, the calibration is difficult.

■ A very large range of possible experiment data needs to be reproduced with a single,consistent set of models. For example, in standard CMOS technology, dopantconcentrations range from to ; temperatures range from to

.

■ In extreme conditions, the models used are often overburdened. For example, the dopantclustering models, which work well at dopant concentrations up to , are lessreliable at higher dopant concentrations, which may occur after high-dose ion implantationat very low energies.

1016 cm 3– 1022 cm 3– 500°C1350°C

1021 cm 3–

Advanced Calibration User Guide 43A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 62: Advanced Calibration User

3: Guidelines for additional calibration Accuracy and limitations of Advanced Calibration of Sentaurus Process

www.cThe do

■ The experimental data is not perfectly accurate. Errors arise from insufficient equipmentcalibration (implanter dose, furnace temperature), from SIMS measurements, and frommissing details in the process description such as thickness of the oxide layer on top ofsilicon. As a result, occasionally, small discrepancies are observed between data obtainedby different groups for the same nominal process conditions.

■ For some physical phenomena, no adequate calibration is available, either due to the lackof a physical model in Sentaurus Process or to the lack of data for performing a reliablecalibration.

In this section, the accuracy of the Advanced Calibration is discussed in detail. In particular, itwill be explained for which process conditions the accuracy is limited and which parameterscan be tuned by users to increase the accuracy in a process window of interest. Unlessmentioned otherwise, the discussion focuses on the default model switches of AdvancedCalibration. For the option AdvancedModels (part 4 of AdvCal_2008.09.fps), see Part 4:Comprehensive and slow models on page 34.

Point defects

Bulk parameters

The parameter values for the equilibrium concentration, diffusivity, and charge-statedistribution of point defects have been chosen by Synopsys, as a careful compromise betweenvarious suggestions in recent publications [1][2][3][4][5][6][7].

The bulk recombination is based on the assumption that there is no energy barrier for I–Vrecombination, and that interstitials and vacancies with the same charge state do notrecombine.

Changing any of these parameters may affect the diffusion and activation behavior of severaldopants. Therefore, for the purpose of improving the accuracy of diffusion of one dopant, it isnot recommended to change point-defect parameters, due to the possible undesired effects onother dopants.

Surface boundary conditions

At the Si–SiO2 interface, fast recombination is assumed for neutral interstitials and vacancies.The recombination length is assumed to be 1 nm. For interfaces to other materials (for example,nitride), the point-defect surface boundary conditions have not yet been calibrated, due to alack of suitable data.

44 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 63: Advanced Calibration User

3: Guidelines for additional calibrationAccuracy and limitations of Advanced Calibration of Sentaurus Process

www.cThe do

Oxidation-enhanced diffusion

Oxidation-enhanced diffusion (OED) is simulated by interstitial injection at the movingSi–SiO2 interface. The injection rate depends on the local oxide growth rate at the interface.The calibration for the intrinsic condition is based on literature data for dry oxidation. Foradditional fine-tuning of OED, it is recommended to adjust the parameter theta. For fine-tuning of OED in highly doped regions, it is recommended to adjust the parameters m, mm forn-type doping and the parameters p, pp for p-type doping.

Clusters of interstitials

The one-moment model suggested by Rafferty et al. [8] is used in the Advanced Calibration.It gives a reasonably accurate description of interstitial supersaturation during anneals, inwhich the transient-enhanced diffusion (TED) is dominated by the release and capture ofinterstitials by {311} defects. This is the case for annealing at medium or high temperatures(T > ) after non-amorphizing ion implantation.

The model is not suitable for investigating the initial stage of TED for low-temperatureannealing (< ). For processes where the initial phase of TED is crucial, the Raffertymodel is not the most accurate choice. Instead, consider using the procedureAdvancedModels to benefit from complete modeling of small interstitial clusters, {311}defects, and dislocation loops.

After amorphizing implantation, dislocation loops may form at the amorphous–crystallineinterface. Loops are known to be much more stable interstitial clusters than {311} defects. Asan effect, the rate of interstitial release is smaller. The formation and dissolution of dislocationloops is not included in the 1Moment model for TED. However, you can imitate the presenceof dislocation loops by reducing the evaporation rate Ikr in the Rafferty model, afteramorphizing implants:

pdbSetDouble Silicon ICluster Ikr {[Arr value1 value2]}

With the default value of Ikr in AdvCal_2008.09.fps, the stability of the interstitialclusters is adjusted to the stability of {311} defects and is significantly lower than the expectedstability of dislocation loops.

Figure 4 on page 46 shows the TEM data on the amount of interstitials in {311} clusters aftera 50 keV, silicon implant and annealing at different temperatures. Theexperimental data (symbols) from the literature [9] is compared to the simulation results withAdvCal_2007.12.fps (solid lines).

800°C

800°C

5 1013× cm 2–

Advanced Calibration User Guide 45A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 64: Advanced Calibration User

3: Guidelines for additional calibration Accuracy and limitations of Advanced Calibration of Sentaurus Process

www.cThe do

Figure 4 TEM data points on the amount of interstitials in {311} clusters after 50 keV, 5 x 1013 cm–2 silicon implant and annealing at different temperatures [9] compared to simulation results (lines)

Vacancy clusters

In the Advanced Calibration, vacancy clusters are not taken into account. This is justified formost processes, but not for the so-called ‘defect-engineering’ [10], where vacancy-rich siliconregions are created near the surface by high-energy ion implantation, in order to form highlyactivated and steep boron profiles.

Boron diffusion and clustering

Diffusion and pairing in silicon

The diffusivity of boron has been measured by many groups, with similar but not fully identicalresults [1]. Omitting the most extreme published values, the spread between the lowest andhighest diffusivities for B is approximately a factor of two for high temperatures( – ). You should not change the B diffusivity by more than 50% in your owncalibrations.

The pairing coefficient between B and interstitials is less accurately known. However, it doesnot have a big effect on the simulation results.

670ºC

738°C

815°C

Time [s]100 101 102 103 104 105

Inte

rstit

ials

in {

311}

Def

ects

1011

1012

1013

1014

900°C 1100°C

46 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 65: Advanced Calibration User

3: Guidelines for additional calibrationAccuracy and limitations of Advanced Calibration of Sentaurus Process

www.cThe do

Hopping length (for ChargedReact model)

The hopping length for B–I pairs has been extracted by Giles et al. [11] and is considered veryreliable. It is only relevant if the five-stream model is switched on by:

pdbSet Silicon Boron DiffModel ChargedReact

By default, the B diffusion model is ChargedPair. This is a simplified case of theChargedReact model and allows significantly faster simulations due to better convergence ofthe equations and, therefore, larger time steps.

The hopping length lambdaK decreases with increasing temperature. For boron, at , itis 11 nm; at , it is 1.4 nm. The ChargedReact model gives significantly differentresults from the ChargedPair model for the annealing of very steep B profiles at lowtemperatures. This is illustrated in Figure 5 where a boron marker layer is annealed at .

Figure 5 shows that the ChargedPair model gives a Gaussian shape to the profile and theChargedReact model gives exponential-like tails, as observed in experiment. The slope of theexponential tail is a measure for the hopping length of B–I pairs at .

Figure 5 Boron marker layer at depth 100 nm, before and after Si implant and anneal at 700oC

Furthermore, for spike annealing of shallow B implantations, there is sometimes a smalldifference in the diffusion tail, which is formed at the very beginning of the ramp-up, wherethe temperature is still low and the interstitial supersaturation is very high. In most situations,it is well justified to use the faster ChargedPair model.

600°C1000°C

700°C

700°C

Initial marker layer

ChargedReact (five-stream)

ChargedPair (three-stream)

Depth [nm]0 50 100

Bor

on [c

m-3

]

1015

1016

1017

1018

Advanced Calibration User Guide 47A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 66: Advanced Calibration User

3: Guidelines for additional calibration Accuracy and limitations of Advanced Calibration of Sentaurus Process

www.cThe do

Effect of fluorine

In the Advanced Calibration file, the boron diffusivity is reduced in regions with a high fluorineconcentration. Fluorine is assumed to be immobile. The B diffusivity is performed by thefunction:

term name=BoronDiffFactor add Si \eqn="(1.6e20+0.025*Fluorine)/(1.6e20+Fluorine)"

This model is not the best physical model to simulate the influence of fluorine. It has beenshown [12] that F does not form clusters with B at low concentrations and, therefore, does notreduce the diffusivity of B directly. Furthermore, F atoms are not immobile. Instead,fluorine–vacancy complexes, which are present in silicon after solid phase epitaxial regrowth(SPER), were found to be able to capture silicon self-interstitials. After capturing interstitials,F diffuses very fast towards the surface or deep into the silicon bulk. In addition, it wasobserved [12][13] that F is redistributed towards the surface during SPER of amorphizedregions, due to a strong segregation effect at the amorphous–crystalline interface. Furthermore,the speed of SPER is reduced by the presence of F, which may cause an increased dopantredistribution during the regrowth.

Unfortunately, a complete model that describes the physical behavior of F correctly has not yetbeen calibrated in Sentaurus Process. Nevertheless, ignoring the effect of F completely is notprudent, because it is obvious from SIMS data that F reduces the diffusion of B and increasesthe dose loss of B.

In this situation, the above formula for an effective reduction of B diffusivity by F is useful.The formula has been calibrated by a comparison of SIMS data for boron diffusion after boronand BF2 implantation. The formula is not expected to be predictive for the simulation ofultrashallow junction formation after separate implantation of B and F atoms at differentimplantation energies.

The diffusivity of B in oxide is increased in the presence of F. This effect has also beencalibrated by Synopsys based on B SIMS data measured after BF2 implantation and annealing.As a result, the B dose loss is increased, as observed in the SIMS data.

Boron clustering and activation

As the default, the relatively simple Transient model is chosen for the clustering andelectrical activation of boron. Four substitutional B atoms may form a B cluster. Only thesubstitutional B atoms will be assumed to be electrically active for the device simulation.

After ion implantation, only a limited concentration of B atoms is assumed to be substitutional.The concentration is in crystalline silicon and in amorphized silicon.Both values have been calibrated using annealed boron SIMS profiles. It should be mentioned

3.0 1018× 1.1 1019×

48 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 67: Advanced Calibration User

3: Guidelines for additional calibrationAccuracy and limitations of Advanced Calibration of Sentaurus Process

www.cThe do

that Colombeau et al. [14] reported higher values for the initial activation in preamorphizedsilicon. The highly activated B after preamorphization and SPER was found to be deactivatedby the formation of boron–interstitial clusters BmIn (BICs) and reactivated during thedissolution of these BICs. However, with the B clustering model chosen in the AdvancedCalibration, an initial activation well below solid solubility is necessary to reproduce SIMSdata of boron ultrashallow junctions.

The predictive power of the Transient boron clustering model is limited. The deactivationand subsequent activation of boron USJ formed after preamorphization and low-energyimplant cannot be simulated with the present model. For the fitting of SIMS data, a satisfactoryagreement has been obtained with a high percentage of B profiles from the Synopsys database,but a good fit to all SIMS data cannot be achieved with the clustering model used. Theparameters in the Advanced Calibration file are an optimized compromise for the completecollection of SIMS data of Synopsys. To optimize the accuracy in a process window, anadditional user calibration may be necessary.

The solid solubility is fit to data collected by Pichler [1] and does not need to be changed toimprove the accuracy. It is recommended to tune the clustering rate by using:

pdbSetDouble Si B CluRate {[Arr 1.65e13 5.6]}

for a user-specific calibration of B clustering and activation. The default clustering rate allowsyou to reproduce boron SIMS profiles for B or BF2 implantation followed by a single rapidthermal anneal (RTA) or spike anneal. The effect of tuning the clustering rate is illustrated inFigure 6.

Figure 6 Effect of boron clustering rate CluRate on final shape of a boron profile after 1 keV 1015 cm–2 boron implantation and spike annealing at 1050oC

If boron clusters are located in regions of high carbon concentration (> ), it may benecessary to reduce the clustering rate greatly. The profile tail at boron concentrations less than

is formed at the beginning of annealing, where most of the boron is still clustered.Changing CluRate is a powerful method to fine-tune the junction depth and sheet resistance.

{[Arr 4.00e13 5.6]}

{[Arr 1.65e13 5.6]} (default)

{[Arr 5.00e12 5.6]}

{[Arr 1.00e12 5.6]}

Depth [nm]0 20 40 60 80

Bor

on [c

m-3

]

1017

1018

1019

1020

1021

1020 cm 3–

1018 cm 3–

Advanced Calibration User Guide 49A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 68: Advanced Calibration User

3: Guidelines for additional calibration Accuracy and limitations of Advanced Calibration of Sentaurus Process

www.cThe do

PMOS extension formation

In PMOS device fabrication, the B or BF2 implant is sometimes followed by the followingtemperature cycle:

■ RTA

■ Spacer deposition (typically, 20–120 minutes at – )

■ Spike anneal

In this case, the Advanced Calibration parameters often overestimate the release of boronatoms from boron clusters, which are located close to the surface, into silicon. It seems that, inthe wafer processing, the B clusters become more stable during the low-temperature spacerdeposition step. However, since the model has only one type of B cluster, this type of‘stabilization’ cannot be described. To obtain a good fit of the final B profile, it is necessary toreduce the parameter CluRate by a factor of 5–30.

NOTE For the process simulation of PMOS extension formation, it may benecessary to reduce the parameter CluRate by a factor of 5–30.

As shown in Figure 6 on page 49, CluRate mainly influences the high-concentration regionsof the B profiles. The diffusion tail in the low-concentration regions can be adjusted by fine-tuning the amount of interstitials created by ion implantation. The most convenient way tomake such an adjustment is to redefine the procedure ifactor_Boron after sourcing theAdvanced Calibration file. This is described in more detail in Performing additional calibrationon page 58.

The diffusion tail of a boron profile formed by a spike anneal can also be adjusted by varyingthe stability of interstitial clusters. The higher the dissolution rate Ikr for interstitial clusters,the earlier are the interstitials released during the ramp-up of the spike anneal. Consequently,interstitials from ion implantation are available for B diffusion at a lower temperature, wherethey are more effective for enhancement of B diffusion.

Figure 7 on page 51 illustrates the effect of increasing the interstitial dissolution rate. Thediffusion in the tail region is widely driven by B atoms, which are substitutional at thebeginning of the anneal. These diffuse more if Ikr is increased. Another effect of increasingIkr is that most of the interstitials have already recombined before a substantial part of the Bclusters that are near the surface are dissolved. As a consequence, less boron can diffuse out ofthe B clusters, as can be seen in the dashed line of Figure 7. By changing the rate for interstitialcluster dissolution and B cluster dissolution, both by a factor of ten (symbols in Figure 7), youcan modify the B tail almost independently from the highly doped region.

600°C 800°C

50 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 69: Advanced Calibration User

3: Guidelines for additional calibrationAccuracy and limitations of Advanced Calibration of Sentaurus Process

www.cThe do

In Figure 7, the solid line shows the simulation result with AdvCal_2007.12.fps, the dashedline shows the result with Ikr increased by a factor of 10, and the symbols show the simulationresult with both Ikr and boron CluRate increased by a factor of 10.

Figure 7 Effect of interstitial cluster dissolution rate Ikr on the final shape of a boron profile after 1 keV 1015 cm–2 B implantation and spike annealing at 1050oC

Preamorphization implant

If boron ultrashallow junctions are formed using a preamorphization implant (Ge or Si), theaccuracy can be reduced by an inaccurate calculation of the initial amount of interstitials in thestructure. In this situation, you can consider adjusting the ifactor for Ge or Si implantation,for example, by defining a procedure ifactor_Germanium.

BIC model

By executing the procedure AdvancedModels (see Part 4: Comprehensive and slow modelson page 34), you can switch on the ChargedCluster BIC model for boron. Its disadvantage– six equations need to be solved instead of a single equation – can be compensated by anincreased accuracy of results. In particular, for the simulation of B diffusion and (de)activationduring low-temperature annealing, and of B (de)activation during millisecond annealing, theChargedCluster model is much better suited than the Transient cluster model. For themodeling of boron USJ formation by spike annealing, with high or extremely high( ) B surface concentration, the ChargedCluster model provides similaraccuracy to the Transient cluster model.

Boron dose loss

The parameters for the three-phase segregation model have been calibrated by Synopsys usingSIMS data from B implantation and annealing experiments. In most situations, the dose loss ofB into thermal oxide is accurately described. After BF2 implantation, the dose loss of B is

High Ikr, high B-CluRate

High Ikr

Default

Depth [nm]0 20 40 60 80

Bor

on [c

m-3

]

1017

1018

1019

1020

1021

10> 21 cm 3–

Advanced Calibration User Guide 51A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 70: Advanced Calibration User

3: Guidelines for additional calibration Accuracy and limitations of Advanced Calibration of Sentaurus Process

www.cThe do

increased. This is taken into account by increasing the diffusivity of B in the oxide as a functionof F concentration.

In device manufacturing, thermal oxide is not the only dielectric material used. In oxynitride,which is frequently used in gate dielectrics, the boron diffusivity is typically reduced,depending on nitrogen concentration. Deposited oxides may contain hydrogen atoms, whichcan drastically increase the diffusivity of B in oxide. This may be important in devices withoxide spacers that are capped by a silicon-nitride layer, which may act as a barrier for hydrogenout-diffusion.

Arsenic diffusion and activation

The diffusion of arsenic is well calibrated for a wide range of process conditions, including theformation of ultrashallow junctions. Only a few accuracy problems are known to Synopsys:

■ The model for arsenic diffusion and clustering is not very accurate for extremely higharsenic surface concentrations, which may occur after high-dose implantation with verylow implantation energy (for example, at an energy of 0.5 keV and less).

■ The kinetics of deactivation during back-end processing at low temperatures has not beencalibrated.

■ In some situations, it is useful to perform additional fine-tuning of arsenic dose loss. Inparticular, the parameter CMax, which specifies the concentration of arsenic atoms that canbe built into the interface layer, can be considered for the fine-tuning of As ultrashallowjunctions (see Figure 8).

Figure 8 Effect of CMax on simulation result for arsenic USJ formation; graphs were calculated for 1.5 keV 1015 cm–2 As implantation, followed by a spike annealat 1050oC

2 1015× cm 2–

{[Arr 1.0e16 0.45]}

{[Arr 2.5e16 0.45]} (def.)

{[Arr 1.0e17 0.45]}

As-implanted

Depth [nm]0 10 20

Ars

enic

[cm

-3]

1016

1017

1018

1019

1020

1021

52 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 71: Advanced Calibration User

3: Guidelines for additional calibrationAccuracy and limitations of Advanced Calibration of Sentaurus Process

www.cThe do

For very shallow arsenic profiles, the steepness of the slope can be overestimated with theChargedPair diffusion model. A flatter slope can be obtained with the ChargedReactmodel. Within the ChargedReact model, you can even adjust the steepness by tuning thearsenic–defect hopping length lambda.

Figure 9 shows a comparison for an As ultrashallow junction, calculated with theChargedPair model and the ChargedReact model with default parameters. Note that SIMSdata tends to underestimate the slope of very steep profiles because, during the SIMSmeasurement itself, the profile is flattened due to the ‘knock-on’ effect.

Figure 9 Comparison of simulation results with the ChargedPair and ChargedReact models for As USJ formation; graphs were calculated for 1.5 keV 1015 cm–2 As implantation, followed by a spike anneal at 1050oC

Phosphorus diffusion and activation

The diffusion of phosphorus covers a wide range of process conditions, including USJformation, phosphorus well anneals, and P in-diffusion from polysilicon.

Phosphorus activation is not a major problem for process simulation, due to the high solidsolubility. It should be mentioned though that for very high-dose and very low-energy ionimplantation (for example, , 2 keV), where phosphorus concentrations close to

are reached, the simulation underestimates the stability of P clusters. At such highconcentrations, Si cannot be expected to show a typical behavior.

As in the case of arsenic, a useful parameter for the fine-tuning of P segregation is CMax.

Depth [nm]0 10 20 30

Ars

enic

[cm

-3]

1015

1016

1017

1018

1019

1020

1021 ChargedReact (five-stream)

ChargedPair (three-stream)

As-implanted

5 1015× cm 2–

1022 cm 3–

Advanced Calibration User Guide 53A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 72: Advanced Calibration User

3: Guidelines for additional calibration Accuracy and limitations of Advanced Calibration of Sentaurus Process

www.cThe do

Co-diffusion of arsenic and phosphorus

The physics of the co-diffusion of As and P in high concentration is very complex for severalreasons:

■ The concentration of point defects is affected by the diffusion of As–I, As–V, P–I, and P–Vpairs.

■ The recombination of point defects in the presence of As and P is not precisely known.

■ Arsenic and phosphorus can form mixed clusters.

■ The Fermi-level dependence of P diffusivity has a huge influence, but it is not known withhigh precision from the literature.

■ As–V pairs can show a percolation-type diffusion at high concentrations of arsenic.Vacancies can hop from one As atom to neighboring As atoms, which effectively increasesthe As–V diffusion at very high concentrations. It is possible that this percolation ismodified by the presence of P.

Synopsys has not yet completed a calibration for the whole range of possible processconditions for the co-diffusion of As and P. Depending on the process window of interest, threedifferent methods of parameter tuning will result in a good overall agreement. They aredescribed in As–P clusters on page 23.

Indium diffusion and activation

Non-amorphizing condition

The calibration of indium diffusion and dose loss is very accurate for non-amorphizingconditions and usually does not require additional fine-tuning.

Amorphizing ion implantation

If amorphous layers are created by ion implantation, the behavior of indium is morecomplicated and cannot be modeled correctly with the chosen set of models in the AdvancedCalibration. Several things may happen:

■ Indium is swept out of silicon during solid phase epitaxial regrowth (SPER) of theamorphous layer [15].

■ Indium may segregate to end-of-range defects [16].

■ If a high concentration of indium is left in silicon after SPER, clusters may form due to thelow solid solubility of indium.

54 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 73: Advanced Calibration User

3: Guidelines for additional calibrationAccuracy and limitations of Advanced Calibration of Sentaurus Process

www.cThe do

The first effect is responsible for a pronounced increase of indium dose loss with increasingindium dose [17] for NMOS channel doping, when the indium dose is above the amorphizationthreshold. Unfortunately, no adequate models are available to give a physics-based descriptionof this effect. A practical possibility for mimicking the sweep-out effect is to cut the indiumconcentration manually in amorphous regions, immediately after the ion implantation, usingthe select command of Sentaurus Process.

Antimony diffusion and activation

Antimony diffuses mainly with vacancies and, therefore, does not show transient enhanceddiffusion with excess interstitials created by ion implantation. In addition, the diffusivity of Sbis low. The calibration of Sb diffusion and activation is based on Sb data for ion implantationand subsequent annealing, with implantation energies of 50 keV and higher, and annealingtemperatures ranging from to . For these conditions, the calibration is veryreliable.

Antimony ultrashallow junction (USJ) formation has not been taken into account in theAdvanced Calibration.

For users who are interested in Sb USJ formation, an additional calibration of the Sbparameters is required. In particular, it will probably be necessary to define more sophisticatedinitial conditions for point defects and Sb activation after low-energy ion implantation, usingthe procedure ifactor_Antimony, and the parameters AcInit and AmInit.

Carbon–interstitial clusters

The model for C–I clusters has been used to reproduce experimental data for USJ formationbased on Ge+C+B or C+P cocktail implants and subsequent spike anneals. It has not beencalibrated yet for other process conditions where C implantation may be used, such as low-temperature annealing or As+C coimplants.

For additional calibration of the C–I cluster model, you may consider modifying the initialconditions for C after implantation. By default, all C is at substitutional sites at the beginningof thermal annealing. This assumption can be changed by the parameters AcInit, AmInit,FractionCryst, and FractionAmor. For example:

pdbSetDouble Si Carbon AcInit 1e16pdbSetDouble Si C3I2 FractionCryst 1.0pdbSetDouble Si Carbon AmInit 1e20pdbSetDouble Si Carbon FractionAmor 0.9pdbSetDouble Si C3I2 FractionAmor 0.1

700°C 1100°C

Advanced Calibration User Guide 55A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 74: Advanced Calibration User

3: Guidelines for additional calibration Accuracy and limitations of Advanced Calibration of Sentaurus Process

www.cThe do

With such parameters, C would be initialized as follows: In crystalline regions, only amaximum of (AcInit) C atoms is substitutional initially. If the totalconcentration of C is higher, the rest is put into C3I2 clusters initially. In amorphized regions,the first C atoms are put into substitutional sites. The additional C atoms aredistributed to substitutional sites (90%) and to C3I2 clusters (10%). It should be mentioned thatthe interstitials that are contained in the C–I clusters represent an independent additionalcontribution to the total number of interstitials present after implantation.

Diffusion in strained silicon and SiGe

The calibration of the effects of Ge concentration and stress on dopant diffusivity have beenperformed by using published data, which was all based on marker layer experiments. In thistype of experiment, the diffusion of dopants is investigated by annealing experiments andsubsequent SIMS measurements in wafers, which contain (biaxially) strained or relaxed Si andSiGe layers that are formed by molecular beam epitaxy (MBE). Often, the dopant atoms arebuilt into the wafers during MBE.

The calibration has not been tested sufficiently against data from device manufacturingprocesses, which involve ion implantation and process-induced stress. It is expected thatadditional effects need to be taken into account in ‘real’ processes such as the effect of stressgradients on particle drift, the effect of pressure on the formation energies of clusters, theredistribution of Ge atoms during solid phase epitaxial regrowth of amorphized layers, andanisotropic diffusivities.

The models provided for diffusion in strained silicon and SiGe are considered as a startingpoint for further investigations and are not expected to be highly predictive for deep submicronfabrication processes.

As-implanted dopant profiles

In general, the dopant distribution after ion implantation is calculated with high accuracy. For1D structures, the same accuracy is obtained for Monte Carlo ion implantation and for analytictables. This is because the tables have been generated by extraction of dual Pearson parametersfrom Crystal-TRIM simulations [18].

If several implantations are performed subsequently without an intermediate diffusion step, theion channeling is reduced due to the increasing implantation damage. In Monte Carlo ionimplantation, this is taken into account automatically; in analytic ion implantation, theCoImplant model is used for calculating the reduction of ion channeling. This is described inthe Sentaurus Process User Guide.

1 16×10 cm 3–

1 20×10 cm 3–

56 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 75: Advanced Calibration User

3: Guidelines for additional calibrationAccuracy and limitations of Advanced Calibration of Sentaurus Process

www.cThe do

In 2D or 3D applications, the simulation results for Monte Carlo implantation and analyticimplantation are often slightly different, due to differences in the lateral distribution of dopantsin structured geometries. Typically, the Monte Carlo simulation gives a more accurate lateraldistribution of dopants. For CMOS technology, the difference in as-implanted profiles betweenMonte Carlo and analytic implants is most important for the tilted halo implants. Theadvantage of an analytic implantation is that it is much faster than a Monte Carlo implantationand that the result does not show any statistical noise. The latter is very important if you wantto investigate the effect of small variations of implantation conditions on the deviceperformance.

You can decide to use Monte Carlo implantation or analytic implantation outside of thecalibration file by using one of the keywords crystaltrim and taurusmc, or not.

Coimplantation model

The coimplantation model is switched on by default. This model will automatically reduce theion channeling in successive ion implantations.

However, the location of the crystal damage is not taken into account by the coimplantationmodel. Occasionally, in 2D and 3D process simulations, successive implantations areperformed into different regions of the wafer, because implantation masks are removed andother masks are deposited between the implant steps. In this case, the earlier implantation doesnot reduce the ion channeling of the latter implantation, and it is recommended to switch offthe coimplantation model for these ion implantations.

Diffusion in polysilicon and out-diffusion from polysilicon

The dopant diffusion in polysilicon and the out-diffusion of dopants from polysilicon have notbeen calibrated. In CMOS simulations, it is often sufficient to assume a constant doping profilein polysilicon, which can be adjusted manually with the help of CV measurements.

For devices where the out-diffusion from polysilicon into silicon is important (such as inprocesses for the fabrication of bipolar devices), you need to select the model and parametersfor the dopant flux at the interface.

Dopant penetration through gate oxide

The dopant penetration through gate oxide has not been calibrated. This is a difficult taskbecause, instead of pure oxide, oxynitride is commonly used as the gate dielectric material.Dopant diffusion from polysilicon through the gate oxide into the channel region can be

Advanced Calibration User Guide 57A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 76: Advanced Calibration User

3: Guidelines for additional calibration Performing additional calibration

www.cThe do

completely suppressed by the user by setting the transfer coefficient at the polysilicon–oxideinterface to 0.

Performing additional calibration

Calibration procedure

For the process simulation of any new technology or technology node, it is recommended touse the Advanced Calibration as a starting point. In many cases, it will give accurate resultswithout requiring additional parameter fine-tuning.

However, in most cases, especially for the development of innovative technology, additionalfine-tuning is needed for a customized TCAD calibration. The recommended way to performthe calibration of parameters for 2D (or 3D) process simulation is:

1. Compare SIMS data from your process flow with straightforward results obtained with theAdvanced Calibration. Check if the agreement is good and if additional parameter fine-tuning is needed to improve the agreement.

2. If additional models, or parameter fine-tuning, are needed, put the required additionalcalibration together in a single ‘user calibration file,’ which is to be sourced immediatelyafter loading the default Advanced Calibration. For the remainder of this section, this ‘usercalibration file’ will be called user_calib.fps.

3. After a good agreement with SIMS data is obtained, make a setup for 2D process anddevice simulation. Before continuing with the calibration, ensure that the setup is good interms of numerics (small CPU time, small numeric noise, and robustness).

4. Perform 2D (or 3D) process and device simulations, and compare the simulated andmeasured electrical device characteristics. Analyze if there is insufficient accuracy, whichis due to process simulation calibration.

5. If needed, perform additional parameter fine-tuning. As in the case of 1D simulations, allfine-tuning in addition to the default Advanced Calibration should be put together in asingle file user_calib.fps, which is sourced immediately after theAdvancedCalibration 2008.09 command.

6. As a result of the fine-tuning (calibration), the same file user_calib.fps should giveaccurate results in all 1D and 2D (or 3D) simulations.

It is reasonable to start with 1D simulations and SIMS data, because 1D simulations are muchfaster and the SIMS data provides direct information on the dopant distribution, whereaselectrical device data does not always allow you to separate clearly the many effects thataccumulate in a full 2D or 3D process flow.

58 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 77: Advanced Calibration User

3: Guidelines for additional calibrationPerforming additional calibration

www.cThe do

Synopsys offers calibration service projects. In such projects, the optimized and calibratedinput files for process and device simulation are created by expert application engineers atSynopsys.

Loading a user calibration file

An input file of a Sentaurus Process simulation with additional user calibration should have thefollowing structure:

# Title

AdvancedCalibration 2008.09 ; # loads the Advanced Calibrationsource ./user_calib.fps ; # loads the additional calibration

line ... ; # rest of process simulation fileinit ... ; # without any model parametersimplant ...diffuse ...deposit ...save ...

exit

All project-specific calibration is contained in a separate file user_calib.fps, which issourced after loading the Advanced Calibration defaults. This setup has several advantages:

■ The simulation input file is easier to read if it is free of physical models and parameters.Changing the process conditions does not require a high level of TCAD expertise.

■ All project-specific calibration is contained in a single file. Usually, this file is very shortand contains less than ten changes with respect to the default Advanced Calibration.Ideally, it can be printed on a single page. Therefore, it is very easy to see what has beenperformed in the user calibration. The calibration work becomes clearer and easier.Furthermore, the user calibration from different technologies or technology nodes can becompared and exchanged conveniently.

In Example on page 61, an example is provided of a user calibration file.

Advanced Calibration User Guide 59A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 78: Advanced Calibration User

3: Guidelines for additional calibration Performing additional calibration

www.cThe do

Recommendations

Miscellaneous

This section gives some recommendations on how to avoid common errors in 2D simulations:

■ In Sentaurus Process, the implanted dose is defined per wafer area. In process recipes, thedose is sometimes defined per beam area. In this case, for tilted implants, the dose needs tobe corrected by the cosinus of the tilt angle.

■ A few nanometers of silicon are sometimes removed in cleaning steps. This may beimportant to take into account after low-energy ion implantation.

■ Always assume that bare Si surfaces are covered by a natural oxide of 1–2 nm thickness.In practice, this may mean that it is necessary to add deposition steps of thin oxide layersin the process simulation. The dose loss model used in Advanced Calibration works onlyfor Si–SiO2 interfaces, not for Si–gas interfaces.

■ In CMOS technology, the gate is not always rectangular. If possible, the gate shape shouldbe adjusted to a TEM picture, in order to obtain the correct as-implanted extension and halodopant profiles.

Lateral diffusion along interface

The three-phase segregation model allows you to specify a dopant diffusivity in the interfacelayer. This model is used for B, As, and P in the Advanced Calibration. The interfacediffusivities are set to 0 by using:

pdbSetDouble Ox_Si B D { 0 0 }

By setting positive values of the interface diffusivity, the lateral diffusion of dopants near theSi–SiO2 interface can be increased without affecting the vertical diffusion far from theinterface. This can be used, for example, to adjust the short-channel effect in deep submicronMOSFETs. More importantly, setting a positive value for the lateral diffusivity will also changethe shape of the p-n junctions close to the interface [19].

60 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 79: Advanced Calibration User

3: Guidelines for additional calibrationPerforming additional calibration

www.cThe do

Example

The following example is a typical user calibration file, which can be, for example, the resultof a CMOS calibration project. The file user_calib.fps is sourced after loading the defaultAdvanced Calibration file:

# Calibration file for 90nm CMOS technology.# 5 changes after loading AdvCal_2008.09.fps.

# 1) For nMOS extension (tail diffusion)pdbSetDoubleArray Si As Int D { -1 {[Arr 9.3 4.15]}

0 {[Arr 9.0 4.00]}} ; # increased to get more# As tail.

# 2) For nMOS extension (energy and dose dependence of TED)proc ifactor_Arsenic { Energy Dose } {

if { $Energy < 5.0 } {return [expr 2.0e14/($Dose+5e13) + (5-$Energy)/4.0]

}return [expr 2.0e14/($Dose+5e13)]

}

# 3) For nMOS extension (dose loss)pdbSetDouble Ox_Si Arsenic CMax {[Arr 5.0e17 0.8]}

# 4) For pMOS extension (energy and dose dependence of TED)proc ifactor_Boron { Energy Dose } {

if { $Energy < 2.5 } { return 0.1 } ; # pMOS extension implantreturn 1.0 ; # nMOS well implant

}

# 5) For pMOS extension (reduced diffusion after spacer deposition)pdbSetDouble Si B CluRate {[Arr 5e11 5.42]}

This example calibration file, which is a hypothetical result of a CMOS calibration, can beembedded in a CMOS process simulation input file, as described in Loading a user calibrationfile on page 59. Its contents are discussed briefly.

This file will change five settings of the default calibration file. The first three changes areintroduced to improve the accuracy of the As extension profile after annealing, the last twochanges are related to the B extension diffusion in the PMOS.

A permanent change of diffusion parameters is performed with the pdbSet, pdbSetDouble,or pbdSetDoubleArray command. The initial conditions after ion implantation can also becalibrated in the user calibration file. For example, as demonstrated in the file above, theinterstitial plus-factor (ifactor), which scales the amount of interstitials generated per

Advanced Calibration User Guide 61A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 80: Advanced Calibration User

3: Guidelines for additional calibration Accelerating simulations for power technologies

www.cThe do

implanted ion, can be adjusted as a function of implantation energy and dose. The defaultprocedures of the Advanced Calibration for setting ifactor are implemented in section 3.2of AdvCal_2008.09.fps.

By redefining the procedures ifactor_Arsenic and ifactor_Boron, you can overwritethe original dependency on implantation energy and dose. Using initial conditions that dependon implantation energy and dose is a very powerful method to calibrate dopant diffusion in theenergy and dose window of interest for a given technology.

By default, in Monte Carlo implantation, the damage scaling factor MC.Damage is a constantnumber, independent of dose and energy. To define a dose-dependent and an energy-dependentdamage scaling factor MC.DFactor for Monte Carlo implantation, the following lines serve asan example:

pdbUnsetDouble Si As MC.DFactorpdbSetString Si As MC.DFactor {[expr 1.0+$Energy/10.0*$Dose/($Dose+1e14)]}

Accelerating simulations for power technologies

The process simulation of power technologies and smart-power technologies may require toomuch CPU time because of the large number of thermal anneals. This section summarizes themethods to be considered to accelerate the process simulation.

One key method is to use a well-designed mesh for 2D or 3D process simulations, which is fineenough in the critical regions to keep discretization errors small, but has a small total numberof mesh points. The mesh refinement criteria are described in the Sentaurus Process UserGuide.

Diffusion time steps

In AdvCal_2008.09.fps, the initial time step for the simulation of diffusion is set to .This small initial time step is recommended for diffusions that follow an implantation.

In the fabrication of power technologies, some thermal cycles include many diffusion andoxidation steps without implantations in-between. In this case, it may waste CPU time to startall anneals with a small time step. For each diffuse command, Sentaurus Process will needa number of diffusion steps to reach time steps with significant diffusion.

To speed up the simulation, you can add the following line to the user calibration file:

pdbSetDouble Diffuse InitTimeStep 0.01

10 4– s

62 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 81: Advanced Calibration User

3: Guidelines for additional calibrationAccelerating simulations for power technologies

www.cThe do

More sophisticatedly, you can increase the initial time step only for a series of anneals, but notfor the first anneal after an ion implantation. To do so, add the following lines to the usercalibration file:

proc UserImpPostProcess { Species Name Energy Dose Model IFac VFac CDose } {pdbSetDouble Diffuse InitTimeStep 1e-4}proc UserDiffPostProcess { } {pdbSetDouble Diffuse InitTimeStep 0.01}

UserImpPostProcess is called after implantation and UserDiffPostProcess is calledafter anneals.

Furthermore, it may be helpful to increase the parameter delT, which specifies the maximumtemperature step during ramp-up and ramp-down. By default, delT is 10 K. delT is specifieddirectly in the diffuse commands.

Increasing delT is most efficient for long-time furnace anneals, where only a very smallfraction of diffusion occurs during ramp-up and ramp-down. For the first diffusion after ionimplantation and for RTA or spike annealing, the ramp-up and ramp-down are important, andit is not recommended to increase delT.

ChargedFermi model

Process simulation is significantly faster if the ChargedFermi model is used instead of theChargedPair model. In the ChargedFermi model, no equations are solved for the pointdefects. Instead, point defects are assumed to be always in local equilibrium.

This is a very strong simplification of the pair diffusion model, and must be applied with care.It speeds up the simulation, but it may give wrong results for a number of situations:

■ TED after ion implantation cannot be simulated in the ChargedFermi model. Therefore,ChargedFermi is not suitable to simulate RTA after ion implantation.

■ OED is not simulated. ChargedFermi is only useful for inert atmosphere.

■ High dopant concentrations are known to create non-equilibrium concentrations of pointdefects in silicon. The reason is that, in high-doped regions, the transport capacity ofdopant–defect pairs may exceed the transport capacity of unpaired point defects. Thiseffect is most pronounced for a high concentration of phosphorus (known as the emitter-push effect), boron, or carbon. Therefore, the ChargedFermi model should not be used ifdopant concentrations are well above .1019 cm 3–

Advanced Calibration User Guide 63A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 82: Advanced Calibration User

3: Guidelines for additional calibration Accelerating simulations for power technologies

www.cThe do

Furthermore, for some power technologies, it may be necessary to consider using theChargedFermi model. In such a case, to ensure that the simplification of equations does notharm the accuracy, you should compare the simulation results with a reference simulation usingthe ChargedPair model. If in doubt, use the ChargedPair model.

Some process technologies start with long thermal cycles with low dopant concentrations andend with the formation of ultrashallow junctions by rapid thermal annealing. In this case, it maybe appropriate to start the simulation with the ChargedFermi model and switch to theChargedPair model immediately before the implants for USJ formation. In addition, in thiscase, check if the results are affected by using the ChargedFermi model.

To switch on the ChargedFermi model, add the following line to the user calibration file:

pdbSetSwitch Silicon Dopant DiffModel ChargedFermi

To switch back to the ChargedPair model during the process simulation, add the line:

pdbSetSwitch Silicon Dopant DiffModel ChargedPair

directly to the input file of the process simulation, at the appropriate place.

Reducing the number of equations

See also Part 1: Basic model switches on page 9.

Switching off Poisson equation

To use local charge neutrality instead of the Poisson equation, add the line:

pdbSetBoolean Silicon Potential Poisson 0

In most situations, this will cause only minute changes in the result. It may speed up thesimulation by a small percentage.

Switching off transient dopant clustering equation

For dopants for which the concentration is much smaller than the solid solubility in the wholearea of simulation, solving the transient dopant clustering equation is not needed, except forthe initial stage of annealing after ion implantation.

To switch off transient dopant clustering for dopants, use, for example, in case of boron:

pdbSetSwitch Silicon Boron ActiveModel None

64 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 83: Advanced Calibration User

3: Guidelines for additional calibrationAccelerating simulations for power technologies

www.cThe do

Switching off transient interstitial clustering model equation

Interstitial clusters are needed to simulate the time and temperature dependence of TED afterion implantation. In processes with high thermal budgets, where TED is negligible incomparison to the total diffusion, you can consider switching off the equation for transientinterstitial clustering by using:

pdbSet Si Int ClusterModel Equilibrium

You can also start the process simulation with the equilibrium clustering model and then switchto the transient clustering model at the end of the process simulation.

Summary: Speeding up simulation of power devices

To accelerate the simulation of power devices:

■ Optimize the mesh.

■ Add delT=50 to all temperature ramps, except those following implantation.

■ Consider adding a selection of the following lines to the user calibration file:

pdbSetSwitch Silicon Dopant DiffModel ChargedFermipdbSetBoolean Silicon Potential Poisson 0pdbSetSwitch Silicon Boron ActiveModel NonepdbSetSwitch Silicon Arsenic ActiveModel NonepdbSetSwitch Silicon Phosphorus ActiveModel NonepdbSetSwitch Silicon Indium ActiveModel NonepdbSetSwitch Silicon Antimony ActiveModel NonepdbSet Silicon Int ClusterModel Equilibrium

For each of the above simplifications, it is mandatory to test the simplification if it causesa significant change to the results. If it does, you should not simplify the set of equationsby sacrificing accuracy. Extremely careful testing is needed if the ChargedFermi modelis chosen as a simplification of the ChargedPair model.

■ Sometimes, most of the process consists of long thermal cycles with low dopingconcentrations, but the end of the process includes the formation of ultrashallow junctions.In this case, you can start with simple models, but switch on the more advanced modelsimmediately before the second part of the simulation. For example, you can source asecond ‘calibration’ file immediately before the implants, which start the formation of USJ.This second file could include a selection of the following lines:

pdbSetSwitch Silicon Dopant DiffModel ChargedPairpdbSetBoolean Silicon Potential Poisson 1pdbSetSwitch Silicon Boron ActiveModel TransientpdbSetSwitch Silicon Arsenic ActiveModel TransientpdbSetSwitch Silicon Phosphorus ActiveModel TransientpdbSetSwitch Silicon Indium ActiveModel Transient

Advanced Calibration User Guide 65A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 84: Advanced Calibration User

3: Guidelines for additional calibration References

www.cThe do

pdbSetSwitch Silicon Antimony ActiveModel TransientpdbSet Silicon Int ClusterModel 1Moment

Each of these lines would cancel one of the aforementioned simplifications, which is validonly for the initial part of the process simulation.

References

[1] P. Pichler, Intrinsic Point Defects, Impurities, and Their Diffusion in Silicon,Computational Microelectronics, Vienna: Springer, 2004.

[2] H. Bracht, N. A. Stolwijk, and H. Mehrer, “Equilibrium Concentrations of IntrinsicPoint Defects in Silicon Determined by Zinc Diffusion,” in Proceedings of the SeventhInternational Symposium on Silicon Materials Science and Technology (SemiconductorSilicon), vol. 94-10, San Francisco, CA, USA, pp. 593–602B, May 1994.

[3] H. Bracht, E. E. Haller, and R. Clark-Phelps, “Silicon Self-Diffusion in IsotopeHeterostructures,” Physical Review Letters, vol. 81, no. 2, pp. 393–396, 1998.

[4] A. Ural, P. B. Griffin, and J. D. Plummer, “Self-Diffusion in Silicon: Similarity betweenthe Properties of Native Point Defects,” Physical Review Letters, vol. 83, no. 17,pp. 3454–3457, 1999.

[5] N. E. B. Cowern et al., “Energetics of Self-Interstitial Clusters in Si,” Physical ReviewLetters, vol. 82, no. 22, pp. 4460–4463, 1999.

[6] P. M. Fahey, P. B. Griffin, and J. D. Plummer, “Point defects and dopant diffusion insilicon,” Reviews of Modern Physics, vol. 61, no. 2, pp. 289–388, 1989.

[7] I. Bork and H. Matsumoto, “On the Determination of Boron Diffusivities and BoronInterstitial Pair Binding Energies in Silicon,” in International Conference on Simulationof Semiconductor Processes and Devices (SISPAD), Tokyo, Japan, pp. 91–92,September 1996.

[8] C. S. Rafferty et al., “Simulation of cluster evaporation and transient enhanced diffusionin silicon,” Applied Physics Letters, vol. 68, no. 17, pp. 2395–2397, 1996.

[9] P. A. Stolk et al., “Physical mechanisms of transient enhanced dopant diffusion in ion-implanted silicon,” Journal of Applied Physics, vol. 81, no. 9, pp. 6031–6050, 1997.

[10] L. Shao et al., “Retardation of boron diffusion in silicon by defect engineering,” AppliedPhysics Letters, vol. 78, no. 16, pp. 2321–2323, 2001.

[11] L. F. Giles et al., “Transient enhanced diffusion of B at low temperatures under extrinsicconditions,” Solid-State Electronics, vol. 49, no. 4, pp. 618–627, 2005.

[12] G. Impellizzeri et al., “Role of fluorine in suppressing boron transient enhanceddiffusion in preamorphized Si,” Applied Physics Letters, vol. 84, no. 11, pp. 1862–1864,2004.

66 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 85: Advanced Calibration User

3: Guidelines for additional calibrationReferences

www.cThe do

[13] J. M. Jacques et al., “Fluorine-enhanced boron diffusion in amorphous silicon,” AppliedPhysics Letters, vol. 82, no. 20, pp. 3469–3471, 2003.

[14] B. Colombeau et al., “Current Understanding and Modeling of B Diffusion andActivation Anomalies in Preamorphized Ultra-Shallow Junctions,” in MRS SymposiumProceedings, Silicon Front-End Junction Formation—Physics and Technology, vol. 810,San Francisco, CA, USA, pp. 91–102, April 2004.

[15] V. C. Venezia et al., “Dopant redistribution effects in preamorphized silicon during lowtemperature annealing,” in IEDM Technical Digest, Washington, DC, USA,pp. 489–492, December 2003.

[16] T. Noda, “Modeling of End-of-Range (EOR) Defects for Indium Channel Engineering,”in IEDM Technical Digest, Washington, DC, USA, pp. 839–842, December 2001.

[17] H. Graoui et al., “TCAD Modeling and Experimental Investigation of Indium forAdvanced CMOS Technology,” in 14th International Conference on Ion ImplantationTechnology (IIT), Taos, NM, USA, pp. 126–130, September 2002.

[18] C. Zechner et al., “New Implantation Tables for B, BF2, P, As, In and Sb,” in 14thInternational Conference on Ion Implantation Technology (IIT), Taos, NM, USA,pp. 567–570, September 2002.

[19] Y.-S. Oh and D. E. Ward, “A Calibrated Model for Trapping of Implanted Dopants atMaterial Interface During Thermal Annealing,” in IEDM Technical Digest, SanFrancisco, CA, USA, pp. 509–512, December 1998.

Advanced Calibration User Guide 67A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 86: Advanced Calibration User

3: Guidelines for additional calibration References

www.cThe do

68 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 87: Advanced Calibration User

www.cThe do

Part II Advanced Calibration in Sentaurus Process Kinetic Monte Carlo

This part of the Advanced Calibration manual contains the following chapters:

Chapter 4 Using Advanced Calibration file of Sentaurus Process KMC on page 71

Chapter 5 Contents of Advanced Calibration of Sentaurus Process KMC on page 75

Chapter 6 Guidelines for additional calibration on page 95

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 88: Advanced Calibration User

www.cThe do

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 89: Advanced Calibration User

www.cThe do

CHAPTER 4 Using Advanced Calibration file of Sentaurus Process KMC

This chapter gives an introduction to the use of AdvancedCalibration in a process simulation with Sentaurus Process KineticMonte Carlo (Sentaurus Process KMC).

Overview

Advanced Calibration is a selection of models and parameters, which is recommended bySynopsys to be used for accurate process simulation. In Sentaurus Process KMC, this selectionof models and parameters is contained in a text file, which can be opened with any standardtext editor.

By sourcing the Advanced Calibration file at the beginning of a process simulation, thestandard calibration of Synopsys is selected. If needed, you can change or extend the AdvancedCalibration. This can be performed by either sourcing an additional calibration file thatcontains the required parameter changes or editing the Advanced Calibration file with a texteditor.

Location of Advanced Calibration file

The Advanced Calibration file is the ultimate product of Synopsys’ Services and Consulting.For each release of Synopsys TCAD, there is a new Advanced Calibration file that includes thebest and latest set of models and parameters. To ensure backward compatibility, previousAdvanced Calibration files are still available.

The files for the Advanced Calibration of Sentaurus Process KMC in this release are located at:

$STROOT/tcad/$STRELEASE/lib/floops/TclLib/AdvCal

The default file is named AdvCal_KMC_2008.09.fps. It represents the first version ofAdvanced Calibration A-2008.09. Older versions of the Advanced Calibration file can befound in the same directory. For example, the file AdvCal_KMC_2007.12.fps contains theAdvanced Calibration file for Version A-2007.12 and is available for backward compatibility.

Advanced Calibration User Guide 71A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 90: Advanced Calibration User

4: Using Advanced Calibration file of Sentaurus Process KMC Using Advanced Calibration

www.cThe do

Using Advanced Calibration

To use the Advanced Calibration of Sentaurus Process KMC, you must select the atomisticmode by using the command SetAtomistic followed by the commandAdvancedCalibration, which is the same one as for the continuum Advanced Calibration.The command AdvancedCalibration checks whether the atomistic mode is set and loadsthe corresponding Advanced Calibration file. Therefore, at the beginning of the input file,insert the lines:

SetAtomisticAdvancedCalibration 2008.09

Alternatively, this file can be sourced by using:

SetAtomisticsource $FLXSHOME/TclLib/AdvCal/AdvCal_KMC_2008.09.fps

NOTE The interoperability between Advanced Calibration for continuumSentaurus Process and Advanced Calibration for Sentaurus ProcessKMC, meaning the mapping of solutions in continuum mode toparticles in atomistic mode, is controlled by the procedures PDE2KMCand KMC2PDE (see Sentaurus Process User Guide, Atomistic/nonatomistic translation on page 274).

The recommended minimum simulation size is 500 nm x 40 nm x 40 nm. The depth(x-coordinate) of 500 nm is needed for accurate simulation of the point-defect evolution, anda surface (y-coordinate and z-coordinate) of 40 nm x 40 nm is needed for the accuratesimulation of the damage accumulation during full cascade Monte Carlo implantationsimulation.

Additional calibration by users

Advanced Calibration is based on the assumption that all parameters that are not changed inthe parameter files are the default parameters of Sentaurus Process KMC. To use the AdvancedCalibration file AdvCal_KMC_2008.09.fps, it must be sourced before the real processdescription.

After sourcing AdvCal_KMC_2008.09.fps, you can change the model switches or parametervalues of the physical models. Ideally, this should be performed by experienced users with agood understanding of the models of Sentaurus Process KMC.

72 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 91: Advanced Calibration User

4: Using Advanced Calibration file of Sentaurus Process KMCAdditional calibration by users

www.cThe do

For the process simulation of silicon technology, Advanced Calibration is usually the beststarting point. You can further increase the accuracy for a certain technology by additional fine-tuning of a few physical parameters.

The best way to perform this is to put all additional calibration in a user calibration file, forexample, my_calibration.fps. This file includes all project-specific changes of physicalparameters with respect to Advanced Calibration.

In the process simulation file, at the beginning of the process simulation, you insert the lines:

SetAtomisticAdvancedCalibration 2008.09source ./my_calibration.fps

This approach allows you to:

■ Separate the calibration and the process descriptions completely.

■ Use the Advanced Calibration file as a starting point.

■ Summarize all project-specific calibration in a short and clear text file.

Advanced Calibration User Guide 73A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 92: Advanced Calibration User

4: Using Advanced Calibration file of Sentaurus Process KMC Additional calibration by users

www.cThe do

74 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 93: Advanced Calibration User

www.cThe do

CHAPTER 5 Contents of Advanced Calibration of Sentaurus Process KMC

This chapter explains the contents of the Advanced Calibration fileof Sentaurus Process Kinetic Monte Carlo (Sentaurus ProcessKMC) and documents the origin of the parameter values.

Overview

The Advanced Calibration of Sentaurus Process in continuum mode (see Chapter 2 on page 9),which has proven good accuracy for a wide range of ultrashallow junction (USJ) experiments,serves as the starting point for the Advanced Calibration of Sentaurus Process KMC. Whereverpossible, the parameters are inherited from the continuum approach to the kinetic Monte Carloapproach. Most of the model equations and model parameters of the Advanced Calibration ofcontinuum Sentaurus Process are taken from reliable publications. In addition, a rigorouscalibration has been performed by Synopsys, based on a SIMS database.

However, the calibration of the continuum models is verified and optimized for a hightemperature range ( – ) only, whereas the kinetic Monte Carlo parametersshould be valid at room temperature as well. Moreover, some parameters and models cannotbe translated directly from the atomistic world to the continuum world. Taking into accountthese two restrictions, the strategy is to translate the continuum Advanced Calibrationparameters if feasible, and to prefer or calibrate the default kinetic Monte Carlo parameterswhere it is favorable.

The Advanced Calibration file AdvCal_KMC_2008.09.fps is divided into two parts. Theparts contain numbered sections and are executed in sequence:

■ Part 1: Model parameters for damage and point defects

■ Part 2: Model parameters for impurities

700°C 1100°C

Advanced Calibration User Guide 75A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 94: Advanced Calibration User

5: Contents of Advanced Calibration of Sentaurus Process KMC Part 1: Model parameters for damage and point defects

www.cThe do

Part 1: Model parameters for damage and point defects

Amorphization and recrystallization

The amorphization threshold and interstitial–vacancy recombination in amorphous pocketshave been calibrated by the comparison of literature data with simulated (temperature-dependent and dose rate–dependent) amorphous-crystalline transitions and amorphization-layer thicknesses generated by silicon, germanium, and carbon implantation [1]. Theamorphization threshold is set to , which is slightly lower than the value usedfor continuum Advanced Calibration and is in the range of reported values for the critical point-defect concentration [2].

The recrystallization velocity in Advanced Calibration with an activation energy of 2.68 eV isin agreement with the literature [3] for an undoped amorphous–silicon layer on top of (100)-oriented crystalline silicon. In the presence of n-type and p-type doping, the recrystallizationvelocity is enhanced, again following the literature [3]. The effect of specific impurities on therecrystallization is described in Recrystallization on page 89.

During recrystallization, impurities and dopants may be redistributed by the recrystallizationfront. The selected redistribution model during recrystallization is the so-called Hops model.The amount of redistribution is impurity dependent or doping dependent, and is described inRecrystallization on page 89.

Diffusion, generation, and recombination

The transport capacity for free interstitials:

Di*Cistar = 1.59e25 exp(-4.702eV/kT) cm-1 s-1

is inherited from the Advanced Calibration of continuum Sentaurus Process (see Bulkparameters for free interstitials on page 16).

The macroscopic diffusivity of continuum Sentaurus Process corresponds to the microscopicdiffusivities of each charge state of Sentaurus Process KMC in the following way:

Di = (D(I0)C(I0)+D(I+)C(I+)+D(I-)C(I-))/(C(I0)+C(I+)+C(I-))

For simplicity, the same diffusivities for each charge state is assumed. Taking the diffusivityfrom the continuum Advanced Calibration (gained from the high-temperature experiments ofBracht et al. [4]), you have:

Di = 51 * exp(-1.77eV/kT) cm2 s-1

1.0 1022× cm 3–

76 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 95: Advanced Calibration User

5: Contents of Advanced Calibration of Sentaurus Process KMCPart 1: Model parameters for damage and point defects

www.cThe do

which is reasonable for high temperatures, but too small at room temperature. Therefore, alower migration energy must be chosen, namely, 0.7 eV. The migration prefactor has beenadjusted to obtain the same diffusivity as for the Advanced Calibration of continuum SentaurusProcess at . The resulting diffusivity is:

Di = 0.002 * exp(-0.7eV/kT) cm2 s-1

which is the better choice for the full temperature range.

Derived from the transport capacity and the diffusivity, the equilibrium concentration is:

Cistar = 1.59e25 * exp(-4.702eV/kT) / 0.002 / exp(-0.7eV/kT) cm-3 = 7.95e27 * exp(-4.002eV/kT) cm-3

In Sentaurus Process KMC, the interfaces set the equilibrium concentrations for point defects.According to the literature [5], the following relation is valid:

Cistar = 2/alpha/alpha*6/lambda * D0FS * exp(-EForm/kT)

where the silicon lattice constant is alpha=5.43e-8cm, the jump distance islambda=3.84e-8cm, and the Sentaurus Process KMC point-defect interface model prefactoris D0FS and the formation energy is EForm. Therefore, the parameters are:

Cistar = 2/alpha/alpha*6/lambda * 75000 * exp(-4.00eV/kT) cm-3

For vacancies, the transport capacity is also inherited from the Advanced Calibration ofcontinuum Sentaurus Process (see Bulk parameters for free vacancies on page 17):

DvCvstar = 4.60e22 * exp(-4.14eV/kT) cm-1 s-1

and the default diffusivity:

Dv = 5e-8 * exp(-0.4eV/kT) cm2 s-1

for neutral vacancies has been chosen.

Therefore, the equilibrium concentration is:

Cvstar = 9.20e29 * exp(-3.74eV/kT) cm-3= 2/alpha/alpha*6/lambda * 8.68e6 * exp(-3.74eV/kT) cm-3

The recombination length of point defects at Si–SiO2 interfaces is assumed to be 1 nm forcontinuum and kinetic Monte Carlo.

The bulk recombination of interstitials and vacancies in the Advanced Calibration ofcontinuum Sentaurus Process is diffusion limited. In Sentaurus Process KMC, interstitials andvacancies form amorphous pockets when they are close (within capture radius) during theirmigration. The interstitial and vacancy recombine, and the amorphous pocket is dissolved if nomore interstitials or vacancies are captured by the amorphous pocket beforehand. However, in

950°C

Advanced Calibration User Guide 77A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 96: Advanced Calibration User

5: Contents of Advanced Calibration of Sentaurus Process KMC Part 1: Model parameters for damage and point defects

www.cThe do

contrast to the continuum Advanced Calibration, the I–V recombination is not instantaneousdue to the energy barrier. Therefore, the formation of I–V pairs is diffusion limited and therecombination of I–V pairs in the equation recombination rate is:

v = 4.0e-4 * exp(-0.7eV/kT) cm2/s

The generation of I–V pairs has not been implemented in Sentaurus Process KMC due to itssmall contribution, whereas in continuum Advanced Calibration, the generation of I–V pairs issimulated.

Charge states

The charge levels for self-interstitials and vacancies have been studied [6]. Accordingly, theconcentration for positively charged interstitials is:

C(I+) = C(I0) * exp(-(Ef - e(I+))/kT)

where the Fermi level is Ef and the energy level is e(I+), which is the energy needed to takean electron from the neutral interstitial measured from the valence band (Sentaurus ProcessKMC parameter e0(IP)).

In continuum Sentaurus Process, the concentration for positively charged interstitials is:

C(I+) = C(I0) * k0(I+) exp(-(Ef - Ei + kE(I+))/kT)

where the Fermi level is Ef, the intrinsic level is Ei, and the charge-state parameter isk(I+)=k0(I+)*exp(-kE(I+)/kT). Therefore, the following relation is valid:

exp(e(I+))/kT) = k0(I+) exp((Ei - kE(I+))/kT) (Eq x)

The intrinsic level depends on the band gap Eg, and the effective state density of the conduction(Nc) and valence (Nv) bands [7]:

Ei(T) = Eg(T)/2 + kT/2 * ln(Nv/Nc)

In summary, the electronic levels in continuum Sentaurus Process refer to the intrinsic level,while the electronic levels in atomistic Sentaurus Process are measured from the valence bandedge. This means that due to the temperature dependence of the energy difference of theintrinsic and valence band levels, an exact translation of the charge-state parameters fromcontinuum Sentaurus Process to Sentaurus Process KMC is not possible.

Therefore, the electronic levels for interstitials and vacancies are taken as recommended in theliterature [6]. In the temperature range from to , the electronic level for I+ of0.4 eV corresponds to k0(I+)=12 and kE(I+)=0.23eV, and the one for I– of 1.0 eVcorresponds to k0(I-)=0.12 and kE(I-)=0.4eV.

700°C 1100°C

78 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 97: Advanced Calibration User

5: Contents of Advanced Calibration of Sentaurus Process KMCPart 1: Model parameters for damage and point defects

www.cThe do

Extended defects

In Sentaurus Process KMC, the evolution of extended defects of self-interstitials from smallclusters to {311} defects and faulted dislocation loops is taken into account [8].

Small clusters have irregular shapes and are amorphous pockets in the terminology of kineticMonte Carlo. Amorphous pockets capture any point defect (I and V) within their captureradius. For this calibration, the binding energies are taken from the parameter arrayEb_Cluster and are based on the suggestions of [9] assuming a self-interstitial formationenergy of 3.8 eV (see Table 2). The interstitial emission prefactor D0_Cluster is 135.

Above a threshold, the extended defaults form rod-like {311} defects. The minimal size of a{311} Min311Size has been chosen to be 15. {311} defects capture any neutral interstitialwith which they are in contact. The binding energy of this size is 2.94 keV, the one of size 60is 2.96 keV, and the ones for the intermediate sizes are linearly interpolated. Above the size of60, the binding energies of size are computed using the following equation:

Eb(n) = Eb_L - (Eb_L - Eb_S) * (n^a -(n-a)^a)/(2^a-1)

where Eb_L (Eb_LargeCluster) is equal to 3.17 keV, Eb_S (Eb_SmallCluster) is equalto 2.64 keV, and a (exponent_Cluster) is equal to 3/4. The interstitial emission prefactor isthe same as for amorphous pockets.

When {311} defects grow large enough, they transform into dislocation loops. The thresholdsize for this transformation is:

n = D0_311toLoop * exp(E_311toLoop/kT)

with D0_311toLoop equal to 1.6 and E_311toLoop equal to 0.68 eV.

Dislocation loops capture any incoming neutral interstitial. The binding energies are:

Eb(n) = Ef(I) + Ef(DL(n-1)) - Ef(DL(n))

with the dislocation loop formation energies:

Ef(DL(n)) = R^2 + a^2 /6(1- )R * log(8R/b) -nEf(I)

Table 2 Binding energies in keV for small interstitial clusters

N = 2 3 4 5 6 7 8 9 10 11 12 13 14

Cowern [9] 2.64 2.77 2.67 2.48 2.46 3.24 2.40 2.69 2.73 2.92 3.00 3.06

Advanced Calibration

2.40 2.50 2.77 2.67 2.48 2.46 3.24 2.40 2.69 2.73 2.92 3.00 3.06

n

π γ μ υ

Advanced Calibration User Guide 79A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 98: Advanced Calibration User

5: Contents of Advanced Calibration of Sentaurus Process KMC Part 2: Model parameters for impurities

www.cThe do

taken from the literature [10], which are Sentaurus Process KMC defaults. The interstitialemission prefactor D0_Loop is 1e6.

The parameters for voids are not changed from the defaults.

Part 2: Model parameters for impurities

Diffusion

The effective diffusivity of an interstitial-mediated and a vacancy-mediated dopant X incrystalline silicon is given by the sum of the contribution of all mobile species and can bereduced in continuum models using the Maxwell–Boltzmann approximation to:

D(X-) = Si [D(X-I0) + D(X-I+)(p/ni) + D(X-I++)(p/ni)^2] + Sv [D(X-V0) + D(X-V+)(p/ni) + D(X-V++)(p/ni)^2]

for the negatively charged immobile substitutional dopant X– and:

D(X+) = Si [D(X+I0) + D(X+I-)(n/ni) + D(X+I--)(n/ni)^2] + Sv [D(X+V0) + D(X+V-)(n/ni) + D(X+V--)(n/ni)^2]

for the positively charged immobile substitutional dopant X+, where Si is the interstitialsupersaturation, Sv is the vacancy supersaturation, and n, p, and ni are the electron, hole, andintrinsic concentration, respectively. According to [6], the relation between the abovediffusivity components and the microscopic parameters is:

D(X-I0) = Uc * DiCi* * 1/Vbk(Xi-) * Vm(Xi-)D(X-I-) = Uc * DiCi* * 1/Vbk(Xi-) * Vm(Xi0) * exp((e0(Xi-)-ei)/kT)D(X-V0) = Uc * DvCv* * 1/Vbk(Xv-) * Vm(Xv-)D(X-V-) = Uc * DvCv* * 1/Vbk(Xv-) * Vm(Xv0) * exp((e0(Xv-)-ei)/kT)

and:

D(X+I0) = Uc * DiCi* * 1/Vbk(Xi+) * Vm(Xi+)D(X+I-) = Uc * DiCi* * 1/Vbk(Xi+) * Vm(Xi0) * exp((ei-e0(Xi+))/kT)D(X+V0) = Uc * DvCv* * 1/Vbk(Xv+) * Vm(Xv+)D(X+V-) = Uc * DvCv* * 1/Vbk(Xv+) * Vm(Xv0) * exp((ei-e0(Xv+))/kT)

where the effective capture volume is Uc = 3*lambda^3 (lambda=3.84nm is the distancein the silicon lattice from one atom to its second-nearest neighbor), the breakup frequencies areVbk, the migration frequencies are Vm, the electronic levels are e0, and the intrinsic Fermi levelis ei (at a given temperature). The breakup frequencies are:

Vbk(Xi-) = Db(Xi-) * exp(-(Eb(Xi-)+Em(I0))/kT)Vbk(Xv-) = Db(Xv-) * exp(-(Eb(Xv-)+Em(V0))/kT)

80 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 99: Advanced Calibration User

5: Contents of Advanced Calibration of Sentaurus Process KMCPart 2: Model parameters for impurities

www.cThe do

Vbk(Xi+) = Db(Xi+) * exp(-(Eb(Xi+)+Em(I0))/kT)Vbk(Xv+) = Db(Xi+) * exp(-(Eb(Xv+)+Em(V0))/kT)

where the migration energies are Em, the binding prefactor is Db, and the energy is Eb.

This means that the diffusivities of Sentaurus Process Advanced Calibration can be translatedto a fixed relation of migration and binding parameters for Sentaurus Process KMC: The biggerthe binding energy, the bigger the migration energy to obtain the same diffusivity. For thiscalibration of Sentaurus Process KMC, the binding prefactor and energy as well as theelectronic levels were first chosen reasonably, and the migration prefactors and energies werededuced. Then, the optimal combination of binding energy and electronic levels was searched,based on SIMS calibration, while the migration energies were shifted accordingly to keep thesame diffusivity.

Since kinetic Monte Carlo can also simulate diffusion during implantation at roomtemperature, the consideration of as-implanted SIMS data is crucial for calibration of thebinding energies. For the situation of full cascade implantation, many impurities pair with theabundant point defects because of their high implant energy and, therefore, they can diffuseeven at room temperature if the migration energies are small. Higher binding energies,implying higher migration energies, can suppress migration at room temperature.

Boron

The diffusivities of continuum Advanced Calibration Version A-2008.09 (see Boron diffusioncoefficient and B–I pairing on page 19):

D(B-I0) = 0.291 * exp(-3.57eV/kT)D(B-I+) = 1.746 * exp(-3.57eV/kT)D(B-V0) = 0.009 * exp(-3.57eV/kT)D(B-V+) = 0.054 * exp(-3.57eV/kT)

translate to microscopical diffusivities:

Vm(Bi-) = 1.08e-4 * exp(-0.668eV/kT)Vm(Bi0) = 6.46e-3 * exp(-0.688eV/kT)Vm(BV-) = 1.15e-3 * exp(-0.830eV/kT)Vm(BV0) = 6.91e-3 * exp(-1.250eV/kT)

where the binding prefactors equal 1.0 and the energies equal 1.1 eV [11] for B– plus a neutralinterstitial and 1.0 eV for B– plus a vacancy and, for the following electronic levels forboron–interstitial pairs and boron–vacancy pairs:

pdbSetDoubleArray KMC Si B e0 {BiM 0.5BiP -5.0BVM 0.9BVP -5.0}

Advanced Calibration User Guide 81A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 100: Advanced Calibration User

5: Contents of Advanced Calibration of Sentaurus Process KMC Part 2: Model parameters for impurities

www.cThe do

The substitutional B– as well as the B– paired with I++ or V++ are immobile.

To achieve a good agreement of the hopping length of BI, use:

lambda*sqrt(1/6*Dm(Bi)/Db(Bi-) exp(-(Em(Bi)-Eb(Bi-)-e(Bi-)+e(I+)-Em(I+))/kT))

with one of the five-stream model from the continuum Advanced Calibration, which is takenfrom Giles et al. [12] (see Boron diffusion coefficient and B–I pairing on page 19). Theintrinsic Fermi level at (0.48 eV) is used for the translation of the diffusivity fromcontinuum to KMC.

In addition to diffusion in crystalline silicon, Advanced Calibration enables boron diffusion inamorphous silicon. The activation energy for diffusion is taken from [13]:

Vm(B) = 3.00e-3 * exp(-2.1/kT)

Arsenic

The diffusivities of continuum Advanced Calibration Version A-2008.09 (see Arsenicdiffusivity on page 21):

D(As+I0) = 0.012 * exp(-3.44eV/kT)D(As+I-) = 9.300 * exp(-4.15eV/kT)D(As+V0) = 0.020 * exp(-3.44eV/kT)D(As+V-) = 15.500 * exp(-4.15eV/kT)

translate to microscopical diffusivities:

Vm(Asi+) = 4.44e-6 * exp(-0.538eV/kT)Vm(Asi0) = 3.44e-3 * exp(-1.578eV/kT)Vm(AsV+) = 2.56e-3 * exp(-1.100eV/kT)Vm(AsV0) = 1.98 * exp(-1.840eV/kT)

where the binding prefactors equal 1.0 and the energies equal 1.1 eV for As+ plus a neutralinterstitial, and equal 1.4 eV [14] for As+ plus a neutral vacancy and, for the followingelectronic levels:

pdbSetDoubleArray KMC Si As e0 {AsiP 0.1AsiM 0.5AsVP 0.4AsVM 0.77}

The electronic level at T=0 for the arsenic–vacancy pair with a negative charge is taken fromthe literature [15], while the ones for arsenic–interstitial pairs or arsenic–vacancy pairs with apositive charge are calibrated.

The substitutional As+ as well as the As+ paired with I–– or V–– are immobile.

700°C

82 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 101: Advanced Calibration User

5: Contents of Advanced Calibration of Sentaurus Process KMCPart 2: Model parameters for impurities

www.cThe do

Phosphorus

The diffusivities of continuum Advanced Calibration Version A-2008.09 (see Phosphorusdiffusivity on page 22):

D(P+I0) = 0.600 * exp(-3.482eV/kT)D(P+I-) = 1.000 * exp(-3.647eV/kT)D(P+V0) = 5.0e-9 * exp(-1.800eV/kT)D(P+V-) = 2.0e-9 * exp(-1.800eV/kT)D(P+V--) = 3.2e-5* exp(-2.900eV/kT)

translate to microscopical diffusivities:

Vm(Pi+) = 2.22e-4 * exp(-1.080eV/kT)Vm(Pi0) = 3.70e-4 * exp(-1.525eV/kT)Vm(PV+) = 6.40e-10 * exp(-0.560eV/kT)Vm(PV0) = 2.56e-10 * exp(-1.040eV/kT)Vm(PV-) = 4.10e-6 * exp(-1.640eV/kT)

where the binding prefactors equal 1.0 and the energies equal 1.6 eV for P+ plus a neutralinterstitial and equal 2.5 eV for P+ plus a neutral vacancy and, for the following electroniclevels for the phosphorus interstitials and vacancy pairs:

pdbSetDoubleArray KMC Si P e0 {PiP 0.2PVP 0.0PVM 0.5}

The electronic level at T=0 for the phosphorus–interstitial or phosphorus–vacancy pairs iscalibrated.

The substitutional P+ is immobile.

Indium

The diffusivities of continuum Advanced Calibration Version A-2008.09 (see Indiumparameters on page 24):

D(In-I0) = 0.1105 * exp(-3.3eV/kT)D(In-I+) = 0.0390 * exp(-3.3eV/kT)

translate to microscopical diffusivities:

Vm(Ini-) = 4.10e-5 * exp(-1.198eV/kT)Vm(Ini0) = 1.44e-5 * exp(-1.318eV/kT)

Advanced Calibration User Guide 83A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 102: Advanced Calibration User

5: Contents of Advanced Calibration of Sentaurus Process KMC Part 2: Model parameters for impurities

www.cThe do

where the binding prefactors equal 1.0 and the energies equal 1.9 eV for In– plus a neutralinterstitial and for the following electronic levels for indium–interstitial pairs:

pdbSetDoubleArray KMC Si In e0 {IniM 0.6IniP 1.0}

The electronic level at T=0 for the indium–interstitial pairs is calibrated.

The substitutional In– as well as the In– paired with I++ are immobile.

Carbon

The diffusivity of continuum Advanced Calibration Version A-2008.09 (see Carbon diffusionon page 25):

D(C-I0) = 6.11 * exp(-3.293eV/kT)

translates to microscopical diffusivities:

Vm(Ci-) = 2.26e-3 * exp(-0.791eV/kT)

where the binding prefactor equals 1.0 and the energy equals 1.5 eV for C plus a neutralinterstitial [16].

The substitutional C is immobile.

Fluorine

Continuum Advanced Calibration Version A-2008.09 uses a very simple model for fluorineeffects in silicon, assuming fluorine to be immobile. However, current understanding attributesfluorine diffusion in silicon primarily to the migration of interstitial fluorine from a bond-centered site or a tetrahedral site, both of which are also the ground-state configurations offluorine [17][18]. To comply with this model for this calibration of Sentaurus Process KMC, avery stable and mobile Fi of neutral charge has been assumed. Note that in the context ofSentaurus Process KMC, interstitial fluorine (Fi) and fluorine–interstitial pairs (FI) are thesame.

NOTE By default, Sentaurus Process KMC assigns the particle name F to theinterstitial fluorine. To correctly rename the interstitial fluorine to Fi inAdvanced Calibration, the Monte Carlo implantation name of fluorineis redefined by the command:set MCnameOf(Fluorine) "FI"

The microscopical diffusivity for Fi is assumed to be:

Vm(Fi) = 1.00e-2 * exp(-0.600eV/kT)

84 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 103: Advanced Calibration User

5: Contents of Advanced Calibration of Sentaurus Process KMCPart 2: Model parameters for impurities

www.cThe do

where the binding prefactors equal 1.0 and the energies equal 2.3 eV for F plus a neutralinterstitial and 0.4 eV for F plus a neutral vacancy.

The substitutional F and the F paired with V are immobile.

In addition to diffusion in crystalline silicon, Advanced Calibration enables fluorine diffusionin amorphous silicon. The activation energy for diffusion is taken from the literature [19]:

Vm(B) = 0.02* exp(-2.2/kT)

Clusters

In Advanced Calibration for continuum Sentaurus Process, the transient dopant cluster modelis, in general, used for dopant activation in crystalline silicon, which incorporates onlyimpurities and no point defects in the dopant clusters (see Defect cluster models in silicon onpage 13). For some impurities, the advanced models offer dopant clustering with point defects(see Part 4: Comprehensive and slow models on page 34). In Sentaurus Process KMC, thisphenomenon can be explained by a dopant–point defect clustering mechanism or dopantprecipitation or both. Obviously, a straight translation from the continuum activation model tothe kinetic Monte Carlo cluster reactions is only possible if a comprehensive dopant clusteringmodel is available. Nevertheless, additional dopant cluster calibration for AdvancedCalibration of Sentaurus Process KMC is performed to obtain a good agreement with SIMSand sheet resistance measurements.

For all impurity clusters, the corrections for the binding energies with bandgap narrowing aretaken into account using the parameter flag:

pdbSet KMC Si BandGap Correct_Complex true

Boron

Similar to [20], a comprehensive model for boron–interstitial clustering (BIC) is proposed. Theallowed BnIm configurations are BI2, B2, B2I, B2I2, B2I3, B3, B3I, B3I2, and B3I3. Thecorresponding potential energies and capture volumes as well as the boron–interstitial pair andthe interstitial emission factors were translated from the BIC model, being part of thecomprehensive models of continuum Advanced Calibration (see Boron–interstitial clusters onpage 36). Since this continuum BIC model includes only the configurations B2, B2I, B2I2, B3I,B3I2, and B3I3, the potential energies and capture volumes of the configurations BI2, B2I3, andB3 are calibrated in kinetic Monte Carlo only. To obtain a good agreement with a wide rangeof SIMS, the boron–interstitial pair emission factor is adjusted from the translation by a factorof 40.

Advanced Calibration User Guide 85A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 104: Advanced Calibration User

5: Contents of Advanced Calibration of Sentaurus Process KMC Part 2: Model parameters for impurities

www.cThe do

In addition to clustering in crystalline silicon, Sentaurus Process KMC allows dopant clustersin amorphous silicon. In the case of boron, B2 and B3 are allowed to form with potentialenergies calibrated to SIMS [13].

In the literature [21], segregation of boron to end-of-range defects is observed. To account forsuch an effect, the mobile boron–interstitial pairs can react with extended defects such as {311}defects and dislocation loops. The pair breaks up and the interstitial is incorporated into theextended defect, while the boron is regarded as substitutional.

Arsenic

Based on the work of Harrison et al. [22], arsenic forms stable clusters with vacancies and withinterstitials. The allowed As–V clusters are As2V, As3V, and As4V. The allowed As–I clustersare AsI2, As2I, As3I, As4I, As2I2, As3I2, and As4I2. The allowed As agglomerates are As2, As3,and As4. The corresponding potential energies are taken from [22] and are adjusted to achievebetter agreement with experimental data. The capture volumes as well as the emission factorsare calibrated.

The formation energies of As3V, As4, and As4V are even lower than the isolated substitutionalAs (Ef(AsnV) = Epot(AsnV + Ef(V) < 0) and, therefore, these are the most stable clusters.Including AsnIm clusters in the arsenic-clustering model improves the accuracy for lowerthermal budgets compared to the model where arsenic forms only stable clusters withvacancies, but not with interstitials [23].

Phosphorus

For phosphorus, an approach was chosen following the suggestion of [24] and [25].Phosphorus forms stable phosphorus–vacancy clusters P2V, P3V, and P4V and phosphorusclusters P2, P3, and P4. The corresponding potential energies and capture volumes as well as theemission factors are calibrated by comparison with experimental data.

Indium

In this calibration, indium is assumed to form indium–interstitial clusters. InI2, In2, and In2I areallowed as possible cluster configurations. The corresponding potential energies and capturevolumes as well as the emission factors are calibrated by comparison with experimental data.

In the literature [26], segregation of indium to end-of-range defects is observed. To account forsuch an effect, the mobile indium–interstitial pairs can react with extended defects such as{311} defects and dislocation loops. The pair breaks up and the interstitial is incorporated intothe extended defect, while the indium is regarded as substitutional.

86 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 105: Advanced Calibration User

5: Contents of Advanced Calibration of Sentaurus Process KMCPart 2: Model parameters for impurities

www.cThe do

Carbon

A comprehensive model for carbon–interstitial clustering is proposed [16]. The allowedcarbon–interstitial cluster configurations are C2, C2I, C2I2, C2I3, C3I, C3I2, C3I3, C3I4, C4I2, C4I3,C4I4, C4I5, C5I3, C5I4, C5I5, C5I6, C6I4, C6I5, C6I6, C6I7, C7I7, C8I8, and C9I9. The correspondingpotential energies and capture volumes as well as the emission factors are calibrated bycomparison with experimental data.

To account for segregation of carbon to end-of range defects, the mobile carbon–interstitialpairs can react with {311} defects and dislocation loops [16].

Fluorine

In the literature [17][18], strongly bound fluorine–vacancy complexes are proposed. The F–Vstructures considered there are fluorine interstitials decorating one or two vacancies. In thecontext of Sentaurus Process KMC, these structures are considered to be actuallyfluorine–interstitial clusters. This means, for example, that the cluster type F3V of [17][18],consisting of three F interstitials and one V, corresponds to an F3I2 in Sentaurus Process KMC.The allowed F–I clusters are FI2, F2I, F2I2, F3I, F3I2, F4I, F4I2, F4I3, F5I, F5I2, F5I3, and F6I4. Theallowed fluorine agglomerates are F2, F3, F4, and F5. The corresponding potential energies aretaken, if available, from [17][18] and are adjusted to achieve better agreement withexperimental data. The capture volumes as well as the emission factors are calibrated.

Segregation

The silicon–oxide interface model accounting for dopant segregation is the three-phasesegregation model, similar to the one of continuum Sentaurus Process and [27]. The SentaurusProcess KMC parameters EMax_Surf and C0Max_Surf correspond to the continuumparameter CMax, which defines the number of trap sites at the interface. While the interfacesegregation of the continuum model is controlled by dopant trapping and the parameters of theemission rates for each side of the interface, the parameters of the kinetic Monte Carlo interfacemodel are the barrier and binding energies of dopants on each side of the interface.

Boron

The number of interface traps for boron is taken from Advanced Calibration for continuumSentaurus Process (see Boron dose loss on page 20). Interface barrier and binding energies forboron were calibrated based on a collection of boron SIMS profiles.

Advanced Calibration User Guide 87A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 106: Advanced Calibration User

5: Contents of Advanced Calibration of Sentaurus Process KMC Part 2: Model parameters for impurities

www.cThe do

Arsenic

The number of interface traps for arsenic is slightly different from the one of AdvancedCalibration for continuum Sentaurus Process (see Arsenic dose loss on page 22). Interfacebarrier and binding energies for arsenic were calibrated based on a collection of arsenic SIMSprofiles.

Phosphorus

The number of interface traps for phosphorus is ten times higher than the value from AdvancedCalibration for continuum Sentaurus Process (see Phosphorus dose loss on page 24), becauseof the lack of P2 accumulation at the interface. The interface barrier and binding energies forphosphorus were calibrated based on a collection of boron SIMS profiles. In addition, a highprefactor for dopant emission from the interface to the oxide was chosen to allow for strongphosphorus dose loss.

Indium

The number of interface traps for indium cannot be taken from Advanced Calibration forcontinuum Sentaurus Process, where no third phase is modeled (see Indium parameters onpage 24), and is set to a high value to allow for strong dose loss. The interface barrier andbinding energies for indium were calibrated based on a collection of indium SIMS profiles.

Carbon

The number of interface traps for carbon cannot be taken from Advanced Calibration forcontinuum Sentaurus Process, where no third phase is modeled. The number of interface traps,the interface barrier, and the binding energies for carbon have been calibrated based on acollection of carbon SIMS profiles.

Fluorine

The number of interface traps for fluorine cannot be taken from Advanced Calibration forcontinuum Sentaurus Process, where no third phase is modeled. The number of interface traps,the interface barrier, and the binding energies for fluorine have been calibrated to allow strongdose loss from silicon. In addition, the fluorine emitted from the interface to the oxide isevaporated to save CPU time.

88 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 107: Advanced Calibration User

5: Contents of Advanced Calibration of Sentaurus Process KMCPart 2: Model parameters for impurities

www.cThe do

Recrystallization

The solid phase epitaxial regrowth (SPER) may affect the impurity distribution. Therecrystallization front can move dopants away, changing the concentration profiles [28].Sentaurus Process KMC can model this effect by sweeping the dopant concentration stored inamorphous defects when the amorphous defects recrystallize. The parametersP_recrysDeposit and E_recrysDeposit define the probability of a dopant remaining inthe same position after the recrystallization front passes and, therefore, the parameters controlthe number of swept dopants.

In Sentaurus Process, the initial level of active concentration in amorphized regions afterrecrystallization can be specified per dopant as AmInit. For Sentaurus Process KMC, thiscorresponds to the prefactor C0_recrysMaxActive and the activation energyE_recrysMaxActive. For this calibration, the deposited dopant-cluster types during SPERare specified by recrysDeposit_Complex because the parameter recrysMaxSize isunset.

Boron

According to [28], no boron is swept by the recrystallization front. Therefore, theP_recrysDeposit value is set to 100% [1]. The maximum active boron concentration afterrecrystallization is temperature dependent, with at and at

according to [29]. These values are higher than the one for continuum AdvancedCalibration (see Boron–interstitial clusters on page 36). The exceeding boron concentration isdeposited as B2 clusters [30]. Finally, boron has no effect on the recrystallization velocity otherthan the doping effect (see Amorphization and recrystallization on page 76).

Arsenic

Following [28], arsenic is swept by the recrystallization front. The P_recrysDeposit valueis set to 50% [1]. The maximum active arsenic concentration after recrystallization istemperature dependent, with at and at .These values are in the range of the one for continuum Advanced Calibration. The exceedingarsenic concentration is deposited as As3 clusters. Finally, arsenic is assumed to have no effecton the recrystallization velocity other than the doping effect (see Amorphization andrecrystallization on page 76).

Phosphorus

The P_recrysDeposit value for phosphorus is set to 50%. The maximum active phosphorusconcentration after recrystallization is temperature dependent, with at and at . These values are lower than the one for continuum

2.9 1020× 550°C 6.4 1020× cm 2–

1050°C

1.2 1020× cm 2– 550°C 2.7 1020× cm 2– 1050°C

1.2 1020× cm 2– 550°C2.7 1020× cm 2– 1050°C

Advanced Calibration User Guide 89A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 108: Advanced Calibration User

5: Contents of Advanced Calibration of Sentaurus Process KMC References

www.cThe do

Advanced Calibration. The exceeding phosphorus concentration is deposited as P2, P3, and P4

clusters. Finally, phosphorus has no effect on the recrystallization velocity other than thedoping effect (see Amorphization and recrystallization on page 76).

Indium

Following [28], [31], and [32], indium is swept by the recrystallization front. TheP_recrysDeposit value is set to 5% [1]. The maximum active indium concentration afterrecrystallization is temperature dependent, with at and

at . These values are lower and more realistic than the one forcontinuum Advanced Calibration. The exceeding indium concentration is deposited as In2

clusters. Finally, indium has no effect on the recrystallization velocity other than the dopingeffect (see Amorphization and recrystallization on page 76).

Carbon

The P_recrysDeposit value for carbon is set to 50%. The maximum substitutional carbonconcentration after recrystallization is temperature dependent, with at and at . The exceeding carbon concentration is deposited as C2 [16].Finally, carbon has no effect on the recrystallization velocity.

Fluorine

Following [33], fluorine is swept by the recrystallization front, and its incorporation duringSPER is temperature dependent. The E_recrysDeposit value is set to 1.0 eV [1]. Eightypercent of the deposited fluorine is initially in F2I2 clusters. Since the F2I2 clusters are definedto be very unstable, they decay quickly into two stable Fi. The remaining 20% is deposited asF3I2 clusters. Finally, fluorine has an impurity effect on the recrystallization velocity with arecrystallization activation energy of 3.1 eV for 100% fluorine [3].

References

[1] N. Zographos and I. Martin-Bragado, “A Comprehensive Atomistic Kinetic MonteCarlo Model for Amorphization/Recrystallization and its Effects on Dopants,” in MRSSymposium Proceedings, Doping Engineering for Front-End Processing, vol. 1070,p. 1070-E03-01, 2008.

[2] L. Pelaz et al., “Atomistic modeling of amorphization and recrystallization in silicon,”Applied Physics Letters, vol. 82, no. 13, pp. 2038–2040, 2003.

[3] G. L. Olson and J. A. Roth, “Kinetics of Solid Phase Crystallization in AmorphousSilicon,” Materials Science Reports, vol. 3, pp. 1–78, 1988.

1.8 1020× cm 2– 550°C4.0 1020× cm 2– 1050°C

3.0 1020× cm 2– 550°C6.7 1020× cm 2– 1050°C

90 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 109: Advanced Calibration User

5: Contents of Advanced Calibration of Sentaurus Process KMCReferences

www.cThe do

[4] H. Bracht, N. A. Stolwijk, and H. Mehrer, “Equilibrium Concentrations of IntrinsicPoint Defects in Silicon Determined by Zinc Diffusion,” in Proceedings of the SeventhInternational Symposium on Silicon Materials Science and Technology (SemiconductorSilicon), vol. 94-10, San Francisco, CA, USA, pp. 593–602B, May 1994.

[5] I. Martin-Bragado, Simulación atomística de procesos para Microelectrónica, Ph.D.thesis, Universidad de Valladolid, Valladolid, Spain, 2004.

[6] I. Martin-Bragado et al., “Physical atomistic kinetic Monte Carlo modeling of Fermi-level effects on species diffusing in silicon,” Physical Review B, vol. 72, p. 035202, July2005.

[7] S. M. Sze, Physics of Semiconductor Devices, New York: John Wiley & Sons, 2nd ed.,1981.

[8] I. Martin-Bragado et al., “From point defects to dislocation loops: A comprehensiveTCAD model for self-interstitial defects in silicon,” in 37th European Solid-StateDevice Research Conference (ESSDERC), Munich, Germany, pp. 334–337, September2007.

[9] N. E. B. Cowern et al., “Cluster ripening and transient enhanced diffusion in silicon,”Materials Science in Semiconductor Processing, vol. 2, no. 4, pp. 369–376, 1999.

[10] F. Cristiano et al., “Formation energies and relative stability of perfect and faulteddislocation loops in silicon,” Journal of Applied Physics, vol. 87, no. 12, pp. 8420–8428,2000.

[11] J. Zuh et al., “Ab initio pseudopotential calculations of B diffusion and pairing in Si,”Physical Review B, vol. 54, no. 7, p. 4741–4747, 1996.

[12] L. F. Giles et al., “Transient enhanced diffusion of B at low temperatures under extrinsicconditions,” Solid-State Electronics, vol. 49, no. 4, pp. 618–627, 2005.

[13] V. C. Venezia et al., “Boron diffusion in amorphous silicon,” Materials Science andEngineering B, vol. 124–125, pp. 245–248, December 2005.

[14] D. C. Mueller, E. Alonso, and W. Fichtner, “Arsenic deactivation in Si: Electronicstructure and charge states in vacancy-impurity clusters,” Physical Review B, vol. 68,no. 4, p. 045208, 2003.

[15] R. B. Fair, “Concentration Profiles of Diffused Dopants in Silicon,” Impurity DopingProcesses in Silicon, vol. 2, Amsterdam: North-Holland Publishing Company,pp. 315–442, 1981.

[16] N. Zographos and I. Martin-Bragado, “Atomistic Modeling of Carbon Co-Implants andRapid Thermal Anneals in Silicon,” in 15th IEEE International Conference onAdvanced Thermal Processing of Semiconductors (RTP), Catania, Italy, pp. 119–122,October 2007.

[17] M. Diebel and S. T. Dunham, “Ab Initio Calculations to Model Anomalous FluorineBehavior,” Physical Review Letters, vol. 93, no. 24, p. 245901, 2004.

Advanced Calibration User Guide 91A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 110: Advanced Calibration User

5: Contents of Advanced Calibration of Sentaurus Process KMC References

www.cThe do

[18] M. Diebel and S. T. Dunham, “Reply to Ab Initio Calculations to Model AnomalousFluorine Behavior,” Physical Review Letters, vol. 96, p. 039602, January 2006.

[19] G. R. Nash et al., “Activation energy for fluorine transport in amorphous silicon,”Applied Physics Letters, vol. 75, no. 23, pp. 3671–3673, 1999.

[20] L. Pelaz et al., “B diffusion and clustering in ion implanted Si: The role of B clusterprecursors,” Applied Physics Letters, vol. 70, no. 17, pp. 2285–2287, 1997.

[21] J. Xia et al., “Boron segregation to extended defects induced by self-ion implantationinto silicon,” Journal of Applied Physics, vol. 85, no. 11, pp. 7597-7603, 1999.

[22] S. A. Harrison, T. F. Edgar, G. S. Hwang, “Interstitial-Mediated Arsenic Clustering inUltrashallow Junction Formation,” Electrochemical and Solid-State Letters, vol. 9,no. 12, pp. G354–G357, 2006.

[23] R. Pinacho et al., “Modeling arsenic deactivation through arsenic-vacancy clusters usingan atomistic kinetic Monte Carlo approach,” Applied Physics Letters, vol. 86, p. 252103,June 2005.

[24] Y. Takamura et al., “Thermal stability of dopants in laser annealed silicon,” Journal ofApplied Physics, vol. 92, no. 1, pp. 230–234, 2002.

[25] Y. Takamura, P. B. Griffin, and J. D. Plummer, “Physical processes associated with thedeactivation of dopants in laser annealed silicon,” Journal of Applied Physics, vol. 92,no. 1, pp. 235–244, 2002.

[26] T. Noda, S. Odanaka, and H. Umimoto, “Effects of end-of-range dislocation loops ontransient enhanced diffusion of indium implanted in silicon,” Journal of AppliedPhysics, vol. 88, no. 9, pp. 4980–4984, 2000.

[27] Y.-S. Oh and D. E. Ward, “A Calibrated Model for Trapping of Implanted Dopants atMaterial Interface During Thermal Annealing,” in IEDM Technical Digest, SanFrancisco, CA, USA, pp. 509–512, December 1998.

[28] V. C. Venezia et al., “Dopant redistribution effects in preamorphized silicon during lowtemperature annealing,” in IEDM Technical Digest, Washington, DC, USA,pp. 489–492, December 2003.

[29] S. H. Jain et al., “Metastable boron active concentrations in Si using flash assisted solidphase epitaxy,” Journal of Applied Physics, vol. 96, no. 12, pp. 7357–7360, 2004.

[30] D. De Salvador et al., “Experimental evidence of B clustering in amorphous Si duringultrashallow junction formation,” Applied Physics Letters, vol. 89, p. 241901,December 2006.

[31] C. Zechner, D. Matveev, and A. Erlebach, “Phase-field model for the dopantredistribution during solid phase epitaxial regrowth of amorphized silicon,” MaterialsScience and Engineering B, vol. 114–115, pp. 162–165, 2004.

92 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 111: Advanced Calibration User

5: Contents of Advanced Calibration of Sentaurus Process KMCReferences

www.cThe do

[32] O. Dokumaci et al., “Transient Enhanced Diffusion and Dose Loss of Indium inSilicon,” in MRS Symposium Proceedings, Si Front-End Processing—Physics andTechnology of Dopant-Defect Interactions, San Francisco, CA, USA, vol. 568,pp. 205–210, April 1999.

[33] G. Impellizzeri et al., “Fluorine in preamorphized Si: Point defect engineering andcontrol of dopant diffusion,” Journal of Applied Physics, vol. 99, p. 103510, May 2006.

Advanced Calibration User Guide 93A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 112: Advanced Calibration User

5: Contents of Advanced Calibration of Sentaurus Process KMC References

www.cThe do

94 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 113: Advanced Calibration User

www.cThe do

CHAPTER 6 Guidelines for additional calibration

This chapter provides guidelines for additional calibration.

The Advanced Calibration file is a good starting point for accurate process simulation withSentaurus Process Kinetic Monte Carlo (Sentaurus Process KMC). However, AdvancedCalibration cannot fully replace an additional calibration by the user. With a customizedprocess calibration, the accuracy can always be further increased for any technology of interest.A customized calibration of process and device simulation models needs to be performed bythe user or can be requested from Synopsys in the context of a customer service project.

To further improve the Advanced Calibration, Synopsys appreciates feedback from customersregarding the accuracy obtained with the parameter files for different process conditions, andsuggestions for improved models or parameter values.

Accuracy and limitations of Advanced Calibration of Sentaurus Process KMC

The Advanced Calibration is based on scientific literature of process simulation models and ona continual calibration effort based on the Synopsys collection of SIMS profiles from state-of-the-art device manufacturing technology. A good agreement is obtained for a wide range ofSIMS data. However, in some cases, there is a significant mismatch between kinetic MonteCarlo simulation results obtained with Advanced Calibration and the experimental data, forsimilar reasons as for continuum simulations listed in Accuracy and limitations of AdvancedCalibration of Sentaurus Process on page 43.

In this section, the accuracy of the Advanced Calibration for Sentaurus Process KMC isdiscussed. In particular, it will explain for which process conditions the accuracy is limited andwhich parameters can be fine-tuned by users to increase the accuracy in a process window ofinterest.

Advanced Calibration User Guide 95A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 114: Advanced Calibration User

6: Guidelines for additional calibration Accuracy and limitations of Advanced Calibration of Sentaurus Process KMC

www.cThe do

Damage and point defects

Amorphization

The calibration of amorphization and recrystallization follows Zographos and Martin-Bragado [1]. In Figure 10, the accuracy of the damage accumulation during implantation isshown by the comparison of literature data with simulated amorphization-layer thicknessesgenerated by germanium implantation.

Figure 10 Amorphous layer thickness versus Ge implant energy, dose 1x1015 cm–2: comparison of experiments (squares) and simulations (solid lines) at doserate of 5x1012 cm–2 s–1

Obviously, the implantation dose rate as well as the implantation temperature stronglyinfluence the amorphization and need to be considered by the user.

NOTE The default implantation dose rate is and is notchanged within Advanced Calibration because it is considered to be aprocess parameter.

To adjust the dose rate, redefine the following procedure before the implant statement:

proc DoseRate { dose } {set refDose 5e12 ; # dose rate of 5e12 cm-2 s-1expr $dose/$refDose

}

If the dose rate and temperature are not known exactly, they can be used as fitting parametersto adjust the damage and amorphization-layer thicknesses of implantations.

ExperimentsTaurus MCCrystal−TRIM

Implant Energy [keV]0 20 40 60

Am

orph

ous

Laye

r T

hick

ness

[nm

]

0

20

40

60

80

1 1012× cm 2– s 1–

96 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 115: Advanced Calibration User

6: Guidelines for additional calibrationAccuracy and limitations of Advanced Calibration of Sentaurus Process KMC

www.cThe do

Germanium preamorphization

In the case of germanium preamorphization implantation, the as-implanted germanium profilesfor Taurus Monte Carlo implantation are often slightly shallower than corresponding SIMSprofiles and, therefore, the amorphization-layer thickness may also be slightly shallower.

The lower amorphization is compensated partly by lowering the displacement threshold for thedamage generation of Taurus Monte Carlo implantation from 15 eV to 13 eV. However, the as-implanted germanium profiles for Crystal-TRIM implantation are, in general, slightly deeperand more accurate compared to SIMS and, therefore, could be used as an alternative. Thedifference between the amorphous-layer thicknesses for the two different Monte Carlo implantengines can be seen in Figure 10 on page 96.

Recrystallization

While the recrystallization velocity for an undoped amorphous–silicon layer on top of (100)-oriented crystalline silicon is well known [2] and may not require any changes, its enhancementin the presence of n-type and p-type doping is less certain. Therefore, the corresponding silicondamage parameters V0_recrys_ntype and V0_recrys_ptype can be used as fittingparameters. Since the diffusivities of dopants in crystallized and amorphous silicon are usuallyvery different, the recrystallization velocity can influence the overall diffusion.

It is also known that the recrystallization depends on substrate orientation; however, therecrystallization velocity in Sentaurus Process KMC is independent of it.

Diffusion, generation, and recombination

The parameter values for the equilibrium concentration and diffusivity of point defects havebeen inherited from continuum Advanced Calibration and have been chosen by Synopsys as acareful compromise between various suggestions in recent publications (see Point defects onpage 44). The I–V pair recombination rate is part of the amorphization calibration (seeAmorphization on page 96).

Changing any of these parameters may affect the diffusion and activation behavior of severaldopants. Therefore, for the purpose of improving the accuracy of diffusion of one dopant, it isnot recommended to change point-defect parameters, due to the possible undesirable effects onother dopants.

Extended defects

Like the advanced TED model in the Advanced Calibration of continuum Sentaurus Process(see Interstitial clusters on page 35), the comprehensive KMC model for extended defects ofself-interstitials [3] describes accurately the initial phase of ultrahigh interstitial

Advanced Calibration User Guide 97A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 116: Advanced Calibration User

6: Guidelines for additional calibration Accuracy and limitations of Advanced Calibration of Sentaurus Process KMC

www.cThe do

supersaturation after ion implantation, which was reported in [4] and is ascribed to theformation and dissolution of small interstitial clusters (see Figure 11).

Figure 11 Self-interstitial supersaturation evolution: comparison of experiments (squares) and simulations (solid lines) for anneals at 600oC (red), 700oC (green), and 800oC (blue) (experimental data from [4])

The binding energies of larger cluster sizes have been calibrated from transmission electronmicroscope (TEM) data on the dissolution of {311} defects published by Stolk et al. [5] andSaleh et al. [6].

The calibration of the transformation rate from {311} defects to dislocation loops is based ondata from the literature [7][8][9][10]. Due to low dislocation loop statistics in KMC, thiscalibration is difficult and not as accurate as for {311} dissolution. Therefore, the followingparameters can be used as fitting parameters:

pdbSet KMC Si Int D0_311toLoop 1.6pdbSet KMC Si Int E_311toLoop 0.68

The parameters for voids have not been calibrated and, therefore, are not changed from thedefaults with binding energies inherited from ab initio computations [11].

Impurities

Diffusion

In general, the diffusivities are inherited from Advanced Calibration of continuum SentaurusProcess and are based on the literature and SIMS calibration. For details about the translation

Time [s]

100 101 102 103 104 105

Inte

rstit

ial S

uper

satu

ratio

n

101

102

103

104

105

106

107

108

98 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 117: Advanced Calibration User

6: Guidelines for additional calibrationAccuracy and limitations of Advanced Calibration of Sentaurus Process KMC

www.cThe do

of the diffusivities to the migration and binding parameters for Sentaurus Process KMC, seeDiffusion on page 80.

For fine-tuning of the diffusivity, the binding, migration, and ionization energies ofdopant–point defect pairs can be adjusted slightly. The influence of the binding, migration, andionization energies is shown in Figure 12.

Figure 12 The influence of the binding (left), migration (middle), and ionization (right) energies on boron diffusion; the process conditions are B 1e15, 0.5 keV implantation followed by 1050oC spike anneal

The higher the binding energy, the more stable is the mobile pair and, therefore, the dopantencounters more diffusion. In contrast, the higher the migration barrier, the less the pair ismobile and, therefore, the dopant diffuses less.

A lower ionization level of a charge state allows that charge state to be more populated and,therefore, to have more influence on the overall diffusion. If the different charge states havedifferent migration rates, changing the ionization levels results in a different overall migrationrate.

NOTE Changing the binding energy and ionization levels of pairs also changesthe impurity cluster formation, in particular, for reactions where the pairis involved. Since the binding energies of clusters are computed usingthe potential energies of the reactant, the potential energy of the pair(given by for negative substitutional ) alsoinfluences the cluster stability. In addition, the migration barrier of thepair is involved in the emission of pairs from impurity clusters.

Antimony

Advanced Calibration for Sentaurus Process KMC includes no parameters for antimonydiffusion because the default parameters are based already on the translation of the continuumAdvanced Calibration parameters and are verified against SIMS data.

Eb

Eb+0.2 eV

Eb−0.2 eV

Depth [nm]0 50 100

Con

cent

ratio

n [c

m−

3 ]

1016

1017

1018

1019

1020

1021

Em

Em−0.2 eV

Em+0.2 eV

Depth [nm]0 50 100

Con

cent

ratio

n [c

m−

3 ]

1016

1017

1018

1019

1020

1021

e0+0.2 eV

e0

e0−0.2 eV

Depth [nm]0 50 100

Con

cent

ratio

n [c

m−

3 ]

1016

1017

1018

1019

1020

1021

E– b Ai–( ) e , 0–( ) Ai( )– A

Advanced Calibration User Guide 99A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 118: Advanced Calibration User

6: Guidelines for additional calibration Accuracy and limitations of Advanced Calibration of Sentaurus Process KMC

www.cThe do

Co-diffusion of arsenic and phosphorus

As elaborated in Co-diffusion of arsenic and phosphorus on page 54, the physics of the co-diffusion of arsenic and phosphorus in high concentration is very complex for several reasons.In general, Advanced Calibration for Sentaurus Process KMC underestimates the diffusion ofarsenic in the presence of phosphorus at high concentrations. One reason for this may be thelack of an arsenic percolation-type diffusion model. In addition, the diffusion of phosphorus inthe presence of a high concentration of arsenic is overestimated because of missing mixedAs–P–V-type clusters.

Diffusion in amorphous silicon

In Advanced Calibration for Sentaurus Process KMC, boron and fluorine are the only diffusingspecies in amorphous silicon. There is no evidence for significant diffusion of otherspecies [12].

The boron diffusion, in combination with clustering, in amorphous silicon was calibrated usingliterature data on completely amorphized SOI structures [13]. The calibrated parameters allowfor good agreement for short anneals; for longer anneals, the diffusion is overestimated ingeneral. Therefore, in the case of preamorphized structures allowing for recrystallization,where the anneal times in amorphous silicon are usually short, the accuracy is generallyacceptable.

A reason for the accuracy limitation for longer anneals seems to be due to simplifying modelassumptions in Sentaurus Process KMC. While Sentaurus Process KMC assumes constantdirect diffusion of species in amorphous silicon, there is some evidence that the boron diffusionis indirect, mediated by dangling bonds present in amorphous silicon [14].

Activation

The responsible mechanism for deactivation/activation is the clustering/declustering ofdopant–defect clusters. In Sentaurus Process KMC, the parameters for the dopant–defectcluster model are the potential energies, the emission prefactors, and the capture volumes. Forrecalibration, the most recommended fitting parameter is the emission prefactor D0_Clusterfor dopant–defect pairs, while the one for point defects should not be changed for reasons ofmicroscopic reversability.

Increasing the emission prefactor results in decreasing the declustering rate, making theclusters more stable. Moreover, the solubility of impurities is governed by the potentialenergies of the clusters. Since most dopants can form several different cluster types, thepotential energies of more than one cluster may influence the overall deactivation/activation.

Therefore, the best way to tune the solid solubility of dopants is either to apply an overall shiftfor all cluster energies or to change the potential energy of the most stable clusters. The

100 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 119: Advanced Calibration User

6: Guidelines for additional calibrationAccuracy and limitations of Advanced Calibration of Sentaurus Process KMC

www.cThe do

influence of the emission prefactor, the potential energies of all clusters, and the potentialenergy of the most stable cluster is illustrated in Figure 13.

Figure 13 The influence of the BI emission prefactor (left), BIC potential energies (middle), and B3I potential energy (right) on boron diffusion; the process conditions are B 1e15, 0.5 keV implantation followed by 1050oC spike anneal

Finally, the capture volumes, which are specified relative to the substitutional dopant, are basedon realistic guesses and may be changed only slightly.

Segregation at end-of-range defects

Segregation of impurities to end-of-range defects is modeled by an interaction of the mobileimpurity–interstitial pairs with extended defects such as {311} defects and dislocation loops.The pair breaks up and the interstitial is incorporated into the extended defect, while theimpurity is regarded as substitutional. Obviously, the location of the impurity segregationdepends on the end-of-range location, which depends on the amorphization-layer thicknessgenerated by amorphizing implantation.

For carbon, this model works reasonably accurately [15]. However, the accumulation of indiumat end-of-range may be underestimated in some cases [16]. To increase the segregation effect,you can enable the following switches:

pdbSet KMC Si In 311DopantModel 1pdbSet KMC Si In LoopDopantModel 1

In this case, the pair does not break up, but it is attached to the extended defect and staysattached until the dissolution of the extended defect.

Dose loss

The dopant dose loss is controlled by the three-phase segregation model for the silicon–oxideinterface model. Dopant trapping at the interface is diffusion limited; therefore, thedopant–point defect migration parameters also have an influence on the dose loss. Therecommended fitting parameters for dose loss are the parameters for the maximum surface

2*D0

0.5*D0

Depth [nm]0 50 100

Con

cent

ratio

n [c

m−

3 ]

1016

1017

1018

1019

1020

1021

Epot+0.5 eV

Epot−0.5 eV

Depth [nm]0 50 100

Con

cent

ratio

n [c

m−

3 ]

1016

1017

1018

1019

1020

1021

E(B3I)+0.5 eV

E(B3I)−0.5 eV

Depth [nm]0 50 100

Con

cent

ratio

n [c

m−

3 ]

1016

1017

1018

1019

1020

1021

Advanced Calibration User Guide 101A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 120: Advanced Calibration User

6: Guidelines for additional calibration Accuracy and limitations of Advanced Calibration of Sentaurus Process KMC

www.cThe do

concentration of trapped particles C0Max_Surf and EMax_Surf as well as the parameter forthe interface barrier EBarrier_SurfSi.

The dose loss of phosphorus at very high concentrations is, in general, underestimated byAdvanced Calibration of Sentaurus Process KMC. One explanation for this is that the interfaceonly reacts with neutral dopant–point defect pairs. However, in the case of a high concentrationof phosphorus, the majority of mobile phosphorus appears in the form of negatively chargedP–V pairs.

Recrystallization

For experiments with amorphizing conditions, the recrystallization parameters allow for a widerange of optimization, but the complex parameter interactions must be taken into accountcarefully. The maximum active doping concentration after recrystallization is an influential andsometimes critical parameter both for activation and diffusion, and the correspondingparameters C0_recrysMaxActive and E_recrysMaxActive serve as fitting parameters.

However, since the activation of doping during SPER usually is temperature dependent [17],the accurate anneal temperature at SPER is critical. Therefore, the specification of the accuratetemperature profile during the anneal, especially the initial phase of the ramp-up withtemperatures at and above, is important. In addition, the doping and impurityconcentrations have an effect on the recrystallization velocity and can influence the activationduring SPER. The corresponding parameters V0_recrys_ntype and V0_recrys_ptypeare candidates for recalibration parameters. Finally, the portion of swept impurities by therecrystallization front may also be adjusted from case to case.

Fluorine effect

A special case is fluorine with its retarding effect on recrystallization [2]. By strongly slowingdown SPER, the presence of (co-implanted) fluorine may not only result in incorporation of anhigher active doping concentration during temperature ramp-up, but also allow more time fordopant diffusion in amorphous silicon.

In the case of boron, with its higher diffusivity in amorphous silicon than crystalline silicon[14], the influence of diffusion in amorphous silicon is enhanced. Therefore, for fine-tuning,you can tune not only the fluorine parameters for redistribution during SPER(P_recrysDeposit and E_recrysDeposit), but also the fluorine parameters for slowingdown the recrystallization (E_recrys and E_recrys_exponent).

BF2 implantation

While for boron implantation after preamorphization implantation, no boron is moved by therecrystallization front, this could be the case for BF2. Therefore, you may allow some portionof the boron swept by the recrystallization front by adjusting P_recrysDeposit.

500°C

102 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 121: Advanced Calibration User

6: Guidelines for additional calibrationReferences

www.cThe do

In addition, the length of boron diffusion can be controlled by the incorporation of fluorineduring SPER. Most fluorine is incorporated into silicon as fluorine interstitials and to a smallerextent also as so-called F–V clusters [18][19]. Since the code does not allow the directincorporation of Fi, F2I2 clusters have been selected because F2I2 clusters are very unstable anddecay into two Fi immediately. In the context of Sentaurus Process KMC, the F–V clusters areF–I clusters (see Fluorine on page 84) containing fewer interstitials than fluorine. Finally, theamount of interstitials incorporated into F–I clusters during SPER also influences the borondiffusion.

Stress and SiGe effects

The calibration of the effects of Ge concentration and stress on defect and dopant diffusion, andclustering has not been included in the current version of Advanced Calibration for SentaurusProcess KMC.

References

[1] N. Zographos and I. Martin-Bragado, “A Comprehensive Atomistic Kinetic MonteCarlo Model for Amorphization/Recrystallization and its Effects on Dopants,” in MRSSymposium Proceedings, Doping Engineering for Front-End Processing, vol. 1070,p. 1070-E03-01, 2008.

[2] G. L. Olson and J. A. Roth, “Kinetics of Solid Phase Crystallization in AmorphousSilicon,” Materials Science Reports, vol. 3, pp. 1–78, 1988.

[3] I. Martin-Bragado et al., “From point defects to dislocation loops: A comprehensiveTCAD model for self-interstitial defects in silicon,” in 37th European Solid-StateDevice Research Conference (ESSDERC), Munich, Germany, pp. 334–337, September2007.

[4] N. E. B. Cowern et al., “Energetics of Self-Interstitial Clusters in Si,” Physical ReviewLetters, vol. 82, no. 22, pp. 4460–4463, 1999.

[5] P. A. Stolk et al., “Physical mechanisms of transient enhanced dopant diffusion in ion-implanted silicon,” Journal of Applied Physics, vol. 81, no. 9, pp. 6031–6050, 1997.

[6] H. Saleh et al., “Energy dependence of transient enhanced diffusion and defect kinetics,”Applied Physics Letters, vol. 77, no. 1, pp. 112–114, 2000.

[7] J. Li and K. S. Jones, “{311} defects in silicon: The source of the loops,” AppliedPhysics Letters, vol. 73, no. 25, pp. 3748–3750, 1998.

[8] F. Cristiano et al., “Ion beam induced defects in crystalline silicon,” NuclearInstruments and Methods in Physics Research B, vol. 216, pp. 46–56, February 2004.

Advanced Calibration User Guide 103A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 122: Advanced Calibration User

6: Guidelines for additional calibration References

www.cThe do

[9] Y. Lamrani et al., “Direct evidence of the recombination of silicon interstitial atoms atthe silicon surface,” Nuclear Instruments and Methods in Physics Research B, vol. 216,pp. 281–285, February 2004.

[10] P. Calvo et al., “Thermal evolution of {113} defects in silicon: transformation againstdissolution,” Nuclear Instruments and Methods in Physics Research B, vol. 216,pp. 173–177, February 2004.

[11] T. E. M. Staab et al., “Stability of large vacancy clusters in silicon,” Physical Review B,vol. 65, no. 11, p. 115210, 2002.

[12] R. Duffy et al., “Dopant diffusion in amorphous silicon,” in MRS SymposiumProceedings, Silicon Front-End Junction Formation—Physics and Technology,vol. 810, San Francisco, CA, USA, p. C10.2.1, April 2004.

[13] V. C. Venezia et al., “Boron diffusion in amorphous silicon,” Materials Science andEngineering B, vol. 124–125, pp. 245–248, December 2005.

[14] S. Mirabella et al., “Mechanism of Boron Diffusion in Amorphous Silicon,” PhysicalReview Letters, vol. 100, p. 155901, April 2008.

[15] N. Zographos and I. Martin-Bragado, “Atomistic Modeling of Carbon Co-Implants andRapid Thermal Anneals in Silicon,” in 15th IEEE International Conference onAdvanced Thermal Processing of Semiconductors (RTP), Catania, Italy, pp. 119–122,October 2007.

[16] T. Noda, S. Odanaka, and H. Umimoto, “Effects of end-of-range dislocation loops ontransient enhanced diffusion of indium implanted in silicon,” Journal of AppliedPhysics, vol. 88, no. 9, pp. 4980–4984, 2000.

[17] S. H. Jain et al., “Metastable boron active concentrations in Si using flash assisted solidphase epitaxy,” Journal of Applied Physics, vol. 96, no. 12, pp. 7357–7360, 2004.

[18] M. Diebel and S. T. Dunham, “Ab Initio Calculations to Model Anomalous FluorineBehavior,” Physical Review Letters, vol. 93, no. 24, p. 245901, 2004.

[19] M. Diebel and S. T. Dunham, “Reply to Ab Initio Calculations to Model AnomalousFluorine Behavior,” Physical Review Letters, vol. 96, p. 039602, January 2006.

104 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 123: Advanced Calibration User

www.cThe do

Part III Advanced Calibration in TSUPREM-4

This part of the Advanced Calibration manual contains the following chapters:

Chapter 7 Using Advanced Calibration file of TSUPREM-4 on page 107

Chapter 8 Contents of Advanced Calibration of TSUPREM-4 on page 113

Chapter 9 Guidelines for additional calibration on page 129

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 124: Advanced Calibration User

www.cThe do

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 125: Advanced Calibration User

www.cThe do

CHAPTER 7 Using Advanced Calibration file of TSUPREM-4

This chapter describes the use of the TSUPREM-4 AdvancedCalibration file with the Merger application.

Overview

The TSUPREM-4 Advanced Calibration file helps to obtain accurate results withTSUPREM-4. The choice of physical models is widely based on the Advanced Calibration ofSentaurus Process and is calibrated with the help of a SIMS database.

In 2006, a complete recalibration of TSUPREM-4 models was performed. Parameters obtainedduring that work are collected in the s4advanced.2008.09 file. They provide a significantimprovement of simulation results in comparison to earlier file versions. You can invoke thenew set of models and parameters by typing one line in any place in the input file:

method advanced

Simulation results obtained with this command will have a good accuracy. For further resultimprovements, initial conditions after an implantation step, depending on the energy or dose,can be used. A special Merger application file contains a definition of an energy-dependentfactor for the +N-model and an initial dopant activation level.

Parameter file

Advanced Calibration is a selection of physical models and model parameters, which arerecommended by Synopsys to be used for accurate process simulation. In TSUPREM-4, thisselection of models and parameters is contained in a parameter file nameds4advanced.2008.09. It is located in the TSUPREM-4 library (s4lib directory). Thecontents of that file is explained in Chapter 8 on page 113.

NOTE There are many differences between the s4advanced.2008.09 ands4advanced.2006.06 models and parameters. Thes4advanced.2008.09 file provides a significant improvement ofsimulation results compared to s4advanced.2006.06.

Advanced Calibration User Guide 107A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 126: Advanced Calibration User

7: Using Advanced Calibration file of TSUPREM-4 Merging a process flow and parameter file with Merger

www.cThe do

The s4advanced.2008.09 models and parameters are invoked inside the Merger file namedAdvCal_1d_tables_2008.09.smr. The file can be merged with a TSUPREM-4 input file,which contains only the pure process flow without any choice of physical models andparameters, using the Merger application. This is described below and in Appendix A onpage 197.

NOTE The Merger application is part of Sentaurus Workbench Advanced.Merger tests if the corresponding license (swb-advanced) exists at theuser site, but does not block the license.

For additional calibration, the Merger file can be copied to a local directory and edited with anystandard text editor. The contents of the AdvCal_1d_tables_2008.09.smr file is explainedin Chapter 8 on page 113.

Merging a process flow and parameter file with Merger

Overview

Three types of information are needed to perform a process simulation:

■ The device fabrication process to be simulated. This includes the substrate doping and allimplantation, diffusion, oxidation, deposition, and etching steps.

■ The physical models and model parameters to be used in the process simulation.

■ The mesh for the process simulation.

With the Merger application (see Appendix A on page 197), the information about thefabrication process and the physical models and parameters can be separated into two differentfiles:

■ The Merger file contains the process flow.

■ The TSUPREM-4 Rules file contains the choice of physical models and parameters.

The commands for creating and refining the mesh are part of either the Merger file or theTSUPREM-4 Rules file. Merger inserts the information contained in the TSUPREM-4 Rulesfile correctly into the process flow and, thereby, creates a complete TSUPREM-4 input file.

108 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 127: Advanced Calibration User

7: Using Advanced Calibration file of TSUPREM-4Merging a process flow and parameter file with Merger

www.cThe do

The separation of the process flow and the choice of physical models and parameters hasadvantages:

■ The Merger file, which contains only the process flow, is easy to read and edit, even fornon-TCAD experts.

■ It is easy to ensure that the same process simulation models are applied to 1D simulationtasks (SIMS data calibration) and 2D or 3D simulation tasks (full process and devicesimulation).

■ All calibration work is contained in the TSUPREM-4 Rules file. A single Rules file can beapplied to different process flows. This makes it easier to transfer calibration results toanother technology node.

TSUPREM-4 Rules file

AdvCal_1d_tables_2008.09.smr is used as a TSUPREM-4 Rules file by Merger. Foradditional calibration, you can make a local copy of the TSUPREM-4 Rules file and can editit with a text editor or with the graphical user interface (GUI) of Merger (see Editing rules withMerger Editor on page 203).

The TSUPREM-4 Rules file consists of five sections. The first two are very short and specifythe process simulator and the title of the Rules file, for example:

Simulator { TSUPREM-4 }Title {1D Advanced Calibration A-2008.09}

The other three sections are a gridblock, a startblock, and a list of pattern-actions.

The gridblock has the format:

Gridblock {...}

In AdvCal_1d_tables_2008.09.smr, it contains the initial 1D simulation mesh and thecriteria for mesh refinement. The default 1D simulation mesh is fine enough for processsimulation ranging from ultrashallow junction formation to power technologies.

In 2D application projects, the gridblock must contain the mesh specification and adaptationparameters for the 2D simulation. Alternatively, since the ideal 2D mesh strongly depends onthe device geometry and size, you can consider removing all commands from the gridblock. Ifthe gridblock is empty, you need to provide the information about the initial grid and meshrefinement in the Merger file, which contains the process flow.

Advanced Calibration User Guide 109A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 128: Advanced Calibration User

7: Using Advanced Calibration file of TSUPREM-4 Merging a process flow and parameter file with Merger

www.cThe do

The startblock is very short:

Startblock {method advanced

$ C-I clustering model$=====================$ To use C-I clustering model, remove "$" from 2 lines below.$ method ddc.full$ method precond=2

$ 5-stream diffusion model$ ========================$ To use the 5-stream model, remove "$" from the line below.$ method nstreams=5

$ Complex models$ ========================$ To use complex models, remove "$" from line below.$ source s4advanced_complex.2008.09}

Advanced Calibration models and parameters contained in s4advanced.2008.09 areinvoked by the first line. They will be used as the default for the whole process simulation. Therest of the block consists of three sections by which you can select the carbon–interstitialclustering model, the five-stream diffusion model, and the set of the advanced interstitialclustering and dopant activation models. This can be done by removing $ from the beginningof the corresponding lines. When merging the Merger file with the TSUPREM-4 Rules file, thegridblock and startblock are inserted at the beginning of the complete TSUPREM-4 input file.

Some physical parameters depend on special process steps. For example, the amount ofdamage created by an ion implantation depends on the details of the implantation. For suchphysical parameters, it is impossible to fix their values once at the beginning for the wholeprocess simulation. Instead, the values must be set where needed. For example, the initialactivation of boron atoms after boron implantation must be set for each boron implantationindividually, depending on the implantation energy and dose. All these process step–relatedparameters are contained in the last part of the TSUPREM-4 Rules file, that is, the list ofpattern-actions.

A typical pattern-action of a TSUPREM-4 Rules file is:

Condition (1) if ( implantation && element==indium ) {prepend {impurity impurity=indium mat=silicon act.ni=0.0 c1frac.a=1.0 +

act.amor=5.e20 c1.ini.f=0.3 ddctot.c=0.3}}

110 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 129: Advanced Calibration User

7: Using Advanced Calibration file of TSUPREM-4Merging a process flow and parameter file with Merger

www.cThe do

With this pattern-action in the TSUPREM-4 Rules file, Merger will evaluate it for allimplantation statements of the process flow if the element is indium. For each implantationstatement where this is the case (that is, where the ‘pattern’ is found), Merger will insert:

impurity impurity=indium mat=silicon act.ni=0.0 c1frac.a=1.0 + act.amor=5.e20 c1.ini.f=0.3 ddctot.c=0.3

before the implant line of the TSUPREM-4 input file. This will set the initial activation levelto in the amorphized region and the indium cluster fraction to 0.3 in thecrystalline region. A detailed reference to the syntax of pattern-actions is given in Merger Rulessyntax reference on page 216.

Merging a Merger file and TSUPREM-4 Rules file

The Merger application is used to merge the Merger file and the Rules file to a completeTSUPREM-4 input file. This can be performed interactively or in batch mode. The interactivemode is started by typing:

merger

A graphical user interface (GUI) opens, the Merger file and TSUPREM-4 Rules file can beloaded, and the merging can be performed. A full description of the interactive uses of Mergeris in Appendix A on page 197.

In batch mode, without starting the GUI, Merger can be started from the command line, forexample:

merger -batch -process process_flow.txt -rules AdvCal_1d_tables_2008.09.smr -output full_ts4.cmd

This line will merge the Merger file process_flow.txt and the Rules fileAdvCal_1d_tables_2008.09.smr, and will create the complete TSUPREM-4 input filefull_ts4.cmd.

In Sentaurus Workbench projects, it is convenient to execute Merger in batch mode, withoutstarting the GUI. This allows you to insert the physical models and parameters to process flowfiles for many different split conditions. A single TSUPREM-4 Rules file is merged with manyMerger files, each representing one of the process split conditions, which were previouslypreprocessed by Sentaurus Workbench.

TSUPREM-4 prologue on page 220 describes how to configure the TSUPREM-4 prologue ofSentaurus Workbench for an automatic merging of preprocessed process flow files.

5.0 1020× cm 3–

Advanced Calibration User Guide 111A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 130: Advanced Calibration User

7: Using Advanced Calibration file of TSUPREM-4 Monte Carlo implantation

www.cThe do

Monte Carlo implantation

By default, analytic implantation is used with AdvCal_1d_tables_2008.09.smr. To useMonte Carlo implantation instead, switch on the pattern-action:

Condition (0) if (implantation) {insert {montecarlo n.ion=5000 dose.spl traj.spl}

}

by replacing Condition (0) with Condition (1).

To use Monte Carlo implantation only for selected dopants, add a pattern-action, which insertsthe keyword montecarlo only into the selected implants. For example, the following pattern-action switches on Monte Carlo implantation only for boron implants:

Condition (1) if (implantation && element==Boron) {insert {montecarlo n.ion=5000 dose.spl traj.spl}

}

112 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 131: Advanced Calibration User

www.cThe do

CHAPTER 8 Contents of Advanced Calibration of TSUPREM-4

In this chapter, the models and parameters used in the AdvancedCalibration of TSUPREM-4 are explained.

The choice of models is mostly the same as in the Advanced Calibration for Sentaurus Process,described in Chapter 2 on page 9. Where possible, the options of the diffusion models areselected in such a way that the same equations are solved in Sentaurus Process andTSUPREM-4.

However, due to some differences in the implementation of physical models in TSUPREM-4and Sentaurus Process, some parameters differ from the corresponding parameters in theAdvanced Calibration of Sentaurus Process, to give optimal results with TSUPREM-4. Theseadjustments are based on a calibration using SIMS data.

All model switches and parameters that are not set in the calibration file have the TSUPREM-4default values. The meaning of the parameters used in the calibration file is also explained inthe Taurus TSUPREM-4 User Guide.

Models of Advanced Calibration of TSUPREM-4

The Advanced Calibration of TSUPREM-4 is based on the following selection of implantationand diffusion models:

■ Analytic tables for all implantations. Alternatively, Monte Carlo implantation can be used.

■ Pair diffusion framework (method pd.full). In silicon, the diffusing species are pointdefects (interstitials and vacancies) and pairs of a dopant and a point defect. As an option,the five-stream model can be used. In the five-stream model, the concentration ofdopant–defect pairs is not in local equilibrium with substitutional dopants and free pointdefects.

■ The +N-model for the initial interstitial concentration after ion implantation.

■ The one-moment clustering model for interstitials.

■ First-order reaction equations for clusters of dopant impurities. There is only one reactionequation per impurity, which governs the relationship between active and totalconcentrations.

Advanced Calibration User Guide 113A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 132: Advanced Calibration User

8: Contents of Advanced Calibration of TSUPREM-4 Contents of AdvCal_1d_tables_2008.09.smr

www.cThe do

■ Dopant segregation at interfaces. Dose loss is described by the three-phase segregationmodel for all dopants.

■ The model for Ge chemical and strain effects.

■ The carbon–interstitial clustering model.

Contents of AdvCal_1d_tables_2008.09.smr

Gridblock

The gridblock is inserted at the beginning of a TSUPREM-4 input file. The gridblock contentsof AdvCal_1d_tables_2008.09.smr is intended to create a good 1D mesh.

A 1D mesh is prepared with a very fine resolution near the silicon surface and with a coarsergrid spacing in the deeper regions of the simulation. Mesh adaptation is switched off forimplantation and diffusion. Mesh adaptation can be helpful to reduce CPU time, by having agood mesh refinement only in specified regions. However, for 1D simulations, CPU time isoften not an issue, because the simulations are very fast.

This 1D mesh is fine enough for almost all 1D investigations, with one exception: If thediffusion of thin dopant marker layers is investigated, it is necessary to create a fine mesh inthe neighborhood of the marker layers, which can be located far away from the silicon surface.

For 2D applications, the gridblock needs to be edited. You either can put correct specificationsfor 2D mesh generation into the gridblock or can work with an empty gridblock and place thespecifications for 2D mesh generation directly into the TSUPREM-4 Merger file, whichcontains the process flow.

Startblock

The startblock is inserted at the beginning of a TSUPREM-4 input file, immediately after thegridblock. By default, it contains only one line:

method advanced

This command is used to invoke models and parameters defined in s4advanced.2008.09.The file contents is described below.

114 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 133: Advanced Calibration User

8: Contents of Advanced Calibration of TSUPREM-4Contents of AdvCal_1d_tables_2008.09.smr

www.cThe do

Basic model selection

The Taurus implantation tables are used for all important implantation species:

impurity impurity=arsenic impl.tab=taurusimpurity impurity=antimony impl.tab=taurusimpurity impurity=boron impl.tab=taurusimpurity impurity=indium impl.tab=taurusimpurity impurity=phosphorus impl.tab=taurusimpurity impurity=germanium impl.tab=taurusimpurity impurity=fluorine impl.tab=taurusimpurity impurity=nitrogen impl.tab=taurusimpurity impurity=carbon impl.tab=taurus

Ge, C, F, and N implantations are simulated by the newly created tables.

For diffusion, the basic selection is:

method pd.full ^pair.rec ^pd.prec

With this line, the three-stream diffusion model is selected (pd.full) and the dopant-assistedrecombination of interstitials and vacancies is switched off (^pair.rec ^pd.prec).

+N-model

The +N-model is used to calculate the amount of excess interstitial generated by ionimplantation. A new TSUPREM-4 feature allows you to define +N-model factors dependenton the implant energy and dose. Corresponding statements can be found ins4advanced.2008.09. For example, the command:

impurity imp=arsenic d.plus="(${E}+1.0)/(${E}+3.0)"

is invoked to decrease the arsenic d.plus for low implant energies. The advantage of such anapproach is that you can avoid the definition of the energy-dependent factors in the Rules file.

If d.plus is not specified explicitly, the default value equal to 1.0 is used. It is defined by thefollowing line:

material mat=silicon d.phdf=0.0 d.pconst=0.0

Advanced Calibration User Guide 115A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 134: Advanced Calibration User

8: Contents of Advanced Calibration of TSUPREM-4 Contents of AdvCal_1d_tables_2008.09.smr

www.cThe do

Intrinsic carrier concentration

The intrinsic carrier concentration is modified to give a good fit in the temperature rangebetween and to the formula suggested by Morin and Maita [1]:

material silicon ni.0=8.23e16 ni.e=0.656 ni.f=1.5

Point-defect models and parameters

Bulk silicon

For interstitials and vacancies, the same diffusivity, equilibrium concentration, charge-statedistribution, and bulk recombination are used as in the Advanced Calibration of SentaurusProcess. For the origin of the parameter values, see Basic point-defect parameters on page 16.

Surface boundary conditions

The v.norm recombination model was chosen for the Si–SiO2 interface. The recombinationvelocity is specified, for interstitials, by the parameters:

ksurf.0=1.78e8 ksurf.e=1.73

and, for vacancies, by the parameters:

ksurf.0=1.42e5 ksurf.e=1.75

With these parameters, the interface acts as an efficient sink for point defects generated by animplantation. The influence of oxide growth on point defect recombination is switched off(ksvel.0=0, ksvel.e=0).

The default growth model is used to simulate the injection of interstitials during siliconoxidation. The model parameters were extracted from oxidation-enhanced diffusion (OED)experiments:

theta.e0=7.3e4 theta.e=2.1 gpow.0=-0.4 gpow.e=0.0

Interstitial clusters

The 1Moment model is used for interstitial clusters with similar parameters as in the SentaurusProcess calibration. The calibration is based on TEM data on the dissolution of {311} defectsduring thermal anneal, published in the literature [2][3]. The shrinking of interstitial clustersby recombination with vacancies is switched off for the benefit of consistency with theSentaurus Process calibration.

Small clusters of interstitials and vacancies are not included in the simulation (eclust.n=0).

700°C 1100°C

116 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 135: Advanced Calibration User

8: Contents of Advanced Calibration of TSUPREM-4Contents of AdvCal_1d_tables_2008.09.smr

www.cThe do

Dopant models and parameters

The same basic model set is used for all dopants. It includes the:

■ Three-stream or five-stream diffusion model depending on user selection.

■ Transient dopant clustering model.

■ Three-phase segregation model.

■ Model for Ge chemical and stress effects.

These models are described here. Dopant-specific model features are explained after thecommon model description.

Dopant diffusion

The three-stream pair diffusion model is chosen by default. In this model, dopant–defect pairsare in local equilibrium with substitutional dopants and free point defects. The diffusivities ofboron, arsenic, indium, and antimony have the same values as in the Advanced Calibration ofSentaurus Process. The microscopic diffusivity of dopant–defect pairs is set equal to thediffusivity of point defects. The recombination between dopant–defect pairs and free defects isswitched off by setting the reaction radii r.ip.v and r.vp.i to 0. Ion pairing is switched onfor all dopants by using:

material material=silicon ion.pair

Alternatively, you can use the five-stream pair diffusion model. In this case, you must add thefollowing line to the input file:

method nstreams=5

or uncomment the corresponding line in AdvCal_1d_tables_2008.09.smr. Furthermore,the parameters r.i.s, e.i.s, r.v.s, and e.v.s, which define the rates of formation anddissolution of dopant–defect pairs, are specified for all dopants. Their values have beenobtained by translation of Sentaurus Process Advanced Calibration parameters.

Dopant clusters

To use transient formation and dissolution of dopant clusters, the following line is used:

method act.full

With this command, TSUPREM-4 switches on five models for dopant activation in parallel: asolid solubility model, an equilibrium dopant clustering model, an equilibrium dopant–defectclustering model, a precipitation model, and a transient dopant–defect clustering model.Clusters are assumed to be neutral.

Advanced Calibration User Guide 117A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 136: Advanced Calibration User

8: Contents of Advanced Calibration of TSUPREM-4 Contents of AdvCal_1d_tables_2008.09.smr

www.cThe do

In the Advanced Calibration, only the transient dopant–defect clustering model is used, and theother models are switched off by an appropriate choice of parameters. Furthermore, it isassumed that no interstitials take part in the formation and dissolution of clusters(ddcf.i.n=0 ddcr.i=0 ifracm=0). The parameters used for the calibration of thetransient dopant–defect clustering model are:

■ ddc.f.0, ddc.f.e: Define the equilibrium between clusters and substitutional dopants.

■ ddc.t.0, ddc.t.e: Adjust the reaction time needed to approach equilibrium.

The parameters ddcf.d.n, ddcf.n.n, and ddcr.n.n are specified in such a way that thesame number of dopants and electrons take part in the cluster formation and dissolution as inthe Advanced Calibration of Sentaurus Process.

As previously mentioned, the other activation reactions that are offered by methodact.full are switched off. This reduces the power of the model to capture several physicalphenomena in parallel. On the other hand, the complexity is reduced and the calibration is morereliable for most applications. Switching off the other activation models is performed in thesame way for all dopants. For example, in the case of arsenic, it is performed with the followingcommands and parameters:

■ The solid solubility model is switched off by setting the solid solubility to a very high level:

impurity imp=arsenic mat=si ss.clearimpurity imp=arsenic mat=si ss.temp=450 ss.conc=1.0e23impurity imp=arsenic mat=si ss.temp=1500 ss.conc=1.0e23

■ The equilibrium dopant clustering model is switched off with the following parameters:

impurity mater=silicon imp=arsenic ctn.0=0.0 ctn.e=0.0

■ The precipitation model is switched off by using:

impurity mater=silicon imp=arsenic c0.tf.0=0.0 c0.tf.e=0.0 c0.tr.0=0.0 +c0.tr.e=0.0

Indium is not a built-in dopant of TSUPREM-4. Therefore, indium clusters must be defined byadditional lines that are not needed for the other main dopants:

impurity imp=c1_indium new c.init=1e5impurity imp=indium imp.cl1=c1_indiummethod imp=c1_indium lu none ^symm time.ste rel.err=0.01 abs.err=1.0e9 +

temp.min=450

Initial conditions independent of implantation conditions are defined ins4advanced.2008.09:

impurity impurity=arsenic mat=silicon act.ni=0.0 +c1frac.a=1.0 act.amor=2.0e20 c1.ini.f=0.7 ddctot.a=1.0 ddctot.c=0.7

118 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 137: Advanced Calibration User

8: Contents of Advanced Calibration of TSUPREM-4Contents of AdvCal_1d_tables_2008.09.smr

www.cThe do

Two last parameters (ddctot.a, ddctot.c) are necessary when the full dynamicsdopant–defect clustering model (method ddc.full) is switched on to simulate a formationof carbon–interstitial clusters.

NOTE dctot.c must be equal to c1.ini.f.

Three-phase segregation model

For all dopants, the dose loss at the Si–SiO2 interface is simulated by the three-phasesegregation model. It is assumed that the trapped dopant is in the neutral charge state. Thecharge state is defined by the number of carriers annihilated during trapping. For example, ifone electron is annihilated during arsenic trapping, then the trapped atom will be neutral:

impurity impurity=arsenic mat=silicon /mat=oxide seg.cpow=1.0

The parameters of the three-phase segregation model were calibrated using the internal SIMSdatabase. The diffusivity of dopants along the Si–SiO2 interface is set to zero.

When using the five-stream framework, the pair segregation model is invoked:

method pair.seg

According to this model, a dissociation of dopant–defect pairs occurs at the Si–SiO2 interface.Such an approach looks more reasonable than an assumption about pair recombination used inthe default model. The parameters seg.ipow and seg.vpow are set to zero for consistencywith simulation results obtained by using the three-stream diffusion model.

NOTE Current boron segregation parameters may give inaccurate results in thecase of fast oxidation at high temperatures. An additional calibrationmay be needed for such process conditions.

Stress and germanium chemical effects

The TSUPREM-4 pressure-dependent diffusion model with default activation volumes is usedin the Advanced Calibration to simulate the stress effect:

method st.diff

In addition, the energy bandgap dependence on the pressure and local Ge content is taken intoaccount:

method gapsige enable

Advanced Calibration User Guide 119A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 138: Advanced Calibration User

8: Contents of Advanced Calibration of TSUPREM-4 Contents of AdvCal_1d_tables_2008.09.smr

www.cThe do

The Ge chemical effect is modeled in several ways. First, the energy band gap changes aspreviously mentioned. Second, dopant diffusivity dependence on the local Ge concentration isintroduced. The interstitial and vacancy components change separately as shown below forphosphorus:

impurity imp=phosphorus mat=si di.fac=exp(1.2e-23*germanium/kT)impurity imp=phosphorus mat=si dv.fac=exp(2.2e-23*germanium/kT)

Third, a simple TSUPREM-4 model is invoked to simulate the reduction of the active boronconcentration due to Ge–B pair formation:

method geandb enable

Stress and Ge chemical effect models are different from ones used in the Advanced Calibrationof Sentaurus Process. They have been transferred from s4advanced.2006.06.

High concentration arsenic and antimony diffusion

At high donor concentrations, the diffusivity of As–V pairs is increased, following the ideas ofDunham and Wu [4], and based on experimental data from Larsen et al. [5]. This is achievedby using:

impurity imp=arsenic mater=silicon dv.fac=(2.3e-23*germanium/kT)* +(1.0+((active(arsenic)+(active(phosphorus))/1.9e20)^3.5)

Similarly, the diffusivity of Sb–V pairs is increased in regions of high Sb doping:

impurity imp=antimony mater=silicon dv.fac=(2.3e-23*germanium/kT)* +(1.0+((active(antimony))/2.0e20)^3.5)

Indium dose loss

The limitation of the indium concentration in amorphized regions is switched on by the line:

impurity mat=silicon imp=indium max.amor=6.e18

The reason for the dose loss is that indium atoms are swept out of silicon during the regrowthof the amorphous layer due to the segregation at the amorphous–crystalline interface [6].

Boron diffusivity in oxide

The boron diffusivity in oxide is increased for highly doped oxides by defining themultiplication factor di.fac:

impurity mat=oxide imp=boron di.fac=(1.0+fluorine/2.0e18+bddl/(bdi* +(1.0+(1.e21/(arsenic+boron+phosphorus+indium+antimony+germanium+1.e5))^2))* +(1+(boron/6.0e20)*(boron/6.0e20)))

120 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 139: Advanced Calibration User

8: Contents of Advanced Calibration of TSUPREM-4Contents of AdvCal_1d_tables_2008.09.smr

www.cThe do

Carbon–interstitial clustering

Carbon diffusion is modeled in the same way as for other dopants. The macroscopic diffusivityof carbon in silicon is taken from the literature [7]. Carbon–interstitial pairs are assumed to beneutral:

impurity new imp=ipair_carbon tif.name=CIpairimpurity imp=carbon neutral imp.ip=ipair_carbon

Three types of neutral carbon–interstitial cluster are taken into account: C2I, C3I2, and C3I3. Thefollowing reactions for the formation and dissolution of clusters are considered:

■ C–I + C <=> C2I

■ C2I + C–I <=> C3I2

■ C3I2 <=> C3I3 + V

Different charges of point defects are taken into account for the above reactions. The clusteringrates, together with the diffusivity and hopping length of carbon–interstitial pairs, have beencalibrated by Synopsys using SIMS data from marker layer experiments [8][9] and from dataon ultrashallow junction formation following Ge+C+B [10] and Ge+C+BF2 ‘cocktail’implants.

You should switch on the full dynamics dopant–defect clustering model to simulatecarbon–interstitial clustering:

method ddc.fullmethod precond=2

In regions, which are amorphized by ion implantation and recrystallized by solid phase epitaxy,carbon is assumed to be in the substitutional state immediately after recrystallization. In non-amorphized regions, newly implanted carbon is assumed to be in C3I2 clusters at the beginningof thermal annealing:

impurity imp=carbon mat=silicon ddctot.c=1.0 ddctot.a=0.0 act.amor=5.e22impurity imp=carbon imp.ddc=c2i1 ddc.n.d=2 ddc.n.i=1 ddcluster=c2i1 +

ddcfraca=0.0 ddcfracc=0.0impurity imp=carbon imp.ddc=c3i2 ddc.n.d=3 ddc.n.i=2 ddcluster=c3i2 +

ddcfraca=0.0 ddcfracc=1.0impurity imp=carbon imp.ddc=c3i3 ddc.n.d=3 ddc.n.i=3 ddcluster=c3i3 +

ddcfraca=0.0 ddcfracc=0.0

Advanced Calibration User Guide 121A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 140: Advanced Calibration User

8: Contents of Advanced Calibration of TSUPREM-4 Contents of AdvCal_1d_tables_2008.09.smr

www.cThe do

Pattern-actions

The final part of the Advanced Calibration file is a list of pattern-actions. Each pattern-actionconsists of a pattern and a list of actions. The pattern is checked against all lines of the processflow file, which is to be merged with the calibration file. For each line to which the patternapplies, the actions are performed. These may include:

■ Inserting arguments into the process flow command line.

■ Adding lines before the process flow command line.

■ Appending lines after the process flow command line.

The patterns and actions supported by the Merger application are explained in Appendix A onpage 197. In this section, the pattern-actions of AdvCal_1d_tables_2008.09.smr areexplained, following the order in the Advanced Calibration file. Most pattern-actions are usedto set initial conditions after ion implantation, such as the amount of interstitials created by theimplant or the initial activation of dopants.

Deposition pattern-action

Only the first pattern-action is applied to deposition steps:

# Sets spacing in deposited oxideCondition (1) if ( line && "DEPOSITION" ) {

insert {dy=0.0005}}

The Merger application will search for all deposition commands in the TSUPREM-4 input fileand will insert the string "dy=0.0005".

Pattern-actions for as-implanted profiles

In the Advanced Calibration file, the implantation dose is interpreted as the dose per the waferarea. This is performed by the following pattern-action:

Condition (1) if ( implantation && element!=silicon ) {insert {dose.sca}

}

NOTE Users who need to interpret the implant dose as the dose per the waferarea of the implant beam must deactivate this pattern-action bychanging Condition (1) to Condition (0).

122 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 141: Advanced Calibration User

8: Contents of Advanced Calibration of TSUPREM-4Contents of AdvCal_1d_tables_2008.09.smr

www.cThe do

The next pattern-action sets the parameters for Monte Carlo ion implantation. By default, thispattern-action is deactivated by Condition (0):

Condition (0) if ( implantation ) {insert {montecarlo n.ion=5000 dose.spl traj.spl}

}

Two pattern-actions are dedicated to the implantation of silicon ions, for which no calibratedimplantation lookup tables are available. For energies greater than 5 keV, phosphorus tables areused, since phosphorus ions have a similar mass as silicon ions. For energies less than 5 keV,Monte Carlo simulation is used for silicon implantation.

Pattern-actions for initial conditions after implant

Most pattern-actions are dedicated to setting the initial conditions after ion implantation. Theparameters are set depending on the implant species and, in some cases, also as a function ofimplant energy and dose. In particular, the following two parameters are set:

■ act.amor: The maximum active concentration of the implanted dopants in amorphizedregions. If the dopant concentration exceeds act.amor, the surplus is put into dopantclusters.

■ c1.ini.f: The fraction of dopants that is clustered in non-amorphized regions.

Furthermore, for all dopants, act.ni=0 and c1frac.a=1. All dopants that are in clustersafter ion implantation should be in transient dopant–defect clusters. This is a logicalconsequence of switching off the other clusters of the dopant activation model act.full (seeDopant clusters on page 117).

Complex models

A set of complex models can be invoked by typing the command:

method advanced version=2008.09.a

or uncommenting the corresponding line in the startblock. The file s4advanced.2008.09.awill be sourced in that case. This file contains calibrated parameters for the following models:

■ Complex interstitial-clustering model taking SMICs and {311} defect formation intoaccount.

■ Boron–interstitial clustering (BIC) model.

■ Arsenic–vacancy clustering model.

Advanced Calibration User Guide 123A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 142: Advanced Calibration User

8: Contents of Advanced Calibration of TSUPREM-4 Contents of AdvCal_1d_tables_2008.09.smr

www.cThe do

The model parameters were translated from the Advanced Calibration for Sentaurus Process(AdvancedModels mode). The five-stream pair diffusion model is used along with the abovemodels when the complex model mode is selected.

Switching on the complex models results in a significant CPU time increase because of theincreased total number of equations to be solved. Therefore, using the complex models isrecommended for when the basic set models cannot predict the experimentally observed trends(flash lamp, laser, low-temperature annealing) as well as for fundamental research.

Complex interstitial-clustering model

The advanced interstitial-clustering model includes three equations for small interstitialclusters (I2, I3, I4) and two equations for the density of {311} defects and the density ofinterstitials bound in {311} defects (two moments) [11]. It is selected by the followingcommand:

interstitial silicon cl.full cl.2m

The high interstitial supersaturation in the initial TED stage can be reproduced using theadvanced model. A comparison of simulation and experimental results is shown in Figure 14for silicon implantation and subsequent annealing at different temperatures. The modelaccurately predicts two TED stages observed for low temperatures.

Figure 14 Interstitial supersaturation as a function of annealing time. Silicon was implanted at 40 keV with a dose of 2 x 1013 cm–2. Annealing was performed at 600oC (red), 700oC (blue), and 800oC (black). There is a good agreement between simulations (solid lines) and experiments (squares). Experimental data points are extracted from [12].

800ºC, simulation800ºC, experiment700ºC, simulation700ºC, experiment600ºC, simulation600ºC, experiment

Time [s]100 101 102 103 104 105

Inte

rstit

ial S

uper

satu

ratio

n

100

101

102

103

104

105

106

107

108

124 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 143: Advanced Calibration User

8: Contents of Advanced Calibration of TSUPREM-4Contents of AdvCal_1d_tables_2008.09.smr

www.cThe do

Interstitials generated by the implantation are assumed to be in a free state at the beginning ofannealing when the complex model is used. It is different from the basic 1Moment model forwhich excess interstitials are assumed to be mostly bound in {311} defects at the initialannealing stage.

BIC model

According to the implemented model, there are six types of BIC: B2, B2I, B2I2, B3I, B3I2, andB3I3. All the clusters are neutral. An example of a B2I2 definition is:

impurity imp=bor2i2 tif.name=B2I2 new neutral c.init=1.e2impurity imp=boron imp.ddc=bor2i2 ddc.n.d=2 ddc.n.i=2ethod precond=2

The dopant–defect clustering full-dynamics (ddc.full) model is switched on to simulate thegrowth of BICs, and the formation of single clusters used in the basic model set is switched off:

method ddc.fullimpurity mater=silicon imp=boron cm.sec +

ddcf.i.n=0 ddcr.i.n=0 ifracm=0 ddcf.d.n=0 ddcf.n.n=0 ddcr.n.n=0 +ddc.f.0=0.0 ddc.f.e=0.0 ddc.t.0=0.0 ddc.t.e=0.0

NOTE Since the ddc.full model is activated, the carbon–interstitialclustering model is always used when the complex model set is chosen.

Figure 15 shows the reaction pathway. The most stable clusters are B3I and B3I2. All chargestates of point defects and boron–point defect pairs are taken into account in the model. Thereaction rates are determined by the parameters ddc.kf.0, ddc.kf.e, ddc.eq.0, andddc.eq.e:

$ B2I1 + I <-> B2I2impurity imp=boron mat=silicon ddc.r=bor2i2 i.ddc c.i.all +

ddc.kf.0=2.6094e-5 ddc.kf.e=1.77 ddc.eq.0=1.0 ddc.eq.e=-0.132 cm.sec

Figure 15 Reaction pathway for BIC model

B B2I

B2

B3I

B3I2

B3I3

B2I2BI

BI

I,V

I,V

BI

BI

BI

BI

I,V

I,V

Advanced Calibration User Guide 125A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 144: Advanced Calibration User

8: Contents of Advanced Calibration of TSUPREM-4 Contents of AdvCal_1d_tables_2008.09.smr

www.cThe do

The initial boron activation level after implantation is defined using the parameters act.amorand ddctot.c, which is similar to the basic model set method. The initial concentration ofdifferent cluster types in the amorphized and crystalline silicon is calculated according to theparameters ddcfracc and ddcfraca, respectively. By default, these parameters are equal to1.0 for B2 and for other BICs.

Arsenic–vacancy clustering model

The arsenic–vacancy clustering model implementation is similar to that for the BIC model. Theformation of six cluster types are considered: As2, As2V, As3, As3V, As4, and As4V. The moststable clusters are As4V.

The clustering reactions are chosen according to the model published by Pinacho et al. [13].Figure 16 shows the reaction pathway.

Figure 16 Reaction pathway for the arsenic–vacancy clustering model

After implantation, it is assumed that the clustered arsenic is in As2. It is determined by theparameters ddcfracc and ddcfraca:

impurity imp=arsenic mat=silicon ddc.r=as2v0 ddcfracc=1.0 ddcfraca=1.0impurity imp=arsenic mat=silicon ddc.r=as3v0 ddcfracc=1.0e-5 ddcfraca=1.0e-5impurity imp=arsenic mat=silicon ddc.r=as4v0 ddcfracc=1.0e-5 ddcfraca=1.0e-5impurity imp=arsenic mat=silicon ddc.r=as2v1 ddcfracc=1.0e-5 ddcfraca=1.0e-5impurity imp=arsenic mat=silicon ddc.r=as3v1 ddcfracc=1.0e-5 ddcfraca=1.0e-5impurity imp=arsenic mat=silicon ddc.r=as4v1 ddcfracc=1.0e-5 ddcfraca=1.0e-5

Modifying initial conditions after implant

Using the complex model set required a new calibration of initial conditions after implantation.First, the +N-model factors were modified. Corresponding changes can be found ins4advanced.2008.09.a. Second, initial active dopant and cluster concentrations werecalibrated. The corresponding parameters act.amor, ddctot.c, and ddctot.a wereincluded in s4advanced.2008.09.a and AdvCal_1d_tables_2008.09.smr.

10 5–

As As2As3

AsII AsIAsV I I

As4As3

AsV As2V As3V As4V

126 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 145: Advanced Calibration User

8: Contents of Advanced Calibration of TSUPREM-4References

www.cThe do

s4advanced.2008.09.a contains constant parameter values. Parameters defined inAdvCal_1d_tables_2008.09.smr depend on the implant energy and dose. They give abetter agreement between simulation results and experiments.

When using complex models, initial conditions marked by "# Initial condition forbasic models" in AdvCal_1d_tables_2008.09.smr should be deactivated byCondition(0), and initial conditions marked by "# Initial condition for complexmodels" should be switched on by Condition(1)(see Appendix A on page 197). Forexample:

# Initial condition for basic modelsCondition (0) if ( implantation && element==arsenic ) {

prepend {impurity impurity=arsenic mat=silicon act.ni=0.0 c1frac.a=1.0 +act.amor=2.0e20*($energy+0.5)/$energy c1.ini.f=0.6 ddctot.c=0.6}

}

# Initial condition for complex modelsCondition (1) if ( implantation && element==arsenic ) {

prepend {impurity impurity=arsenic mat=silicon act.ni=0.0 +act.amor=1.5e21*$energy/($energy+10.0)*($dose+1.e14)/($dose+3.e14) + ddctot.c=1.0}

}

References

[1] F. J. Morin and J. P. Maita, “Electrical Properties of Silicon Containing Arsenic andBoron,” Physical Review, vol. 96, no. 1, pp. 28–35, 1954.

[2] P. A. Stolk et al., “Physical mechanisms of transient enhanced dopant diffusion in ion-implanted silicon,” Journal of Applied Physics, vol. 81, no. 9, pp. 6031–6050, 1997.

[3] H. Saleh et al., “Energy dependence of transient enhanced diffusion and defect kinetics,”Applied Physics Letters, vol. 77, no. 1, pp. 112–114, 2000.

[4] S. T. Dunham and C. D. Wu, “Atomistic models of vacancy-mediated diffusion insilicon,” Journal of Applied Physics, vol. 78, no. 4, pp. 2362–2366, 1995.

[5] A. N. Larsen et al., “Heavy doping effects in the diffusion of group IV and V impuritiesin silicon,” Journal of Applied Physics, vol. 73, no. 2, pp. 691–698, 1993.

[6] V. C. Venezia et al., “Dopant redistribution effects in preamorphized silicon during lowtemperature annealing,” in IEDM Technical Digest, Washington, DC, USA,pp. 489–492, December 2003.

[7] P. Pichler, Intrinsic Point Defects, Impurities, and Their Diffusion in Silicon,Computational Microelectronics, Vienna: Springer, 2004.

Advanced Calibration User Guide 127A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 146: Advanced Calibration User

8: Contents of Advanced Calibration of TSUPREM-4 References

www.cThe do

[8] H. Rücker et al., “Suppressed diffusion of boron and carbon in carbon-rich silicon,”Applied Physics Letters, vol. 73, no. 12, pp. 1682–1684, 1998.

[9] P. Lavéant et al., “Engineering the diffusion behavior of dopants (B, Sb) in silicon byincorporation of carbon,” Nuclear Instruments and Methods in Physics Research B,vol. 186, no. 1–4, pp. 292–297, 2002.

[10] V. Moroz et al., “Optimizing boron junctions through point defect and stressengineering using carbon and germanium co-implants,” Applied Physics Letters,vol. 87, p. 051908, August 2005.

[11] C. Zechner et al., “Accurate and efficient TCAD model for the formation and dissolutionof small interstitial clusters and {311} defects in silicon“, Materials Science andEngineering B, vol. 124-125, pp. 401-403, December 2005.

[12] N. E. B. Cowern et al., “Energetics of Self-Interstitial Clusters in Si,” Physical ReviewLetters, vol. 82, no. 22, pp. 4460–4463, 1999.

[13] R. Pinacho et al., “Modeling arsenic deactivation through arsenic-vacancy clusters usingan atomistic kinetic Monte Carlo approach,” Applied Physics Letters, vol. 86, p. 252103,June 2005.

128 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 147: Advanced Calibration User

www.cThe do

CHAPTER 9 Guidelines for additional calibration

This chapter provides guidelines for additional calibration.

The Advanced Calibration file is a starting point for accurate process simulation withTSUPREM-4. However, the Advanced Calibration cannot fully replace an additionalcalibration by the user for 2D applications. With a customized process calibration, the accuracycan always be further increased for any technology of interest. A customized calibration ofprocess and device simulation models needs to be performed by the user or can be requestedfrom Synopsys in the context of a customer service project.

To further improve the Advanced Calibration, Synopsys appreciates feedback from customersregarding the accuracy obtained with the parameter files for different process conditions andsuggestions for improved models or parameter values.

The Advanced Calibration of TSUPREM-4 is widely based on the Advanced Calibration ofSentaurus Process. Therefore, Chapter 3 on page 43, which is dedicated to process simulationwith Sentaurus Process, also applies to the TSUPREM-4 Advanced Calibration and isrecommended for reading.

Limitations of the Advanced Calibration of TSUPREM-4 are described and the most importanttuning parameters for standard applications are summarized.

All changes should be performed by editing the Advanced Calibration file of TSUPREM-4. Todo so, make a local copy of AdvCal_1d_tables_2008.09.smr, rename it, and use theMerger GUI or a text editor to change models, parameters, or pattern-actions in the local copy.The default version of AdvCal_1d_tables_2008.09.smr is located in the TSUPREM-4library.

Accuracy and limitations of Advanced Calibration of TSUPREM-4

The Advanced Calibration of TSUPREM-4 has the same average accuracy as the AdvancedCalibration of Sentaurus Process. Calibration limitations are described in Chapter 3 onpage 43. A summary is presented:

■ Oxidation-enhanced diffusion (OED) may be overestimated for wet oxidation.

■ Interstitial trapping by fluorine atoms cannot be simulated.

Advanced Calibration User Guide 129A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 148: Advanced Calibration User

9: Guidelines for additional calibration Fine-tuning parameters

www.cThe do

■ Parameters of the arsenic and phosphorus activation models are not well calibrated forextremely high concentrations (close to ) and low temperatures.

■ There may be a significant difference between simulation results and experimental data inthe case of co-diffusion of arsenic and phosphorus.

■ Indium redistribution during annealing after amorphizing implantation cannot be simulatedcorrectly.

Fine-tuning parameters

The Advanced Calibration provides a good starting point for accurate process simulation.However, to match the simulation results precisely with experimental data, an additional fine-tuning of the models is helpful. In total, many parameters are used in the models. This sectiongives recommendations as to which parameters to use for additional calibration. Table 3summarizes the fine-tuning parameters.

Essentially, the recommendations are the same as those for the additional calibration ofSentaurus Process (see Chapter 3 on page 43), but the parameters are different inTSUPREM-4.

Table 3 Fine-tuning parameters in Advanced Calibration of TSUPREM-4

TSUPREM-4 parameters Sentaurus Process analogue Recommended use

theta.0, theta.e theta OED calibration.

cl.kr.0, cl.kr.e Ikr TED calibration. Adjustment of the diffusion profile tail.

r.i.s, e.i.s, r.v.s, e.v.s

kfKickOut Adjustment of the dopant profile tail in the case of using the five-stream diffusion model.

ddc.t.0, ddc.t.e CluRate (~1/ddc.t) Adjustment of B diffusion profiles in the high and medium concentration regions.

q.max.0, q.max.e CMax Adjustment of As and P diffusion profile depth and concentration level at the interface.

d.plus ifactor Final fitting in the tail region.

act.amor AmInit Final fitting in the high concentration region.

c1.ini.f AcInit Final fitting in the medium concentration region.

1022 cm 3–

130 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 149: Advanced Calibration User

9: Guidelines for additional calibrationFine-tuning parameters

www.cThe do

Point-defect parameters

The basic point-defect parameters (diffusivities, equilibrium concentration, and charge states)influence the simulation results of all dopants. Therefore, it is not recommended to tune themfor the purpose of improving the accuracy of the diffusion of one particular dopant.

The only recommended point defect calibration parameter is the fraction of consumed siliconatoms that are injected as interstitials during oxidation (theta.0, theta.e). To adjust OEDin different ambients, edit the following line containing the default values:

interstitial silicon /oxide theta.0=7.3e4 theta.e=2.1

Interstitial clusters

TED after ion implantation is described by the one-moment model for interstitial clusters. Thismodel is simple and fast, but still accurate enough for most applications. For a discussion ofthe limitations of this model, see Clusters of interstitials on page 45. Within this model, the bestway of tuning the time and temperature dependence of TED is the adjustment of the clusterdissolution rate. It can be performed by changing the default parameter values in the line below:

interstitial silicon cl.kr.0=6.996e16 cl.kr.e=3.935

The effect of changing cl.kr.0 is illustrated in Figure 4 on page 46. cl.kr.0 and cl.kr.ecorrespond to the parameter Ikr in Sentaurus Process.

Dopant parameters

Diffusion

TED after ion implantation can be modified by increasing or lowering the number ofinterstitials generated by dopant implant. Such a modification needs to be performed by editingthe formulas for the calculation of the parameter d.plus in the pattern-actions section.Increasing the number of interstitials generated by an implant will mainly increase thediffusion in the tail of the dopant profile.

If the five-stream model is used, the capture radius and the energy barrier for the formation ofdopant–defect pairs are critical parameters, which govern the average migration lengthbetween pair formation and pair dissolution. Therefore, in the five-stream model, to adjust theaverage pair migration length, change the parameters r.i.s, e.i.s, r.v.s, and e.v.s. Thiscan be performed for each charge state separately.

Advanced Calibration User Guide 131A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 150: Advanced Calibration User

9: Guidelines for additional calibration Fine-tuning parameters

www.cThe do

For example, you can define the capture radius value for neutral B–I pairs in the following line:

impurity imp=boron mater=silicon c.inter=1 r.i.s=6.68e-1

The TSUPREM-4 Advanced Calibration file does not contain any special models for thediffusion of P in regions with a very high As concentration. The simulation of the co-diffusionof As and P is not very accurate for all possible conditions. One method to tune P diffusion inregions of high As concentration is to introduce diffusion enhancement coefficients for P–I andP–V pairs, which depend on the local As concentration. The corresponding TSUPREM-4commands are:

impurity imp=phosphorus mater=silicon di.fac=...impurity imp=phosphorus mater=silicon dv.fac=...

where "..." must be some function of the local arsenic concentration, which has the value of1 for low arsenic concentration.

Activation

The same dopant activation model is used as in the Advanced Calibration of Sentaurus Process.Refer to the detailed discussion in Chapter 3 on page 43. The rate of cluster dissolution is themost widely used parameter for the fine-tuning of the junction depth of boron ultrashallowjunctions. Its influence is illustrated in Figure 17 on page 133. To adjust the clustering rate,modify the cluster dissolution time values defined by ddc.t.0 and ddc.t.e:

impurity mater=silicon imp=boron ddc.t.0=8.0e-21 ddc.t.e=-4.7

The cluster dissolution time is inversely proportional to the cluster dissolution rate defined bythe parameter CluRate in Sentaurus Process.

For PMOS extension formation after BF2 implant, where the temperature cycle often includesa nitride spacer deposition at followed by a spike anneal, it may be necessary toincrease the cluster dissolution time significantly, as explained in PMOS extension formationon page 50.

700°C

132 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 151: Advanced Calibration User

9: Guidelines for additional calibrationFine-tuning parameters

www.cThe do

Figure 17 Effect of boron cluster dissolution time prefactor ddc.t.0 on final shape of a boron profile after 2 keV 2 x 1015 cm–2 boron implantation and spike annealing at 1050oC

Figure 18 Effect of q.max.0 on simulation results for phosphorus USJ formation; graphs were calculated for 2 keV 1015 cm–2 P implantation, followed by spike anneal at 1080oC

ddc.t.0 = 3.2 x 10-20

ddc.t.0 = 8.0 x 10-21 (default)ddc.t.0 = 2.0 x 10-21

Depth [nm]0 50 100

Bor

on [c

m-3

]

1017

1018

1019

1020

1021

q.max.0 = 1.8 x 1015 (default)q.max.0 = 6.0 x 1014

q.max.0 = 3.0 x 1014

Depth [nm]0 50 100

Pho

spho

rus

[cm

-3]

1016

1017

1018

1019

1020

Advanced Calibration User Guide 133A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 152: Advanced Calibration User

9: Guidelines for additional calibration Fine-tuning parameters

www.cThe do

Segregation

Additional fine-tuning of dopant dose loss becomes important in the case of ultrashallowjunction formation simulation. The maximum trap density in the Si–SiO2 interface defined byq.max.0 and q.max.e is the most powerful calibration parameter for such an adjustment.That parameter is widely used to change the simulated depth of arsenic and phosphorusdiffusion profiles. An example of the definition of the maximum phosphorus trap density is:

impurity impurity=i_phosphorus mat=oxide /mat=silicon q.max.0=1.8e15

Increasing the trap density results in shallower simulated diffusion profiles as shown inFigure 18 on page 133.

Indium dose loss

The calibration of indium diffusion and dose loss is very accurate for non-amorphizingconditions and usually does not require additional fine-tuning.

For high-dose indium implantation, the accuracy is limited, as discussed in Indium diffusionand activation on page 54. TSUPREM-4 offers a very simple, but effective way to adjust theindium dose loss after high-dose indium implantation. You can cut off the indiumconcentration in amorphized regions by setting the parameter max.amor, for example:

impurity mat=silicon imp=indium max.amor=7e18

As-implanted dopant profiles

By default, the Taurus implantation tables are used for the calculation of as-implanted dopantprofiles. These are very accurate for most implantation conditions.

For ion implants with energy less than 5 keV, the Sentaurus Process implant tables give a betteraccuracy in most cases. However, these have a different format and are not provided in theTSUPREM-4 libraries. A translation of the Sentaurus Process implant tables to theTSUPREM-4 readable format is available from Synopsys upon request.

For highly accurate 2D profiles in arbitrary device geometries, Monte Carlo implantation canbe used.

134 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 153: Advanced Calibration User

9: Guidelines for additional calibrationFine-tuning parameters

www.cThe do

Polysilicon

The Advanced Calibration file does not include a calibration of the dopant diffusion inpolysilicon and the out-diffusion of dopants from polysilicon.

Dopant penetration through gate oxide

The dopant penetration through gate oxide has not been calibrated. This is a difficult taskbecause, instead of pure oxide, oxynitride is commonly used as the gate dielectric material. Youcan completely suppress the dopant diffusion from polysilicon through the gate oxide into thechannel region by setting the transfer coefficient at the polysilicon–oxide interface to 0.

Advanced Calibration User Guide 135A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 154: Advanced Calibration User

9: Guidelines for additional calibration Fine-tuning parameters

www.cThe do

136 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 155: Advanced Calibration User

www.cThe do

Part IV Advanced Calibration in Dios

This part of the Advanced Calibration manual contains the following chapters:

Chapter 10 Using Advanced Calibration files of Dios on page 139

Chapter 11 Contents of Advanced Calibration files of Dios on page 149

Chapter 12 Dios calibration method and limitations on page 177

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 156: Advanced Calibration User

www.cThe do

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 157: Advanced Calibration User

www.cThe do

CHAPTER 10 Using Advanced Calibration files of Dios

This chapter explains the use of the Dios Advanced Calibration.

Parameter files

Advanced Calibration is a selection of physical models and model parameters, which isrecommended by Synopsys to be used for accurate process simulation. In Dios, this selectionof models and parameters is contained in four parameter files (Dios Rules files) named:

■ AdvCal_1d_tables_2005.10.dmr

■ AdvCal_1d_CTRIM_2005.10.dmr

■ AdvCal_2d_nMOS_2005.10.dmr

■ AdvCal_2d_pMOS_2005.10.dmr

They are located in the directory $STROOT/tcad/$STRELEASE/lib/dioslib.

The choice of models and parameters is mostly the same for all four files, and the fewdifferences are indicated by the file names:

■ AdvCal_1d_tables_2005.10.dmr is a calibration file for 1D simulations. A mesh for1D simulations is included. All implantations are performed by using analytic tables.

■ AdvCal_1d_CTRIM_2005.10.dmr is a calibration file for 1D simulations. A mesh for1D simulations is included. All implantations are performed by using Crystal-TRIM. Allparameters for diffusion are the same as in the file AdvCal_1d_tables_2005.10.dmr.

■ AdvCal_2d_nMOS_2005.10.dmr is a calibration file for 2D NMOS simulations. Allimplantations are performed by analytic tables. Some diffusion parameters are setaccording to typical needs for NMOS simulation.

■ AdvCal_2d_pMOS_2005.10.dmr is a calibration file for 2D PMOS simulations. Allimplantations are performed by analytic tables. Some diffusion parameters are setaccording to typical needs for PMOS simulation.

The parameter files can be merged with a Dios input file, which contains only the pure processflow without any choice of physical models and parameters, using the Merger application. Thisis the recommended way of using the Dios Advanced Calibration and is described in Merginga process flow and parameter file with Merger on page 140 and Appendix A on page 197.

Advanced Calibration User Guide 139A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 158: Advanced Calibration User

10: Using Advanced Calibration files of Dios Merging a process flow and parameter file with Merger

www.cThe do

NOTE The Merger application is part of Sentaurus Workbench Advanced.Merger tests if the corresponding license (swb-advanced) exists at theuser site, but does not block the license.

For additional calibration, the parameter files can be copied to a local directory and edited withany standard text editor. The contents of the files is explained in detail in Chapter 11 onpage 149.

As an alternative to using the Merger tool, it is possible to insert selected model switches andparameters directly in the Dios input files (see Inserting parameters directly on page 143).

In Crystal-TRIM or implantation tables? on page 146, some recommendations are given as towhen to use Crystal-TRIM (calib_par_100_CTRIM.cmd) and when to use tables(calib_par_100_tables.cmd) for implantations.

Merging a process flow and parameter file with Merger

Overview

Three types of information are needed to perform a process simulation:

■ The device fabrication process to be simulated. This includes the substrate doping and allimplantation, diffusion, oxidation, deposition, and etching steps.

■ The choice of physical models and model parameters to be used in the process simulation.

■ The choice of the mesh for the process simulation.

With the Merger application (see Appendix A on page 197), the information about thefabrication process and information about the physical models and parameters can be separatedinto two different files:

■ The Merger file contains the process flow.

■ The Dios Rules file contains the choice of physical models and parameters.

The commands for creating and refining the mesh are part of either the Merger file or DiosRules file. The Merger application inserts the information contained in the Dios Rules filecorrectly into the process flow contained in the Merger file and, thereby, creates a completeDios input file.

140 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 159: Advanced Calibration User

10: Using Advanced Calibration files of DiosMerging a process flow and parameter file with Merger

www.cThe do

The separation between the process flow and the choice of physical models and parameters hasseveral advantages:

■ The Merger file, which contains only the process flow, is easy to read and edit, even fornon-TCAD experts.

■ It is easy to ensure that the same process simulation models are applied for 1D simulationtasks (SIMS data calibration) and 2D or 3D simulation tasks (full process and devicesimulation).

■ All calibration work is contained in the Dios Rules file. A single Rules file can be appliedto different process flows. This makes it easier to transfer calibration results to anothertechnology node.

Dios Rules files

Each of the Dios Advanced Calibration files can be selected as a Dios Rules file and used bythe Merger application. For additional calibration, you can make a local copy of the Dios Rulesfile and edit it with a text editor, or with the graphical user interface (GUI) of Merger (seeEditing rules with Merger Editor on page 203).

The Dios Rules file consists of five sections. The first two are very short and specify the processsimulator and the title of the Rules file, for example:

Simulator { DIOS }Title {1D Advanced Calibration 2005.10}

The other three sections are a gridblock, a startblock, and a list of pattern-actions.

The gridblock has the format:

Gridblock {...}

It is either empty or it contains the initial simulation mesh and the criteria for mesh refinement.If the gridblock is empty, as in the Advanced Calibration files for 2D NMOS and PMOSsimulation, AdvCal_2d_nMOS_2005.10.dmr and AdvCal_2d_pMOS_2005.10.dmr, youneed to provide the information about the initial grid and mesh refinement in the Merger file,which contains the process flow.

The startblock has the format:

Startblock {...}

Advanced Calibration User Guide 141A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 160: Advanced Calibration User

10: Using Advanced Calibration files of Dios Merging a process flow and parameter file with Merger

www.cThe do

It contains all model switches and parameters that are intended to be used as the default for thewhole process simulation. When merging the Merger file with the Dios Rules file, thegridblock and startblock are inserted at the very beginning of the complete Dios input file.

Some physical parameters depend on special process steps. For example, the initial activationof implanted dopants depends on the details of an ion implantation. For such physicalparameters, it is impossible to fix their values once at the beginning for the whole processsimulation. Instead, the values must be set where needed. For example, the initial activation ofboron atoms after boron implantation needs to be set for each boron implantation individually,depending on the implantation energy and dose. All these process step–related parameters arecontained in the last part of the Dios Rules file, the list of pattern-actions.

For example, a typical pattern-action of a Dios Rules files is:

Condition (1) if { implantation && element==B && energy>5 ) {append {diff:(acinit=3e17)}

}

Having this pattern-action in the Dios Rules file, Merger will evaluate it for all implantationstatements of the process flow if the element is boron and the implantation energy is greaterthan 5 keV. For each implantation statement where this is the case (that is, where the ‘pattern’is found), the line:

diff:(acinit=3e17)

will be inserted in the merged Dios input file immediately after the implantation statement.This line will set the initial activation of as-implanted dopants in non-amorphized silicon to

. A detailed reference to the syntax of pattern-actions is given in Merger Rulessyntax reference on page 216.

Merging a Merger file and Dios Rules file with Merger

The Merger application is used to merge the Merger file and the Rules file to a complete Diosinput file. This can be performed interactively or in batch mode. The interactive mode is startedby typing:

merger

A graphical user interface (GUI) opens, the Merger file and Dios Rules file can be loaded, andthe merging can be performed. A full description of the interactive uses of Merger is inAppendix A on page 197.

3 1017× cm 3–

142 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 161: Advanced Calibration User

10: Using Advanced Calibration files of DiosInserting parameters directly

www.cThe do

In batch mode, without starting the GUI, Merger can be started from the command line, forexample:

merger -batch -process process_flow.txt -rules AdvCal_1d_tables_2005.10.dmr -output full_dio.cmd

This line will merge the Merger file process_flow.txt and Rules fileAdvCal_1d_tables_2005.10.dmr, and will create the complete Dios input filefull_dio.cmd.

In Sentaurus Workbench projects, it is convenient to execute Merger in batch mode, withoutstarting the GUI. This allows you to insert the physical models and parameters to process flowfiles for many different split conditions. A single Dios Rules files is merged with many Mergerfiles, each representing one of the process split conditions, which were previously preprocessedby Sentaurus Workbench. Dios prologue on page 221 describes how to configure the Diosprologue of Sentaurus Workbench for an automatic merging of preprocessed process flow files.

Inserting parameters directly

Guidelines

The calibrated parameters can be used without using the Merger tool for merging a processflow with an Advanced Calibration file. In this case, the model switches and parameters arewritten directly in the input files of the process simulator. This approach is less convenient andelegant than a complete separation between the process flow in one file and the physicalparameters in another file.

Users who want to merge the complete information of an Advanced Calibration file to the Diosinput file by hand should proceed in the following way:

■ Insert the contents of the gridblock at the beginning of the Dios input file.

■ Insert the contents of the startblock immediately after the substrate command.

■ Check for all diffusion and implantation commands of the process flow if one or severalpatterns of the pattern-actions section of the Advanced Calibration file apply. If yes, insertthe corresponding parameter values at the corresponding diffusion or implantationcommand, in the way specified by the corresponding pattern-action.

Advanced Calibration User Guide 143A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 162: Advanced Calibration User

10: Using Advanced Calibration files of Dios Inserting parameters directly

www.cThe do

One-dimensional example: Direct use of Advanced Calibration parameters

In this section, a simple example shows how the parameters can be used by direct entries intoa Dios input file. Consider an arsenic implantation into a flat wafer with a natural oxide layer.The process information can be described by three Dios commands:

subs(elem=B concentration=1e16) ! p-type substratedepo(mat=oxide, thick=0.0015) ! natural oxideimpl(elem=as,energy=30,dose=2e14,tilt=0) ! implantation

In this example, to make a complete Dios input file, the mesh and model information from theAdvanced Calibration file AdvCal_1d_tables_2005.10.dmr will merge with the abovethree lines, following the guidelines described in Guidelines on page 143.

First, it is necessary to insert the contents of the gridblock ofAdvCal_1d_tables_2005.10.dmr at the beginning of the Dios input file.

Next, the contents of the startblock of AdvCal_1d_tables_2005.10.dmr is insertedimmediately after the subs command of the Dios input file.

Finally, it is necessary to check, for all implantation and diffusion commands if patterns applyfrom the pattern-actions part of the file AdvCal_1d_tables_2005.10.dmr. In this simpleexample, there is only one implantation statement. By checking the list of pattern-actions, youcan find the following two pattern-actions, which apply to the arsenic implantation underconsideration:

Condition (1) if ( implantation && element == As ) {insert {vfactor=0.1*$energy/(20+$energy)}append {diff:(siox(as(sg0=700/(20+$energy)*($dose+1e15)/($dose+5e14))))}

}Condition (1) if ( implantation && element == As && dose>=2e13 && dose<3e14 ) {

insert {table="As_1e12-5e13.tab" $channel}}

By applying these two pattern-actions, the line:

impl(elem=as,energy=30,dose=2e14,tilt=0)

is modified to:

impl(elem=as,energy=30,dose=2e14,tilt=0,vfactor=0.06 table=”As_1e12-5e13.tab$channel) diff:(siox(as(sg0=24)))

144 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 163: Advanced Calibration User

10: Using Advanced Calibration files of DiosInserting parameters directly

www.cThe do

This illustrates how the pattern-actions work: The insert action will insert parameters directlyinto the implantation statement, and the append action will put a Dios command immediatelyafter the implantation statement. The numeric expressions that depend on implantation energyand dose ($energy, $dose) are evaluated.

channel is a Dios variable, which is initialized in the startblock ofAdvCal_1d_tables_2005.10.dmr as an empty string.

The completely merged Dios input file will look like this:

content of the gridblocksubs(elem=B concentration=1e16)content of the startblockdepo(mat=oxide, thick=0.0015)impl(elem=as,energy=30,dose=2e14,tilt=0,vfactor=0.06 table=”As_1e12-5e13.tab

$channel)diff:(siox(as(sg0=24)))

Here ‘content of the gridblock’ and ‘content of the startblock’ represent allDios lines of the gridblock and the startblock, respectively, of the fileAdvCal_1d_tables_2005.10.dmr.

Implantation lookup tables

By direct insertion, it is possible to insert only a part of the calibrated parameters, for example,only those parameters that are related to implantation, but not the diffusion parameters.

This is recommended for the process simulation of technologies, for which the pair diffusionmodel used in the Advanced Calibration is too time-consuming. You can select your owndiffusion models, but you can still benefit from the high accuracy of the Advanced Calibrationimplantation tables, which are based on calibrated Monte Carlo simulations [1].

To use one of the tables in Dios, specify its name in the implantation command, accordingto the implantation species and dose. Examples are:

impl(elem=as, energy=200, dose=1.2e13, tilt=0, table="As_1e12-5e13.tab")impl(elem=B, energy=20, dose=2e15, tilt=0, table="B_16e13-8e15_2003.tab")

The Advanced Calibration implantation tables cover the materials silicon, polysilicon, oxide,and nitride. If other materials are present in a device (for example, resist), the Dios defaulttables are used.

For silicon, dual Pearson functions are used with parameters depending on energy, tilt angle( – ), dose, and oxide thickness. For the other materials, single Pearson functions are0° 60°

Advanced Calibration User Guide 145A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 164: Advanced Calibration User

10: Using Advanced Calibration files of Dios Crystal-TRIM or implantation tables?

www.cThe do

used with parameters depending on implantation energy and tilt. Each table covers oneimplantation species and a limited dose range.

Table 4 lists the most accurate tables available in Dios. The first part of the name specifies theimplantation species; the second part gives the dose range for which the channeling isdescribed accurately. Since the first version [1], improved tables have been created for B, BF2,and P.

Crystal-TRIM or implantation tables?

In Table 5, the two alternatives for simulating ion implantation are compared. Only theas-implanted profiles and, in some cases, the amorphization depth are affected by the choice ofthe implantation method, while all diffusion parameters are the same for both parameter files.

Table 4 Tables for analytic implantation

Implantation species

Energy range [eV]

Low dose Medium dose High dose

As 0.5–400 As_1e12-5e13.tab As_1e13-8e14.tab As_2e14-6e15.tab

B 0.2–517 (silicon)0.2–480 (other materials)

B_1e12-4e13_2003.tab B_1e13-6e14_2003.tab B_16e13-8e15_2003.tab

BF2 0.5–400 BF2_1e12-5e13.tab BF2_1e13-8e14.tab BF2_2e14-6e15_2005.tab

P 0.3–3000 P_1e12-4e13_2004.tab P_1e13-6e14_2004.tab P_16e13-8e15_2004.tab

In 1.0–400 In_1e12-4e13.tab In_1e13-6e14.tab In_16e13-8e15.tab

Sb 1.5–600 Sb_1e12-5e13.tab Sb_1e13-5e14.tab Sb_2e14-1e16.tab

Ge 1–50 – Ge_5e13-5e15.tab

Table 5 Crystal-TRIM versus lookup tables

Crystal-TRIM Implantation tables

Long CPU time (especially for high energies) Fast simulation of as-implanted profiles

A small statistical noise cannot be completely avoided No statistical noise (important for a sensitivity analysis with respect to small changes of process parameters)

Accurate simulations in arbitrary 2D structures Limited accuracy for lateral straggling in 2D structures

Physics-based crystal damage accumulation for subsequent implantations

Effect of preceding implantations on ion channeling is taken into account by relatively crude models

No limitation regarding energies Not calibrated for very high and extremely low implantation energies

146 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 165: Advanced Calibration User

10: Using Advanced Calibration files of DiosReferences

www.cThe do

The main disadvantage of using Crystal-TRIM is the CPU time needed to perform thesimulation of an implantation, in particular, for high implantation energy. It can be lowered byreducing the number of particles, but this will also reduce the accuracy of the Monte Carlosimulation.

The tables were generated [1] with the help of Crystal-TRIM, using the same Crystal-TRIMparameters as in the file AdvCal_1d_CTRIM_2005.10.dmr. Therefore, they will usually giveapproximately the same as-implanted profiles as Crystal-TRIM, with the following exceptions:

■ In 2D implantations, the lateral straggling is less accurate in the analytic implantation. Incases where the lateral ion scattering is very important (for example, halo implantation intodeep submicron MOSFET structures), Crystal-TRIM will give more accurate results.

■ In subsequent implantations where the first implantation reduces the channeling of ionsduring the latter implantations.

■ In investigations of the influence of the rotation angle on the profile tail. In most of theimplantation tables, the influence of the rotation is ignored.

It is possible to create a calibration file, which combines the use of Crystal-TRIM and analytictables. For example, in a CMOS flow, it is reasonable to perform the well and source–drainimplantation with the implantation tables, and the extension implantation with Crystal-TRIM.In subsequent implantations without in-between diffusion steps, it is recommended to useeither Crystal-TRIM for all implantations or analytic tables for all implantations, because theway Crystal-TRIM represents the crystal damage is ignored by analytic implantations and viceversa.

References

[1] C. Zechner et al., “New Implantation Tables for B, BF2, P, As, In and Sb,” in 14thInternational Conference on Ion Implantation Technology (IIT), Taos, NM, USA,pp. 567–570, September 2002.

Advanced Calibration User Guide 147A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 166: Advanced Calibration User

10: Using Advanced Calibration files of Dios References

www.cThe do

148 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 167: Advanced Calibration User

www.cThe do

CHAPTER 11 Contents of Advanced Calibration files of Dios

This chapter explains the physical models and parameters used inthe Advanced Calibration for Dios.

Overview

The models are mostly the same for the four calibration files:

■ AdvCal_1d_tables_2005.10.dmr

■ AdvCal_1d_CTRIM_2005.10.dmr

■ AdvCal_2d_nMOS_2005.10.dmr

■ AdvCal_2d_pMOS_2005.10.dmr

The basic choice of models for all files is described in Equation and model system of DiosAdvanced Calibration on page 150.

The files AdvCal_1d_tables_2005.10.dmr and AdvCal_1d_CTRIM_2005.10.dmrcontain in their gridblocks Dios commands for a 1D process simulation mesh. The filesAdvCal_2d_nMOS_2005.10.dmr and AdvCal_2d_pMOS_2005.10.dmr have emptygridblocks because, for 2D simulations, it is not possible to define an optimized mesh for allpossible applications.

In the remaining sections, the physical models and parameters in the startblock and the pattern-actions of the Advanced Calibration files are explained. In Contents ofAdvCal_1d_tables_2005.10.dmr on page 151, a complete explanation is given for the fileAdvCal_1d_tables_2005.10.dmr.

In Parameters for Monte Carlo implantation on page 166, the Monte Carlo implantationparameters used in AdvCal_1d_CTRIM_2005.10.dmr are explained.

Finally, in Parameters for NMOS and PMOS simulations on page 172, the special modelswitches and parameters are presented, which are set for the typical needs of NMOS andPMOS simulations in AdvCal_2d_nMOS_2005.10.dmr andAdvCal_2d_pMOS_2005.10.dmr.

Advanced Calibration User Guide 149A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 168: Advanced Calibration User

11: Contents of Advanced Calibration files of Dios Equation and model system of Dios Advanced Calibration

www.cThe do

Two general remarks apply to all physical models and parameters used in the Dios AdvancedCalibration:

■ In Dios, an implantation or a diffusion command with a colon is not a process step, butserves to change default parameter values. For example, the command lineimpl:(dacc=0.8) sets dacc to 0.8 for the subsequent implantations. To use a parametervalue only for a single implantation or diffusion command, it can be written directly intothe command without a colon, for example: impl(element=as, energy=20keV,tilt=0, dose=1e14, dacc=0.8).

■ All model switches and parameters that are not set in the calibration file have the Diosdefault values.

The meaning of all parameters used in the Dios Advanced Calibration are also in the Dios UserGuide.

Equation and model system of Dios Advanced Calibration

Advanced Calibration is based on the following selection of implantation and diffusionmodels:

■ Pair diffusion framework: In silicon, the diffusing species are point defects (interstitials andvacancies) and pairs of a dopant atom and a point defect.

■ Monte Carlo simulation of all implantations or use of analytic tables, which have beencalibrated to the results of Monte Carlo simulations.

■ ‘damage+x’ model for the initial interstitial and vacancy distribution.

■ Equilibrium model for the clustering of interstitials (no distinction between {311},boron–interstitial clusters, and other cluster types).

■ First-order reaction equations for dopant impurities: There is only one reaction equationper impurity, which governs the relationship between active and total concentrations.

■ Dopant segregation at interfaces.

■ Dopant diffusion in all materials.

■ Dose loss model based on segregation into oxide layer on top of silicon or segregation intothe interface layer between oxide and silicon (three-phase segregation model).

150 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 169: Advanced Calibration User

11: Contents of Advanced Calibration files of DiosContents of AdvCal_1d_tables_2005.10.dmr

www.cThe do

Contents of AdvCal_1d_tables_2005.10.dmr

Startblock

The startblock is inserted at the beginning of a Dios input file. In this part, model switches andparameters are set that are valid for the whole process simulation. Furthermore, some Diosvariables, which store information on the process history, are initialized.

Basic model selection

The first two lines of the startblock contain the selection of the most fundamental diffusionmodels. The pair diffusion model is used as the basic framework(moddiff=pairdiffusion). Diffusion is allowed in all materials (newdiff=1,sidiff=off) and segregation boundary conditions are solved (segregation=on). Allcalibrated parameters for diffusion and segregation are only valid for these basic modelselections.

The pair diffusion model is essential for the simulation of transient-enhanced diffusion (TED).Segregation boundary conditions are used to simulate the dose loss at silicon–oxide interfaces.

Oxidation-enhanced diffusion

The parameters:

diff:(si(i(pox0=2.7e-4 potox=-2)))

are used to model the increased interstitial concentration at moving boundaries of the siliconregion during oxidation. potox is used to model the dependence on the Fermi level and shouldnot be changed. pox0 can be considered as a fit parameter to describe the dependency ofoxidation enhanced diffusion on the oxidation rate.

Advanced Calibration User Guide 151A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 170: Advanced Calibration User

11: Contents of Advanced Calibration files of Dios Contents of AdvCal_1d_tables_2005.10.dmr

www.cThe do

Parameters for B diffusion and segregation

Diffusion in silicon

The diffusivity of B–I+ pairs has been substantially reduced with respect to the Dios defaultvalues:

diff:(si(b(dip0=1.25, di00=0.033, diow=3.26)))1

This was performed to obtain accurate profiles for boron ultrashallow junctions formed by low-energy B or BF2 implantation and rapid thermal annealing (RTA).

NOTE For long-time, high-temperature diffusions (for example, 30 minutes at), boron diffusion can be underestimated with

si(b(dip0=1.25)). For such conditions, often, better results areobtained with the Dios default value si(b(dip0=2.9618)).Similarly, for the annealing of NMOS well and channel implants, thedefault value si(b(dip0=2.9618)) often gives more accurate resultsthan the Advanced Calibration value.

si(b(di00, di0w)) plays a minor role compared to dip0. Since it is for neutral B–I pairs,it is more effective in the tail region, while dip0 strongly dominates B diffusion in highlydoped regions.

Clustering

The non-equilibrium clustering model is switched on for boron. After amorphizingimplantation, the initial active concentration of boron is assumed to be inrecrystallized regions. The solid solubility has been calibrated to *exp(–0.4593eV/kT), based on the analysis of ultrashallow junction formation of boron with SIMS data.

Diffusion after Ge preamorphization

The coupling factor diff:(si(b(q(ge=125)))) increases the diffusivity of boron inregions of high Ge concentration. This factor has been calibrated for ultrashallow junctionformation, where B is implanted and annealed after a preamorphizing Ge implantation.

1. Dios multiplies all diffusivities of dopant-interstitial pairs by a so-called interstitial efficiency factor ieffz,where z represents one of the charge states mm, m, 0, p, pp. Diffusivities of dopant-vacancy pairs are reduced by(1-ieffz). These factors are not changed from the default.

1000°C

3.5 1020× cm 3–

2 1022×

152 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 171: Advanced Calibration User

11: Contents of Advanced Calibration files of DiosContents of AdvCal_1d_tables_2005.10.dmr

www.cThe do

Dios uses the formula:

(2)

It should be noted that in epitaxial SiGe layers, a high concentration of Ge is expected to slowdown the diffusion of boron, and a negative value of the coupling parameter q is needed.

Dose loss

Dose loss of B and other dopants is caused by segregation of atoms from silicon into oxide.

ox(b(di0=0.01, diw=3.6)) fixes B diffusivity in oxide to a higher value than default.This increase is necessary because, with the default diffusivity, most of the B in oxide wouldstay on the first mesh point, which gives rise to numeric errors.

siox(b(stc0=1e27, stcw=6)) is the flux parameter. The relatively high value ensuresthat the segregation equilibrium is established quickly for high temperatures.

siox(b(sgw=0)) is the Arrhenius exponent of the segregation coefficient between siliconand oxide. The corresponding Arrhenius prefactor, diff:(siox(b(sg0=value))), isassumed to depend on the B or BF2 implantation conditions, on the annealing atmosphere, andon the process history. It will be set in a pattern-action connected to B implantation.

diff:(siox(b(sg0))) is the most important parameter for adjusting the amount of B doseloss.

Vacancy boundary conditions

Homogeneous Neumann boundary conditions (BCs) are used for vacancies for siliconsurfaces:

diff:(siox(v(bctyp=homneumann)))diff:(sini(v(bctyp=homneumann)))diff:(sigas(v(bctyp= homneumann)))

Dirichlet BCs or natural BCs with high recombination velocities are more realistic. NeumannBCs for V are used in the Dios Advanced Calibration to obtain accurate arsenic ultrashallowjunction profiles, by avoiding the following difficulty:

Dirichlet BCs would fix the concentration of V0 (neutral V) at the surface. In the Dios diffusionmodel, V0 is also in equilibrium with As–V pairs in all charge states. In a region of very highAs surface concentration, many vacancies will diffuse towards the bulk in these As–V pairs.By this mechanism, vacancies can be pumped from the surface region with high As

D Dunperturbed

0.042 Q CGe⋅ ⋅

kT 5e22cm3–⋅

------------------------------------⎝ ⎠⎜ ⎟⎛ ⎞

exp⋅=

Advanced Calibration User Guide 153A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 172: Advanced Calibration User

11: Contents of Advanced Calibration files of Dios Contents of AdvCal_1d_tables_2005.10.dmr

www.cThe do

concentrations into the bulk. If the vacancy (and As–V pair) concentration at the surface ismaintained by a Dirichlet BC, this chemical pump effect leads to a bulk vacancy concentrationhigher than thermal equilibrium. In combination with the As diffusion coefficients used in theDios Advanced Calibration, this would result in an overestimation of As diffusion incomparison to SIMS data.

It is possible to use realistic natural boundary conditions for V and still obtain accuratesimulation results for As diffusion. This is, for example, the case in the Advanced Calibrationof Sentaurus Process, which uses different parameters for As and V diffusivity. Unfortunately,it is impossible to perform an exact translation of the complete Advanced Calibration ofSentaurus Process into Dios syntax, because not all models are available in Dios.

NOTE Changes of point-defect BCs must be performed very carefully becausethe diffusion of all dopants can be affected.

Parameters for As diffusion and segregation

Diffusion and pairing with point defects

The following diffusion and pairing coefficients are changed from the default:

diff:(si(as(dimm0=800 dimmw=4.75dim0=12pimm0=3.6e-30 piwmm=-1.75pv00=2e-26pv0m=2e-26dvm0=3.dv00=0.02))

The most remarkable change with respect to the Dios default values is the nonzero value forthe diffusivity of As+ I – – pairs. These pairs are most likely to form in highly n-doped regions,where n/ni >> 1. Therefore, a diffusivity of As+ I – – pairs will give rise to As diffusion mainlyin very highly doped regions and, consequently, contributes to the creation of the well-knownbox-like shape of arsenic ultrashallow junction profiles. The activation energy for diffusion ofAs+ I – – pairs is set to a high value (4.75 eV), therefore, this contribution to diffusion becomesmost relevant for very high annealing temperatures.

Clustering

The commands:

diff:(si(as(modclust=transientaminit=2.5e20clurate0=2e13 cluratew=6.9cl0=5.5e25 clw=1.2)))

154 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 173: Advanced Calibration User

11: Contents of Advanced Calibration files of DiosContents of AdvCal_1d_tables_2005.10.dmr

www.cThe do

switch on the non-equilibrium clustering model for arsenic and set the solid solubility, theclustering rate, and the maximum initial substitutional concentration of As atoms inrecrystallized regions after amorphizing implants. The non-equilibrium model for arsenicclustering is necessary for the simulation of the clustering kinetics during short-time anneals.

Dose loss

ox(as(di0=0.01, diw=3.6)) fixes the As diffusivity in oxide to a higher value thandefault. This increase is necessary because, with the default diffusivity, most of the As in oxidewould stay on the first mesh point, which gives rise to numeric errors.

siox(as(stc0=1e11)) is the Arrhenius prefactor of the flux parameter. It is set to a veryhigh value to ensure that the segregation equilibrium between As in silicon and As in oxide isestablished quickly.

siox(as(sgw=0)) is the Arrhenius exponent of the segregation coefficient between siliconand oxide. The corresponding Arrhenius prefactor, diff:(siox(as(sg0=value))), isassumed to depend on the arsenic implantation conditions. It will be set in a pattern-actionconnected to As implantation.

Phosphorus diffusion and dose loss

Phosphorus clustering is switched off (modclust=no). This reduces the number of equationsto be solved and helps to speed up the simulation. Phosphorus clustering would only be neededat extremely high P concentrations.

Diffusion and pairing with point defects

Based on calibration with SIMS data, the following diffusion and pairing coefficients arechanged from the default:

diff:(si(p(pi00=1.8e-20 piw0=-1.125di00=1.568 diow=3.5645dip0=0.7)))

The coupling of P diffusivity to a high As concentration, which was used in earlier versions ofthe Dios Advanced Calibration, has been reset to 0 (q(as=0)).

Dose loss at Si–SiO2 interfaces

The segregation coefficient is set to 50 (sg0=50, sgw=0), meaning that P prefers to stay atthe oxide side of the silicon–oxide interface. The flux parameter is set to a high value(stc0=5e12), which ensures that the segregation equilibrium is reached very quickly.

Advanced Calibration User Guide 155A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 174: Advanced Calibration User

11: Contents of Advanced Calibration files of Dios Contents of AdvCal_1d_tables_2005.10.dmr

www.cThe do

As in the case of boron and arsenic, the diffusivity of P in oxide is increased with respect to theliterature value. This is necessary because, otherwise, most of the segregated P in oxide wouldremain near the first mesh point inside the oxide, which can cause a numeric error.

Indium diffusion and dose loss

For indium, the non-equilibrium clustering model is used (modclust=transient). This isneeded to reproduce indium peaks that may form after amorphizing indium implantation andsubsequent annealing at the original amorphous–crystalline interface.

The diffusivity (di00=1.568, di0w=3.5645, dip0=0) is set according to the literature [1].The pairing coefficient for In–I pairs (pi00=3.5e-27) has been calibrated with SIMS datafrom the simultaneous diffusion of B and In.

At the Si–SiO2 interface, indium atoms segregate into the oxide. The segregation coefficient(sg0=1e5, sgw=0) is set to a very high value, meaning that essentially all indium atoms thatreach the Si–SiO2 interface during annealing will exit the silicon.

Antimony diffusion and segregation

The diffusivity of Sb in silicon (dv00=0.2568) has been calibrated with SIMS data of Sb-implanted wafers that were annealed for a long time at high temperatures. The non-equilibriumclustering model is switched on for Sb (modclust=transient). Solid solubility (cl0) andthe clustering rate (clurate0, cluratew) have been calibrated with sheet resistance datafrom Sb-implanted wafers that were annealed for a long time at various temperatures.

General implantation defaults

Some general defaults are defined for ion implantation. These model settings and parametervalues are used for all implantation steps in which no other values are specified explicitly.

For each implantation step, it is possible that some of these defaults are overwritten byspecifying different models or parameter values as arguments of the implantation command.For example, in several pattern-actions for ion implantation, the value for the damage scalingfactor ifactor is specified as a function of implantation species, energy, and dose, andinserted directly as an argument into an individual implantation command. This is explained inmore detail in Pattern-actions on page 158.

In the startblock, the general implantation defaults are set by the commands:

impl:(damage=+1, itrapfactor=0, fitasimplanteddose=off)impl:(ifactor=1, vfactor=0, afactor=1, amorphization=hobler)

156 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 175: Advanced Calibration User

11: Contents of Advanced Calibration files of DiosContents of AdvCal_1d_tables_2005.10.dmr

www.cThe do

damage=+1 switches on the ‘damage+x’ model. The concentration of point defects afterimplantation is the product of the as-implanted dopant concentration and a factor (ifactorfor interstitials and vfactor for vacancies). ifactor is a very important parameter fortransient-enhanced diffusion (TED). Increasing ifactor increases the TED of boron.

itrapfactor=0 means that no interstitial traps are created by ion implantation.

fitasimplanteddose is a switch for a numeric correction. After implantation, the as-implanted dose is integrated. On coarse meshes, this dose may deviate from the expected dosedue to numeric errors. With fitasimplanteddose=on, the as-implanted profile is rescaledto match the specified dose exactly. With fitasimplanteddose=off, no such rescaling isperformed. The reason for switching off the rescaling is that, in 2D simulation, the mesh isusually fine in the important device regions and coarse in the less important regions. A constantrescaling of the dose in the whole device can transport a numeric error from the regions with acoarse mesh to regions with a fine mesh.

The model switch amorphization=hobler and the parameter afactor=1 mean that theamorphization by ion implantation is calculated with the analytic model developed by Hoblerand Selberherr [2] without additional scaling.

Initializing process history

The Advanced Calibration files use five Dios variables to track the process history. In thestartblock, these variables are initialized. In some pattern-actions, the value of these processhistory variables are used to calculate a physical model parameter depending on the processhistory. In some pattern-actions, for example preamorphizing implantations, the values of thevariables are changed.

The history variables have the following meaning:

■ sgfac is a coefficient that is used to calculate the boron segregation coefficient at theSi–SiO2 interface as a function of B implantation conditions. In the initialization, this factoris set to 1. sgfac is used in some of the pattern-actions for B and BF2 implantation. sgfacis reduced to 0.04 in a pattern-action for Si implantation, reflecting the experimentalobservation that boron dose loss is reduced in processes where the B implantation followsa preamorphization step by Si implantation.

■ SG_B is the default value for the boron segregation coefficient at the Si–SiO2 interface. Itwill be changed in the pattern-actions for low-energy B and BF2 implantation, to adjust theB dose loss.

■ after_PAI is a Boolean variable: 0 is the default value. After Ge or Si implantation steps,after_PAI will be set to 1 until the next diffusion command. It then indicates that apreamorphization step has been performed. The value of after_PAI is used in a pattern-action to calculate the initial boron activation after BF2 ion implantation.

Advanced Calibration User Guide 157A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 176: Advanced Calibration User

11: Contents of Advanced Calibration files of Dios Contents of AdvCal_1d_tables_2005.10.dmr

www.cThe do

■ PAI_cl0 is a string, which is inserted as an argument to all diffusion commands. Initially,it is an empty string and, therefore, has no effect. After some amorphizing implantations,PAI_CL0 will be redefined by pattern-actions. It then includes a redefinition of theinterstitial solid solubility diff:(si(i(cl0))), which is meant to reflect the existenceof more stable interstitial clusters (for example, dislocation loops) after amorphizing ionimplantation.

■ channel is a string, which is inserted as an argument to all implantation commands by apattern-action. By default, it is empty and has no effect. After preamorphizingimplantations, which create a lot of crystal damage, channel will be redefined in such away that insertion into an implantation command reduces the ion channeling. Afterdiffusion, channel is always reset to an empty string.

NOTE To change the value of a previously defined Dios variable, set thisvariable to undefined in a first step and to the required value in asecond step, for example, set after_PAI=undefined followed byset after_PAI=1.

Pattern-actions

The final part of the Advanced Calibration files is a list of pattern-actions. Each pattern-actionconsists of a pattern and a list of actions. The pattern is checked against all lines of the processflow file, which is to be merged with the calibration file. For each line to which the patternapplies, the actions are performed. These may include:

■ Inserting arguments into the process flow command.

■ Prepending lines before the process flow command line.

■ Appending lines after the process flow command line.

The patterns and actions supported by the Merger application are explained in Appendix A onpage 197. In this section, the pattern-actions of AdvCal_1d_tables_2005.10.dmr areexplained, following the order in the Advanced Calibration file.

Pattern-actions for B implantation

The interstitial scaling factor ifactor is set as a function of B implantation energy and dose.ifactor is inserted into the B implantation statement. For high energies and low doses,ifactor is approximately 1. For small energies and high doses, ifactor is reduced in orderto obtain less TED of boron. This is needed to obtain a good agreement with SIMS data fromboron ultrashallow junction (USJ) formation.

The process variable SG_B, which represents the B segregation coefficient at the Si–SiO2

interface for inert annealing, is set as a function of implantation energy and the process variable

158 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 177: Advanced Calibration User

11: Contents of Advanced Calibration files of DiosContents of AdvCal_1d_tables_2005.10.dmr

www.cThe do

sgfac. In most cases, sgfac is 1.0 and SG_B is defined as 60*1*($energy+1.)/($energy+0.3). Hence, SG_B is 60 for high implantation energies and even higher for lowimplantation energies. Such high values for the boron segregation coefficients are needed tomodel the B dose loss during formation of p-type ultrashallow junctions. At a later time, to setthe Dios parameter diff:(si(b(sg0))), SG_B will be multiplied by a correction factor,which depends on the oxygen partial pressure and is 1 for inert atmosphere.

acinit, which specifies the initial active concentration of as-implanted dopants in non-amorphized regions, is set as a function of B implantation energy, according to the formula:

$energy<5.0: acinit=3e17+$dose/5e14*(1.5e18-2.4e17*$energy)$energy>=5.0: acinit=3e17

Implanted B atoms in excess to acinit are assumed to be in B clusters at the beginning of theannealing. Increasing acinit also increases B diffusion, because more B is available for B–Ipairs at the beginning of an anneal, when the interstitial supersaturation is highest.

Further pattern-actions for boron, which select the implantation table, are explained in Pattern-actions for selecting implantation lookup table and ion channeling on page 165.

Pattern-actions for BF2 implantation

After BF2 implantation, acinit is set to .

diff:(si(b(aminit))) specifies the initial substitutional concentration of boron in regionsthat are recrystallized after amorphization. It depends on the value of the process historyvariable after_PAI and is set as a function of implantation energy:

■ For after_PAI=0 (in most cases): aminit=3.5e20

■ For after_PAI=1 (after Si or Ge preamorphization): aminit=1.6e20/$energy**2

After preamorphization, a smaller value of aminit is needed to fit simulation results to SIMSdata measured after rapid thermal annealing.

SG_B, which is used as the B segregation coefficient at the Si–SiO2 boundary for inertannealing, is set as a function of implantation energy and the history variable sgfac. After BF2

implantation, SG_B is set to even higher values than after B implantation. This reflects theobservation that there is more dose loss after BF2 implantation and annealing, possibly due tothe presence of F atoms at the Si–SiO2 interface.

3 1017× cm 3–

Advanced Calibration User Guide 159A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 178: Advanced Calibration User

11: Contents of Advanced Calibration files of Dios Contents of AdvCal_1d_tables_2005.10.dmr

www.cThe do

NOTE Setting different values for the same diffusion parameters (for example,acinit or siox(b(sg0))) in subsequent implantation commandsleads to problems. This may occur frequently in the simulation of 2Dstructures with many implantation steps. In general, the parameters setin the first implantation will be overwritten by the second implantation,which can reduce the accuracy of the simulation. Consistency ofparameters on page 194 discusses this situation.

ifactor is reduced to ifactor=0.06*($energy-0.5) for BF2 implantation energiessmaller than 17.16 keV. This is necessary in order to obtain a good agreement with SIMS datafrom BF2 USJ formation. For higher implantation energies, ifactor is not explicitlyspecified. The default value 1.0, which has been set in the startblock ofAdvCal_1d_tables_2005.10.dmr, is used.

Further pattern-actions for BF2 that select the implantation table are explained in Pattern-actions for selecting implantation lookup table and ion channeling on page 165.

Pattern-actions for arsenic implantation

For arsenic implantation, a vfactor larger than 0 is inserted into the implantation command.This factor is specified as vfactor=0.1*$energy/(20+$energy) in most cases and byvfactor=0.1*$energy*$energy for As implantations with energy less than 1 keV.

The interstitial plus-factor ifactor is changed from its default value 1 only for very lowimplantation energies. As a smooth function of implantation energy and dose, ifactor isreduced for energies less than 1 keV.

The segregation coefficient at the Si–SiO2 interface, diff:(siox(as(sg0))), is defined asa function of As implantation energy and dose by the line:

append {diff:(siox(as(sg0=700/(20+$energy)*(($dose+1e15)/($dose+5e14))))}

The lower the implantation energy, the higher the As segregation coefficient, as in the case ofboron. The above formula has been calibrated with SIMS data from ultrashallow As profiles.

For arsenic energies smaller than 25 keV, which are typical for NMOS source–drain extensionor source–drain formation, two additional actions are implemented:

■ si(i(cl0, clw)) is the Arrhenius-type solid solubility of interstitials. In theequilibrium clustering model for interstitials, which is used by default, the interstitials thatare not bound to dopants are shared between small clusters and free interstitials. A lowvalue of cl0 decreases the share of the free interstitials and leads to a slower dissolution ofinterstitial clusters. This usually leads to less TED, particularly in the tail region.diff:(si(i(cl0))) is set to cl0=5e22+9e23*1e13/(1e13+$dose) after arsenicimplantation. For high implantation doses, this is much lower than the Dios default

160 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 179: Advanced Calibration User

11: Contents of Advanced Calibration files of DiosContents of AdvCal_1d_tables_2005.10.dmr

www.cThe do

(cl0=9.45e23). Low values of si(i(cl0)) are used to mimic the slow release ofinterstitials from extended defect clusters such as {311} defects or dislocation loops, whichare not simulated explicitly.

■ The initial activation of As in non-amorphized regions depends on the implantation doseby the formula acinit=4e18*$dose/5e14*$dose/5e14.

Further pattern-actions for arsenic that select the implantation table are explained in Pattern-actions for selecting implantation lookup table and ion channeling on page 165.

Pattern-actions for indium implantation

After indium implantation, the initial concentration of active dopants in non-amorphizedregions is set to acinit=2.0e18.

Amorphization

As a peculiarity of indium implantation, the Hobler model is not used to calculate theamorphization. Instead, the arguments:

threshold=1.15e22, amorphization=+1, afactor=1200

are inserted into the implantation statement by an action-pattern. This means thatamorphization of silicon occurs in regions where the concentration of as-implanted indium,multiplied by the factor 1200, exceeds the threshold value . This definitionserves to create a buried amorphous layer for medium-dose indium implantations and todescribe the double-peak phenomenon of indium profiles, which is discussed in the following.

Double peak

SIMS profiles that are measured after indium implantation and annealing show two indiumpeaks under certain experimental conditions. This double-peak phenomenon is not observedfor other dopants and is illustrated in Figure 19 on page 162. The double peak is due to a buriedamorphous layer generating special initial conditions for diffusion or to defect bands locatedat the ac-interface. Noda [3] assumes that defects concentrated in bands close to the ac-interfaces are mainly responsible for the double-peak phenomenon. In this case, indium istrapped and deactivated at the defects, forming a peak in the region of the highest defectconcentration.

111.15 1022× cm 3–

Advanced Calibration User Guide 161A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 180: Advanced Calibration User

11: Contents of Advanced Calibration files of Dios Contents of AdvCal_1d_tables_2005.10.dmr

www.cThe do

Figure 19 Double-peak phenomena (dose > 4 x 1013 cm–2)

In the Advanced Calibration model, the double peak is caused by differences in the initialconditions for diffusion in crystalline and amorphous layers. In the amorphous layer,recrystallization renders a high initial active concentration of indium (by default,si(in(aminit=5e22))) and a low interstitial concentration; whereas in the crystalline part,the initial indium activation is moderate (acinit=2e18) and the initial concentration ofinterstitials from implantation damage is high. The interstitials diffuse very quickly into theformer amorphous layer, where the indium is already active and ready for pairing. In this way,indium diffusion in the amorphous layer is much higher than in the crystalline part.

In situations, where the amorphized region extends from the silicon surface to some depth, onlya single peak is formed. The sharp transition between amorphous and crystalline regions leadsto a spike shape of the indium peaks.

Indium dose loss

In the indium SIMS profiles for energies lower than 150 keV, an anomalous dose loss behavioris observed [4]. The indium peak concentration in silicon after annealing does not scale linearlywith the dose. Instead, for low energies (approximately 50 keV), increasing the indium dose atlevels above mainly adds to the indium dose in oxide.

Depth

Co

nce

ntr

atio

n

Defect band below ac interface

Defect band between surface and first ac interface Crystalline and amorphous pockets

Buried amorphous layer

Dose > 4x1013 cm-2

Dose < 4x1013 cm-2

3 1013× cm 2–

162 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 181: Advanced Calibration User

11: Contents of Advanced Calibration files of DiosContents of AdvCal_1d_tables_2005.10.dmr

www.cThe do

The physical reason for this is that indium atoms are swept out during epitaxial regrowth of theamorphized layer [5]. As the epitaxial regrowth is not explicitly modeled in the Dios diffusionmodels, the indium dose loss is increased by introducing an increased indium diffusivity closeto the surface, by adding the following command lines after indium implantations with doseslarger than :

diff:(si(n(di00=0,dip0=0,dv00=0,dvp0=0,dvpp0=0) in(q(n=1.5e4))))impl(element=N, dose=$dose, energy=$energy, tilt=$tilt,

rot=$rotation, amorphization=no, damage=no,si(rp=5nm stdv=0.8*$energynm gamma=-1 beta=5) function=P4)

To increase the diffusivity close to the surface, an artificial immobile impurity (nitrogen) isused and the In diffusivity is coupled to the N concentration. In this way, it is possible to changethe diffusivity in a specific region without introducing a new material. Nitrogen was chosenbecause it is electrically neutral and is not usually used in process simulations.

The parameters for the artificial N implantation, si(rp=5nm stdv=0.8*$energynmgamma=-1 beta=5), and N–In coupling, si(in(q(n=1.5e4))), are calibrated for Inenergies between 50 keV and 150 keV, and doses between and .

Further pattern-actions for In that select the implantation table according to the indium doseare explained in Pattern-actions for selecting implantation lookup table and ion channeling onpage 165.

Pattern-actions for antimony implantation

After Sb implantation, the parameter acinit is set to . Further pattern-actions thatselect the implantation table according to the implantation dose are explained in Pattern-actionsfor selecting implantation lookup table and ion channeling.

Pattern-actions for Si and Ge implantation

Si and Ge implantation are mainly used for amorphizing the surface–near silicon region. Twoactions are defined for Si implantation only:

■ For silicon implantation, ifactor is set to 0. This is reasonable because the implanted Siatoms are considered to be self-interstitials by Dios. Instead, using the value ifactor=1means that each as-implanted silicon atom is counted twice.

■ For silicon implantation, the process history variable sgfac is reduced from 1 to 0.04. Asa consequence, the B segregation coefficient, which will be set in the pattern-action for asubsequent B or BF2 implantation, will be reduced. This reflects the observation thatpreamorphization by Si implantation may lead to a reduced dose loss in B ultrashallowjunction formation.

2.5 1013× cm 2–

2 1013× cm 2– 1 1014× cm 2–

1 1016×

Advanced Calibration User Guide 163A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 182: Advanced Calibration User

11: Contents of Advanced Calibration files of Dios Contents of AdvCal_1d_tables_2005.10.dmr

www.cThe do

Four pattern-actions are defined for both Si and Ge implantation commands:

■ An increased value of afactor is inserted: afactor=1+$energy/(50+$energy). Thisincreases the thickness of the amorphized layer after Si or Ge implantation. The formulahas been calibrated with SIMS data for low-energy B implantation and annealing afterpreamorphization by Si or Ge implantation.

■ The Boolean process history variable after_PAI is set to 1. This indicates that apreamorphization implant has occurred. In the next diffusion step, after_PAI will bereset to its default value 0.

■ The string PAI_CL0 is redefined by:

set PAI_CL0=diff:(si(i(cl0=3e22*2.5e19/(2.5e19+$dose*$dose) +1.9e37/(2e13+$dose)*(5+$energy)/$energy)))

This string is prepended to all subsequent diffusion commands. The interstitial solidsolubility is reduced for the rest of the process simulation. This reflects the observation thatdislocation loops form after amorphizing Si or Ge implantation. Dislocation loops are themost stable form of interstitial clusters and can survive annealing steps with a low thermalbudget.

■ The string channel is redefined by:

set channel=si(channelingdose=2e12*$energy/(1+$energy) \+5e13/(1+$energy), totaldose=5e14)

This string is inserted in subsequent implantation commands. As a consequence, thetabulated ion channeling dose in implantation steps following a Si or Ge implantation isoverwritten and, in most cases, reduced. It does not matter if the parameter totaldose isdifferent from the dose used in the implantation. The maximum fraction of ions describedby the second Pearson function is determined by the quotient of channelingdose/totaldose. In the next diffusion step, channel will be reset to an empty string reflectingthe fact that the amorphized region will be recrystallized and most of the crystal damagewill be removed.

The formula has been calibrated with SIMS profiles measured after Ge implantation andsubsequent B implantation. In total, the Dios variable channel helps to take into accountthe effect of preceding implantations on ion channeling for analytic implantations. Ofcourse, the method is unsophisticated. A more accurate treatment of this effect requires theuse of Crystal-TRIM for implantation.

164 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 183: Advanced Calibration User

11: Contents of Advanced Calibration files of DiosContents of AdvCal_1d_tables_2005.10.dmr

www.cThe do

Pattern-actions for diffusion steps

Three pattern-actions are used to adjust the maximum time step to the total diffusion time, asa good compromise between numeric accuracy and CPU time.

In addition, the following actions are performed for all diffusion commands in the processflow:

■ Prepend {$PAI_CL0}

Initially, PAI_CL0 is an empty string and, therefore, $PAI_CL0 is an empty Dios commandline without any effect. After Si or Ge implantation, due to a pattern-action, PAI_CL0 willbe set to a Dios command, which reduces the value of the interstitial solid solubility. In this,case the Dios command line $PAI_CL0 will act to stabilize the interstitial clusters and slowdown TED.

■ insert {siox(B(sg0=$SG_B*(0.0005+$po2)/(0.0005+2.2*$po2)))}

This line inserts the B segregation coefficient into the diffusion command. For inertatmosphere, it is given by the Dios variable SG_B, which is set in the startblock andadjusted in pattern-actions after each B or BF2 implantation. For (dry) oxidizingatmosphere, the segregation coefficient is reduced by a factor that depends on the partialpressure po2 of O2 in the atmosphere.

■ set after_PAI=0

The Dios variable after_PAI used to track the process history is reset to 0.

■ set channel=

The Dios variable channel is set to an empty string. This means that ion channeling is nolonger suppressed by crystal damage from preceding implantations, because this damageis removed during diffusion.

Pattern-actions for selecting implantation lookup table and ion channeling

In the remaining pattern-actions, the implantation lookup table to be used in the implantationstatement is chosen according to the species to be implanted and the dose. For each of thedopants B, In, P, As, BF2, and Sb, different lookup tables exist for low-dose, medium-dose, andhigh-dose implantation.

Furthermore, the string $channel is inserted to the implantation command. In most cases,$channel is an empty string and the insertion has no effect. However, after Si or Geimplantation, which is usually used for preamorphization, channel is set to an expression thatwill reduce the ion channeling in the subsequent implantations, until channel is eventuallyreset to an empty string at the next diffusion step.

Advanced Calibration User Guide 165A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 184: Advanced Calibration User

11: Contents of Advanced Calibration files of Dios Parameters for Monte Carlo implantation

www.cThe do

Parameters for Monte Carlo implantation

This section contains a description of the model switches and parameters needed to be set foraccurate Monte Carlo ion implantation. All these switches are contained in the AdvancedCalibration parameter file AdvCal_1d_CTRIM_2005.10.dmr.

Basic choice of models and parameters

Monte Carlo ion implantation is switched on by the model switch:

impl:(function=newCrystalTRIM)

Furthermore, in the startblock of AdvCal_1d_CTRIM_2005.10.dmr, the following generalimplantation defaults are set related to Monte Carlo ion implantation:

impl:(polyOri=0, amorphization=mcdamage, particles=6000)

The switch polyOri=0 has the effect that polysilicon is treated as amorphous duringimplantation. The alternative, polyOri=110, usually leads to an overestimation of dopantchanneling in polysilicon.

amorphization=mcdamage means that the damage accumulated during Monte Carlosimulation is used to determine which part of the silicon is amorphous after the implantation.For most implantation conditions, this gives approximately the same amorphization depth asthe Hobler model [2], which is used for analytic implantation.

particles is the number of ions to be implanted in each implantation column. The CPU timeneeded to perform a Monte Carlo implantation increases linearly with the number of particlesimplanted. The statistical noise decreases with the square root of the number of particles. Forhigh-energy ion implantation, more CPU time is needed for Monte Carlo implantation, becauseeach particle has more collisions with silicon atoms until it comes to rest. Therefore, lowervalues of particles are used for high-energy ion implantation. This is implemented in thepattern-actions of AdvCal_1d_CTRIM_2005.10.dmr.

166 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 185: Advanced Calibration User

11: Contents of Advanced Calibration files of DiosParameters for Monte Carlo implantation

www.cThe do

Parameters governing as-implanted profile

The following physical parameters are used to obtain accurate as-implanted profiles withCrystal-TRIM:

■ Interatomic potential: lambda

■ Electronic stopping: cex1, cex2

■ Damage accumulation: dacc

■ Amorphization/damage saturation: dcrit

■ Free path length in amorphous silicon: amav, amdev

These parameters depend on the species and implantation condition and are specified in thepattern-actions of AdvCal_1d_CTRIM_2005.10.dmr.

During calibration, the above parameters have been separated in a four-step procedure:

1. The depth of the profile peak has been adjusted by a fine-tuning of the parameter lambda,which scales the interatomic potential between implanted ions and silicon atoms (seeFigure 20 on page 168).

2. For very low doses (in the case of B implantation, also for medium doses), the damageaccumulation has only a small effect on the as-implanted profiles. The electronic stopping(cex1, cex2) has been calibrated.

3. dacc has been adjusted with SIMS profiles for medium doses, which are still too low foramorphization.

4. dcrit, amav, and amdev are only relevant for very high doses or preamorphization.

In the following, the calibration of the Crystal-TRIM parameters is discussed in detail. Themain focus is an accurate description of ion channeling.

Interatomic potential

The correction factor lambda can be used to shift the peak position of as-implanted profiles.It is the only parameter that is effective for this purpose. Advanced Calibration contains acalibration of lambda as a function of the implantation energy for B and P implantation. Theaccuracy of high-energy B and P implantations has been significantly improved by thecalibration of lambda.

Advanced Calibration User Guide 167A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 186: Advanced Calibration User

11: Contents of Advanced Calibration files of Dios Parameters for Monte Carlo implantation

www.cThe do

Figure 20 Influence of parameter lambda on as-implanted B profiles; simulations are performed for a 1013 cm–2 B implantation with energy=120 keV and tilt=7. In Dios, the default value of lambda for B implantation is 1.01 for all energies.

Electronic energy loss

Crystal-TRIM uses the parameters cex1 and cex2 in a modified Oen–Robinson formula forthe electronic energy loss in a binary collision [6]:

(3)

where is the distance of closest approach in a binary collision. The function is the ZBL electronic stopping cross section [7], which is successfully used in the simulationof ion implantation into amorphous solids in the standard TRIM program [8]. P is the impactparameter and is the maximum impact parameter in the Crystal-TRIM binary collisioncode. The fit parameter takes the value of either cex1 for directions close to the <110>direction or cex2, otherwise.

According to this formula, the electronic energy loss is reduced for large impact parameters, asis the case of channeling ions. This reduction can be refined with the parameters cex1 andcex2. The values of cex1 and cex2 may depend on the implantation energy.

For implantations into (100) silicon with tilt angle 0 and energies greater than 5 keV, thechanneling in the <100> direction predominates, and only a very small fraction of ions isscattered in the <110> direction. For very low energies, it has been shown [9] that thechanneling in <110> prevails. For low implantation energies, a fraction of ions can be scatteredin the <110> direction when passing a thin layer of native oxide.

lambda = 1.10

lambda = 1.01 (default)

Depth [nm]

0 200 400 600 800

Con

cent

ratio

n [c

m-3

]

1015

1016

1017

EelΔ SelZBL exp Cel 0.3 R0 E.P( ) a⁄⋅ ⋅–( )

2πP dP

0

Pmax

∫ exp Cel 0.3 R0 E.P( ) a⁄⋅ ⋅–( )⋅

----------------------------------------------------------------------------------------------------⋅∼

R0 E,P( ) SelZBL(E)

Pmax

Cel

168 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 187: Advanced Calibration User

11: Contents of Advanced Calibration files of DiosParameters for Monte Carlo implantation

www.cThe do

In the course of calibrating B and BF2 profiles, cex1 was adjusted to achieve a fit with USJSIMS profiles for several energies. Then, an energy-dependent fit formula was found, whichgives good fits for all low-energy implantations, down to 0.2 keV in the case of boron. Thecalibration result is illustrated in Figure 21 and Figure 22, both taken from [10].

According to Figure 21, for implantations through 1.5 nm cap oxide, the channeling in the<110> direction becomes dominant for B energies below 1 keV. In Figure 22, the calibrationof cex1 is most relevant for the far tail region. The fraction of ions scattered in the <110>direction is small, but the channeling of these ions is large. It should be noted that the ionchanneling in the <110> and <111> directions has not been calibrated accurately for all implantconditions, due to lack of suitable SIMS data to perform the calibration.

Figure 21 Relative importance of <110> channeling with respect to total channeling. Data points are calculated from the depth at which B concentration is 1018 cm–3 for a 1015 cm–2 B implantation with tilt=0. The substrate orientation is <100>.

Figure 22 Comparison of a SIMS profile to Crystal-TRIM results before and after calibration for B implantation at 500 eV through native oxide at tilt angle 0 into silicon with <100> orientation. The change of cex1 is mainly responsible for the difference1. Changing the electronic energy loss results primarily in a bending of the tail in the logarithmic diagram.

1. Instead of increasing cex1, a similar effect on the profile tail can be obtained by assuming an energycontamination of 2% 2 keV ions. However, the energy contamination of the implanter used was specified to be lessthan 0.5%.

1.5 nm oxide

No oxide

Boron, 1015 cm2

Implantation Energy [keV]

0 2

Frac

tion

<11

0> C

hann

elin

g

0

0.5

1

1

SIMS measurement

Crystal-TRIM after calibration

Crystal-TRIM before calibration

Depth [nm]0 10 20 30 40

Con

cent

ratio

n [c

m-3

]

1017

1018

1019

1020

1021

Advanced Calibration User Guide 169A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 188: Advanced Calibration User

11: Contents of Advanced Calibration files of Dios Parameters for Monte Carlo implantation

www.cThe do

Damage accumulation (dacc)

Figure 23 Influence of dacc on tail of as-implanted Sb profile (100 keV Sb, dose 1014 cm–2, normal incidence, 5.4 nm capping oxide)

During implantation, the ions create crystal damage, which reduces the channeling forsubsequent ions. The amount of crystal damage created is multiplied by dacc, a numberbetween 0 and 1, which reflects the recombination of parts of this damage during annealing.Increasing dacc reduces the channeling. By changing dacc, the channeling tail of the profileis shifted, in parallel, in the logarithmic diagram (see Figure 23 and Figure 25 on page 172).This is different from the tail bending (see Figure 22 on page 169) that can be fine-tuned withcex1 and cex2.

dacc may depend on the substrate temperature during implantation and the dose rate [11]. Forhigh temperatures and low dose rate, there is an increased chance for Frenkel pairrecombination during implantation, which is reflected by a reduced value of dacc.

In Dios, the switch DModel determines how Crystal-TRIM deals with the accumulateddamage. The best model is the default selection DModel=6. In this model, the damage istranslated into a probability that the implanted ion is moving in an amorphous pocket [12]. Aregion where the damage has reached a threshold value dcrit is treated as completelyamorphous for the rest of the simulation.

In Sentaurus Process, the damage model corresponding to DModel=6 is the default.

Amorphized regions and damage saturation

dcrit

dcrit is the amorphization threshold. The smaller dcrit is, the faster a region is consideredcompletely amorphized. Consequently, for very small values of dcrit, the channeling isreduced. The profile tail changes in a way similar to the case of increasing dacc, but only fordoses high enough to reach the amorphization threshold.

dacc = 0.2

dacc = 0.6

dacc = 1

Sb, 100 keV, 1014 cm-2

Depth [nm]

0 100 200 300 400 500

Con

cent

ratio

n [c

m-3

]

1016

1017

1018

1019

170 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 189: Advanced Calibration User

11: Contents of Advanced Calibration files of DiosParameters for Monte Carlo implantation

www.cThe do

With DModel=6 and amorphization=mcdamage, another effect of changing dcrit is achange of the thickness of the amorphous layer. The thickness of the amorphous layer is notseen in SIMS profiles of as-implanted samples, but it effects the initial conditions of diffusion.

Other parameters in addition to dcrit are available with which you can forcibly correct thethickness of the amorphous layers: afactor and threshold. To keep the number ofparameters reasonably small, afactor and threshold are not used for B, BF2, As, P, and Sbimplantation in the Advanced Calibration. For some conditions, the position of the ac-interfacecan be seen in SIMS profiles as a small hump after a low-temperature anneal. The origin of thisspike is that the dopants are bound to clusters in the crystalline region, but not so much in theamorphous region, where the recrystallization renders a high active dopant concentration. Anexample of this hump is shown in Figure 24.

Figure 24 SIMS profile after BF2 implantation, 2.5 keV, dose= 5 x 1014 cm–2, and 30 s anneal at 850oC (the hump at depth of 7 nm shows position of ac-interface after implantation)

amav, amdev

When a region is amorphized, Dios has two methods for calculating consecutive binarycollisions:

1. Completely random. This is the Dios and Sentaurus Process default for In, Sb, and Ge. Itcan be switched on for As, B, BF2, and P by setting function=OldCrystalTRIM in Dios,or by using the following line in Sentaurus Process:

pdbSet Silicon Boron AdvancedAmorph 0

2. Improved description involving two empirical parameters amav and amdev. This is theDios and Sentaurus Process default for As, B, BF2, and P.

In the Dios Advanced Calibration, the second method is used for all dopants. In the AdvancedCalibration of Sentaurus Process, the Sentaurus Process default is used.

amav relates mainly to the range in the amorphous layer. amdev relates mainly to the standarddeviation of dopant distribution in an amorphous layer. The case amav=1 and amdev=0 gives

Depth [nm]

Con

cent

ratio

n [c

m-3

]

1018

1019

1020

1021

10 30200

SIMS profile

Advanced Calibration User Guide 171A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 190: Advanced Calibration User

11: Contents of Advanced Calibration files of Dios Parameters for NMOS and PMOS simulations

www.cThe do

similar results to function=OldCrystalTRIM (first method). For B, As, and P, the Diosdefault values for amav and amdev depend on the implantation energy.

For indium and antimony implantation, amav and amdev are specified in pattern-actions toimprove the fit to the SIMS profiles in the regions of high concentration. This is illustrated forindium in Figure 25. amav has a similar effect for amorphous regions as lambda for crystallineregions.

Figure 25 Dios calibration of amav for In implantation (150 keV, 1014 cm–2, tilt 0, through 5 nm screening oxide)

The peak region is only matched to the SIMS profile (no symbols) when amav and amdev arespecified (squares). The curves with triangles and circles show that dacc influences only thetail region. The SIMS profile is taken from the literature [4].

Parameters for NMOS and PMOS simulations

The AdvCal_2d_nMOS_2005.10.dmr and AdvCal_2d_pMOS_2005.10.dmr AdvancedCalibration files are based on the file AdvCal_1d_tables_2005.10.dmr.

The few differences include some details useful in 2D CMOS simulation, and one parameteror model change for each NMOS and MMOS. They are presented here.

Default parameters

dacc = 0.7

dacc = 0.7, amav = 1.04

SIMS measurement

Depth [nm]

0 100 200

Con

cent

ratio

n [c

m-3

]

1017

1018

1019

172 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 191: Advanced Calibration User

11: Contents of Advanced Calibration files of DiosParameters for NMOS and PMOS simulations

www.cThe do

Common needs for NMOS and PMOS simulations

The following changes with respect to AdvCal_1d_tables_2005.10.dmr are implementedin both AdvCal_2d_nMOS_2005.10.dmr and AdvCal_2d_pMOS_2005.10.dmr:

■ The gridblock is empty. For 2D CMOS simulations, it is recommended to use a tensor gridwith refinement boxes at the most important regions for the device characteristics. Thedetails of the grid statement for 2D CMOS simulation depend on the geometry and dopantprofiles of the MOSFET to be investigated and on CPU time requirements. Therefore,unlike in 1D simulations, it is impossible to provide a mesh definition inside the calibrationfiles that is satisfactory for all possible devices.

■ The command line diff:(oxpo(b(stc0=0) as(stc0=0) p(stc0=0))) is added tothe startblock. This line suppresses the flux of dopants from polysilicon into the gate oxide.It is needed because the diffusivity of B, As, and P in oxide has been artificially increasedto avoid numeric errors in the dose loss model. Without suppressing the dopant flux frompolysilicon into the thin gate oxide, the increased dopant diffusivities in oxide may causean artificially high dopant penetration from polysilicon through the thin gate oxide into thechannel region of the MOSFETs.

■ The initial time step (dtbegin) inserted in diffusion commands is reduced in order toobtain better initial convergence in the 2D simulations.

Boron dose loss in NMOS simulations

In the file AdvCal_2d_nMOS_2005.10.dmr, the Dios defaults are used for B dose loss. Theparameters:

diff:(ox(b(di0, diw))) ! diffusivity of B in oxide

diff:(siox(b(sg0, sgw, stc0, stcw))) ! segregation and flux parameter at! Si/SiO2 interface

are not changed from the Dios default. The reason is that the B dose loss parameters, which areused in AdvCal_1d_tables_2005.10.dmr, have been calibrated for boron USJ formationand have been found to overestimate the B dose loss for low B surface concentrations, whichare typical for B channel doping and B halo doping used in NMOS devices.

In NMOS devices, a B pileup towards the silicon surface is often responsible for the reverseshort-channel effect. This pileup can be simulated with the Dios default parameters for boronsegregation at the Si–SiO2 interface.

Advanced Calibration User Guide 173A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 192: Advanced Calibration User

11: Contents of Advanced Calibration files of Dios References

www.cThe do

Arsenic clustering in PMOS simulations

In the file AdvCal_2d_pMOS_2005.10.dmr, the clustering of arsenic is switched off by thecommand line:

diff:(si(as(modclust=no)))

The reason for this is to save CPU time. Since the As concentration is much lower than the solidsolubility in PMOS devices, neglecting the influence of As clustering usually does not have amajor impact on the process simulation result.

References

[1] K. Suzuki, H. Tashiro, and T. Aoyama, “Diffusion coefficient of indium in Si substratesand analytical redistribution profile model,” Solid-State Electronics, vol. 43, no. 1,pp. 27–31, 1999.

[2] G. Hobler and S. Selberherr, “Two-Dimensional Modeling of Ion Implantation InducedPoint Defects,” IEEE Transactions on Computer-Aided Design, vol. 7, no. 2,pp. 174–180, 1988.

[3] T. Noda, “Modeling of End-of-Range (EOR) Defects for Indium Channel Engineering,”in IEDM Technical Digest, Washington, DC, USA, pp. 839–842, December 2001.

[4] H. Graoui et al., “TCAD Modeling and Experimental Investigation of Indium forAdvanced CMOS Technology,” in 14th International Conference on Ion ImplantationTechnology (IIT), Taos, NM, USA, pp. 126–130, September 2002.

[5] V. C. Venezia et al., “Dopant redistribution effects in preamorphized silicon during lowtemperature annealing,” in IEDM Technical Digest, Washington, DC, USA,pp. 489–492, December 2003.

[6] M. Posselt, “Crystal-TRIM and Its Application to Investigations on Channeling EffectsDuring Ion Implantation,” Radiation Effects and Defects in Solids, vol. 130–131,pp. 87–119, 1994.

[7] J. F. Ziegler, J. P. Biersack, and U. Littmark, “The Stopping and Range of Ions inSolids,” The Stopping and Range of Ions in Solids, vol. 1, New York: Pergamon Press,1985.

[8] J. P. Biersack and L. G. Haggmark, “A Monte Carlo Computer Program for theTransport of Energetic Ions in Amorphous Targets,” Nuclear Instruments and Methods,vol. 174, no. 1–2, pp. 257–269, 1980.

[9] G. Hobler et al., “Modeling of Ultra-Low Energy Boron Implantation in Silicon,” inIEDM Technical Digest, Washington, DC, USA, pp. 489–492, December 1997.

174 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 193: Advanced Calibration User

11: Contents of Advanced Calibration files of DiosReferences

www.cThe do

[10] C. Zechner et al., “TCAD Calibration of USJ Profiles for Advanced Deep Sub-μmCMOS Processes,” Nuclear Instruments and Methods in Physics Research B, vol. 186,no. 1–4, pp. 303–308, 2002.

[11] M. Posselt, L. Bischoff, and J. Teichert, “Influence of dose rate and temperature on ion-beam-induced defect evolution in Si investigated by channeling implantation at differentdoses,” Applied Physics Letters, vol. 79, no. 10, pp. 1444–1446, 2001.

[12] M. Posselt et al., “Modeling of Damage Accumulation during Ion Implantation intoSingle-Crystalline Silicon,” Journal of the Electrochemical Society, vol. 144, no. 4,pp. 1495–1504, 1997.

Advanced Calibration User Guide 175A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 194: Advanced Calibration User

11: Contents of Advanced Calibration files of Dios References

www.cThe do

176 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 195: Advanced Calibration User

www.cThe do

CHAPTER 12 Dios calibration method and limitations

This chapter discusses various aspects of the Dios AdvancedCalibration.

The Advanced Calibration file can be considered a good starting point for 2D simulations(especially for CMOS and SOI CMOS devices) and can reduce the effort for calibration.However, regarding the finite accuracy and the known limitations, it cannot fully replace aprocess calibration for 2D applications. With a customized process calibration, the accuracycan always be further increased for any technology of interest. A customized calibration ofprocess and device simulation models can be requested from Synopsys in the context ofcustomer service projects.

The data used for calibration is outlined in Experimental data, together with a list of conditionscovered by the Dios Advanced Calibration file. In Calibration of dopant diffusion andactivation on page 179, the calibration method for dopant diffusion and annealing, and theachieved accuracy is discussed. In Dose loss model on page 190, the dose loss model used inthe Dios calibration is discussed.

Problems may occur if a diffusion or segregation parameter is set to different values in pattern-actions of the Dios Advanced Calibration. Consistency of parameters on page 194 explains theconsistency problems that may occur and how to solve them.

Experimental data

Source of data

The main source of experimental data used for the calibration of Advanced Calibration is adatabase of SIMS profiles, most of which are related to state-of-the-art USJ technology. Inaddition, some SRP profiles and sheet resistance measurements were used to study dopantactivation and dose loss.

Part of this database was designed in collaboration with Applied Materials, Inc. (AMAT) as asystematic approach to calibrate process simulation for advanced CMOS technology [1].Another part of this database was provided by Varian Semiconductor Equipment Associates,Inc. (VSEA).

Advanced Calibration User Guide 177A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 196: Advanced Calibration User

12: Dios calibration method and limitations Experimental data

www.cThe do

A collection of SIMS profiles from AMAT and VSEA is available as a part of the CalibrationLibrary. Together with the Calibration Kit, the Calibration Library serves as a professional toolfor further fine-tuning of process physics parameters.

SIMS profiles can show some differences to the real dopant distribution, even when they aremeasured very carefully. These differences are inherently related to the SIMS technique. Inparticular, SIMS profiles can show two typical errors:

■ In the first few nanometers from the silicon surface, the signal is not always stabilized andthe SIMS profile is less reliable.

■ Very steep profiles (steepness > 1 decade per 2 nm) are usually smeared out during SIMSmeasurements.

The SRP profiles for USJ are less reliable than SIMS profiles. However, they can be used tomonitor the activation or deactivation of dopants during annealing. Sheet resistance data is usedin some cases (for example, Sb annealing) to calibrate the activation process of dopants. Forvery high dopant concentrations in USJ profiles, the fraction of activated dopants does notsolely determine the sheet resistance. In addition, the mobility of electrons and holes is reducedby the presence of the dopant atoms and may depend on process conditions. In this case, theeffect of dopant activation and charge-carrier mobility cannot be fully separated with sheetresistance measurements only.

Conditions covered by Advanced Calibration

Not all possible process conditions and parameter combinations are covered by the DiosAdvanced Calibration. Naturally, the calibrated models are most reliable for process conditionwindows where many profiles were available for calibration and where a good agreement wasobtained for these profiles. These include:

■ All implantations except:

• Ultrashallow junction indium and antimony implantation

• Implantations in <110> or <111> channeling directions

■ Boron USJ annealing in inert atmosphere

■ BF2 USJ annealing in inert atmosphere

■ Arsenic USJ annealing

■ Co-diffusion of B/BF2 USJ and As halo profiles (typical CMOS fabrication step)

■ Co-diffusion of As USJ and B/BF2/In halo profiles (typical CMOS fabrication step)

The accuracy is also good for further process conditions related to typical CMOS processessuch as source–drain annealing (including co-diffusion of P and As), well–channel annealing(including In and Sb channel profiles), and B extension formation after preamorphization byGe or Si implantation.

178 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 197: Advanced Calibration User

12: Dios calibration method and limitationsCalibration of dopant diffusion and activation

www.cThe do

The calibration of the oxidation-enhanced diffusion (see Oxidation-enhanced diffusion onpage 186) is based on a relatively small set of measurements and is probably not very reliable.

Some known cases in which the accuracy of the Advanced Calibration is not good arediscussed in the following sections.

It is also important to know what has not been calibrated in the Advanced Calibration. For suchmodels and process windows, the expectations on the accuracy should not be too high. Themost important processes that are not yet treated in the Advanced Calibration are:

■ Diffusion in polysilicon.

■ Out-diffusion from polysilicon.

■ Diffusion in epitaxially grown SiGe.

■ Analytic boron implantation at very high energies (> 500 keV).

■ Antimony USJ annealing.

■ Oxidation: For the oxide thickness, the Advanced Calibration uses the Dios defaultparameters of the Massoud model.

■ Effects of carbon on diffusion.

■ Effects of fluorine implantation on B diffusion.

■ Nitrogen diffusion in silicon and oxide.

■ Flash lamp annealing and laser annealing.

Calibration of dopant diffusion and activation

Overview

Diffusion and activation processes are more difficult to calibrate than implantation. The pairdiffusion model has numerous parameters many of which are difficult to separate. A pureglobal optimization can result in a good agreement for a large collection of experimental databut still have a limited predictive power for other process conditions.

For a successful calibration, order is very important. Some parameters, such as the interstitialequilibrium concentration, have an influence on nearly all profiles. Others, such as the‘damage+x’ ifactor used for a particular implantation condition, affect only a small numberof profiles. It is crucial to calibrate the former first and the latter last.

Advanced Calibration User Guide 179A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 198: Advanced Calibration User

12: Dios calibration method and limitations Calibration of dopant diffusion and activation

www.cThe do

Following this principle, the physical parameters are classified into three groups:

1. The fundamental point-defect parameters affect nearly all diffusion processes. Examplesare the diffusivity and charge-state distribution of interstitials. These basic parametersshould not be changed without proven necessity because, when they differ for differentprojects, all other parameters can no longer be compared and similar parameter sets forsimilar projects are never found.

2. Some parameters affect all diffusion processes for one dopant, in particular, the pairdiffusivities. Ideally, these are changed only within large calibration projects.

3. At the lowest level, there are diffusion parameters that depend strongly on implantation andannealing conditions. These include dopant and interstitial clustering kinetics, the initialamount of point defects, the initial activation of dopants after implantation, the effect of Fon B diffusion, and the dose loss parameters. Since these parameters can be given differentvalues for different technologies and devices without major consistency problems, they areused most often for various expedient calibrations.

In the next section, the calibration of various parameters is discussed in the same order as thesefour groups. Apart from a systematic approach, calibration is mainly trial and error, but apresentation of this is beyond the scope of this documentation.

Fundamental point-defect parameters

The fundamental point-defect parameters are:

■ Diffusivities of I and V

■ Charge-state distribution of I and V

■ I–V recombination (generation) rate

■ Boundary conditions of I and V

In the Dios Advanced Calibration files, only the vacancy boundary conditions are changedfrom the Dios default. In the Dios file, there is:

diff:(siox(V(BCTyp=HomNeumann)) sini(V(BCTyp=HomNeumann)))

The reason for suppressing the vacancy flux at silicon–oxide interfaces in Dios AdvancedCalibration is discussed in Vacancy boundary conditions on page 153.

180 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 199: Advanced Calibration User

12: Dios calibration method and limitationsCalibration of dopant diffusion and activation

www.cThe do

Pair diffusivities

The diffusivities of dopant point-defect pairs are extracted from SIMS data from so-calledlong-time anneals, where the damage-enhanced TED can be neglected and the dopantactivation is close to equilibrium. To obtain a prefactor and an activation energy of anArrhenius-type diffusivity, data for high temperature (seconds or minutes > ) and lowtemperature (hours < ) is required.

Ideally, this should be performed at different doses and background doping levels, in order toobtain the values of the diffusivities of the different charge states. Otherwise, only informationabout a global value of the diffusivity is obtained. Most values are based on Dios default valuesor on data from the literature for the diffusivities of the different charge states. It should bementioned that there is a significant spread in reported values of dopant equilibriumdiffusivities in silicon [2].

Generally, the calibration of diffusivities was performed according to the following scheme.When a good agreement with SIMS profiles was obtained, the Dios calibration was stopped atthe earliest possible level:

1. Use Dios default values1.

2. Change the Arrhenius prefactor of the dominant diffusivity (for example, diffusivity of B–I+

pairs in the case of B diffusion).L

3. If profiles with high dopant concentration ( ) are involved, change the relativecontributions of different pair charge-states to diffusion.

4. When SIMS profiles of different temperatures must fit, change the Arrhenius activationenergy of diffusivities.

NOTE A significant change of activation energy is always accompanied by achange of the Arrhenius prefactor, in such a way, that for a selectedtemperature the total Arrhenius value is kept constant.

Figure 26 on page 182 shows the effect of diffusivity changes on ‘long-time’ annealing of aUSJ boron profile. The balance between dip0 (B– I+ pairs) and di00 (B– I0 pairs) can be usedto adjust the ratio between diffusion in highly doped regions and in the tail (see the steepnessof the tail of the red curve and blue curve).

1. In contrast, to create the Advanced Calibration of Sentaurus Process, state-of-the-art literature values were used asthe starting point for the diffusivity calibration.

1000°C850°C

Ndopant ni>

Advanced Calibration User Guide 181A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 200: Advanced Calibration User

12: Dios calibration method and limitations Calibration of dopant diffusion and activation

www.cThe do

Figure 26 Comparison of simulation results with different diffusivity values for B–I pairs for 60 s, 1050oC anneal after 2.5 keV BF2 implantation

Effect of charges and doping level

As derived in the Dios User Guide, the flux of boron-interstitial pairs can be expressed as:

(4)

where, is the charge of the interstitial in the pair, is the electron concentration, and is the intrinsic electron concentration. In the dilute approximation used by Dios and

Sentaurus Process, the product is proportional to the Dios expressiondiz0 x exp(-dizw/kT). Here, represents one of pp, p, 0, m, and mm.

In the above formula, the charge appears in the term and depends on thedoping level. It is approximately 1 for low doping and very high temperatures. For high Bdoping , it is approximately .

It can be concluded that for regions of high B concentration, B diffusion using pairs isenhanced by a factor of due to the effect of charges. Charges have no particular effect onB diffusion using pairs.

An analogous conclusion holds for dopant-vacancy pairs and donor atoms. In the latter case,B– must be replaced with As+ or P+.

For calibration, the above conclusion has an important implication. You can increase thediffusion specifically in highly doped regions by increasing the diffusivities for acceptorspaired with positively charged point defects or donors paired with negatively charged pointdefects (see Figure 26). This calibration method is even more effective when using pairs withdouble-charged point defects as, for example, in the As parameter diff:(si(as(dimm0))).

dip0=2.916, di00=0.037, di0w=3.46 (default)

dip0=1.25, di00=0.033, di0w=3.26 (Advanced Calibration)

dip0=0.000, di00=0.150, di0w=3.26

Depth [nm]

Con

cent

ratio

n [c

m-3

]

1017

1018

1019

1020

0 50 100

jB-Iz D– B-Iz kB-Iznni----⎝ ⎠⎛ ⎞ 1 n

ni----⎝ ⎠⎛ ⎞ z–

grad B–

I0 n

ni----⎝ ⎠⎛ ⎞ 1–

⋅ ⋅⎝ ⎠⎛ ⎞⋅ ⋅ ⋅ ⋅=

z B– Iz( ) nni

DB-Iz kB-Iz⋅z

z n/ni( ) z– n/ni( )

p B–∼( ) ni/B–( )

B– I+( )B–/ni

B– I0( )

182 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 201: Advanced Calibration User

12: Dios calibration method and limitationsCalibration of dopant diffusion and activation

www.cThe do

For spike annealing conditions, arsenic profiles often show an almost ‘rectangular’ profile, thatis, a very flat high-concentration region followed by a very steep junction. In the AdvancedCalibration, the parameters diff:(si(as(dimm0, dimmw, pi0mm, piwmm))), whichare not used in the Dios default, are introduced to enhance As diffusivity in the highly dopedregions and simulate this characteristic shape of arsenic profiles.

Accuracy of boron diffusivity

Using the Dios default values, boron diffusion is usually overestimated for the formation ofUSJ boron profiles. It is recommended that the Advanced Calibration values are used for alltypes of boron USJ profiles.

While the calibrated boron diffusivities work well for short-time and medium-time RTA ofboron USJ (for example, 1–60 s at ), they are too low for long-time annealing at hightemperatures (for example, 60 minutes at ). Therefore, for such a type of annealing,the Dios default parameters are a better choice.

The Dios default values of B pair diffusivities were calibrated to SIMS data [3] (and referencestherein). In comparison with this old SIMS data and also some new SIMS data with very longtime high-temperature anneals, the B diffusion is underestimated with the Dios AdvancedCalibration parameters.

NOTE For the simulation of power and smart-power technologies, it isrecommended to replace the Dios Advanced Calibration values for theboron diffusivities with the Dios default values.

Pairing constants

The pair diffusion model is used in the dilute approximation. The diffusivities are, in fact,effective diffusivities, which are proportional to the product of the real pair diffusivity and thepairing constant. Increasing the pairing constant automatically reduces the real pair diffusivityby the same factor, such that the effective diffusivity stays the same.

As long as the pairing constants are small, their actual value has virtually no effect on thesimulation result. ‘Small’ means that the concentration of pairs is much lower than theconcentration of dopants and point defects. Most pairing constants used by the AdvancedCalibration are small in this respect, but there are exceptions, such as the pairing constants forP–I and As–V pairs.

1050°C1000°C

Advanced Calibration User Guide 183A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 202: Advanced Calibration User

12: Dios calibration method and limitations Calibration of dopant diffusion and activation

www.cThe do

If the pairing constant is large, a significant fraction of dopants and point defects can be indopant point-defect pairs. This has several consequences:

■ If the pairing constant is further increased by some factor x, the number of pairs cannotincrease by the same factor, because there are not enough dopants or point defectsavailable. However, the microscopic pair diffusivity is divided by x and, therefore, as a totaleffect, the dopant diffusion is reduced.

■ The point defects are strongly bound to the dopants and, therefore, are prevented fromdiffusing to other regions of the device. This can be important for 2D simulations, forexample, when considering the effects of point defects from source–drain regions of aMOSFET on the diffusion in the region under the gate.

A ‘correct’ calibration of the pairing constants is almost impossible, because they are usuallytoo small to play a major role and because their influence cannot be separated from the effectof other parameters. In the Advanced Calibration, the pairing constants were only changed incases where the interplay of several dopants (for example, As and P co-diffusion) could beimproved.

NOTE When ramping down to very low temperatures, the high pairing constantfor P–I pairs may cause an artificial P deactivation due to P–I formation.In this case, you should consider decreasing the pairing constant for P–Ipairs.

TED due to point defects from implantation damage

Interstitials

In Dios Advanced Calibration, no attempt is made to simulate the clustering of interstitials andthe formation of {311} defects and loops using a set of reaction equations. Instead, a simplemodel is chosen to describe the time and temperature dependence of TED after implantation.

Using the default equilibrium clustering model for interstitials proved to be sufficient forachieving a good fit of the dopant distributions to the SIMS profiles. This model has betterconvergence properties in Dios than transient interstitial clustering or release of interstitialsfrom traps. A calibration of ifactor in the ‘damage+x’ model allows for fine-tuning the totalamount of TED due to implantation damage. The time and temperature dependence of TEDcan be fine-tuned by changing the values of the interstitial solid solubility si(i(cl0,clw))(see Figure 27 on page 185).

184 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 203: Advanced Calibration User

12: Dios calibration method and limitationsCalibration of dopant diffusion and activation

www.cThe do

Figure 27 Time evolution of interstitial concentration at depth of 15 nm for a 1000oC anneal after B implantation (1 keV, 5 x 1014 cm–2). A low solid solubility si(i(cl0)) of interstitials results in a slower TED. The black line shows the simulated temperature ramp.

Whether a fast dissolution of interstitial clusters increases the diffusion depends on the timedependence of the dopant activation.

Case 1

If all dopants are active from the beginning (this is usually the case in the tail region), a fastdissolution of interstitial clusters (high value of si(i(cl0)) during ramping-up) increases thediffusion. Interstitials released from clusters at lower temperatures are more effective in termsof dopant transport. Initially, this seems paradoxical.

The reason is that the product of interstitial diffusivity and interstitial equilibriumconcentration has a higher temperature dependence than the B–I pair diffusivity in the so-calleddilute approximation. The decrease of B–I pair diffusion at low temperature is more thanovercompensated by the facts that:

■ Free interstitials stay longer inside silicon because of their lower diffusivity at lowtemperature.

■ The B–I diffusivity enhancement per excess interstitial is higher at low temperaturebecause the equilibrium concentration of interstitials is lower.

Case 2

Most dopants are clustered at the beginning of TED. In this case, a rapid release of interstitialsfrom clusters may have the effect that most interstitials are gone before the dopant atomsbecome active. As a result, increasing cl0 decreases the diffusion from high concentration(stable clusters) regions, but may still increase the diffusion of the tail.

Temperature (right axis)

ifactor 0.1

ifactor 1

ifactor 1, cl0 divided by 10

ifactor 1, cl0 divided by 500

Time [s]

I0 a

t Dep

th 1

5 nm

[cm

-3]

1013

1014

1015

1016

Temperature [ oC

]

600

700

800

900

1000

0 10 20

Advanced Calibration User Guide 185A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 204: Advanced Calibration User

12: Dios calibration method and limitations Calibration of dopant diffusion and activation

www.cThe do

Vacancies

Since homogeneous Neumann boundary conditions are used for vacancies, the vacancies fromimplantation damage cannot escape the bulk silicon except by recombination with interstitials.For this reason, in the ‘damage+x’ model, vfactor must be either less than ifactor or equalto zero.

NOTE In Dios, for some elements (for example, B, BF2, Sb), ifactor=2 andvfactor=1 are the default values in the ‘damage+x’ model. Thesedefault values are incompatible with using homogeneous Neumannboundary conditions for vacancies, because the large number ofvacancies cannot recombine at the surface.

Oxidation-enhanced diffusion

During oxidation, the equilibrium concentration of interstitials increases at the oxidizingsurface, as a function of the progression speed of the Si–SiO2 interface. The oxidation-enhanced diffusion (OED) parameters are adjusted by:

diff:(si(i(pox0=2.7e-4 potox=-2)))

The influence of these parameters on increasing the equilibrium interstitial concentration at thesurface is described by the OED factor:

(5)

with:

(6)

and:

(7)

si(i(pox0)) is the Arrhenius prefactor of the exponent Pox in Eq. 5. si(i(potox)) is usedin Eq. 7 and governs the influence of Fermi level on the OED. The formulas are explained inthe Dios User Guide. In Dios by default, si(i(potox=2)), that is, the sign of potox isdifferent. However, with a positive value of si(i(potox)), it is impossible to describe thedoping dependence of the OED.

OED factor 1 Fox F⋅dop

vox n⋅

1A·

min---------

-------------------

⎝ ⎠⎜ ⎟⎜ ⎟⎜ ⎟⎛ ⎞ Pox

+

⎝ ⎠⎜ ⎟⎜ ⎟⎜ ⎟⎛ ⎞

=

Fdop

m 2– m 1– 1 m1 m2+ + + +

m 2– q2

m 1– q1

1 m1q1–

m2q2–

+ + + +-----------------------------------------------------------------------------------------=

qnni----⎝ ⎠⎛ ⎞ PotOx

=

186 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 205: Advanced Calibration User

12: Dios calibration method and limitationsCalibration of dopant diffusion and activation

www.cThe do

NOTE The calibration of pox0 and potox is based only on a relatively smallnumber of SIMS profiles for spike anneals or 10 s RTAs. Do not expecta very accurate description of OED for all kinds of oxidation condition.

Dopant activation

The transient clustering model si(Aj(modclust=transient)) is used for all dopantsexcept for phosphorus. It is switched on by the following line (for boron):

diff:(si(b(modclust=transient)))

In this model, the solid solubility si(Aj(cl0,clw)) determines the equilibriumconcentration of active dopants, and the clustering rate si(Aj(clurate0,cluratew))determines how fast this equilibrium is reached. The values of the solid solubility and theclustering rate are specified by the following lines (for antimony):

diff:(si(sb(cl0=1.25e+21, clw=0.311085))) ! solid solubilitydiff:(si(sb(clurate0=2.4e+6, cluratew=4.5))) ! clustering rate

The initial activation of dopants for the first diffusion step after implantation is given byacinit in crystalline regions and aminit in regions that are recrystallized afteramorphization during ion implantation.

acinit, aminit

By default, acinit is low and aminit is high ( ). Both parameters are crucialfor the initial stage of TED. Figure 28 on page 188 shows the influence of acinit for a boronUSJ spike anneal, and it is clear that acinit limits the number of dopants available for TED.Comparing the curves for acinit=1e18 and acinit=1e16 shows the typical influence ofacinit. For the first moments of TED when the interstitial supersaturation is highest, only theinitially active atoms can diffuse. While the clustered dopant atoms are still immobile, theinitially active atoms diffuse into the tail region.

5 1022× cm 3–

Advanced Calibration User Guide 187A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 206: Advanced Calibration User

12: Dios calibration method and limitations Calibration of dopant diffusion and activation

www.cThe do

Figure 28 Influence of acinit on simulated B profile after 0.5 keV, 5 x 1014 cm–2 B implantation and a spike anneal at 1000oC

Figure 29 shows the influence of aminit after an amorphizing BF2 implantation. Thetendencies are the same as for acinit. The main difference is that aminit always has a highvalue because solid phase epitaxy renders a high activation of dopants.

Figure 29 Influence of aminit on simulated B profile after 2.5 keV, 5 x 1014 cm–2 BF2 implantation and a spike anneal at 1000oC. A hump at the original ac-interface is formed only for aminit > 1 x 1020. The other simulation parameters are taken from Advanced Calibration.

Solid solubility (cl0, clw)

The solid solubility is known from the literature with error margins. Therefore, in the course ofcalibration, changes from the default values should be small. Changes are very effective forconditions with very high dopant concentration, especially for USJ profiles with highimplantation dose.

acinit=1e21

acinit=5e19

acinit=1e18

acinit=1e16

Depth [nm]

100

Con

cent

ratio

n [c

m-3

]

1016

1017

1018

1019

1020

1021

500

Depth [nm]0

Con

cent

ratio

n [c

m-3

]

1018

1019

1020

1021

20 3010

aminit=3.5e20

aminit=1e19

As-implanted

188 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 207: Advanced Calibration User

12: Dios calibration method and limitationsCalibration of dopant diffusion and activation

www.cThe do

For calibration, the solid solubility can be used to improve the description of the influence ofdoping concentration on clustering.

In Figure 30, it is shown that a change of cl0 is much more effective for high implantationdoses than for low doses. clw can be used to calibrate this effect for several temperatures.

Figure 30 Effect of cl0 on B USJ annealing for high and medium implantation doses; the anneal is 5 s at 1050oC; B energy is 0.5 keV

clurate0, cluratew

The clustering rate determines the time in which the dopant clustering reaches equilibrium. Itseffect on diffusion depends on the initial activation of dopants. If the initial activation is abovethe solid solubility (as may be the case, for example, after solid phase epitaxy), a low clusteringrate maintains a ‘superactivation’ of dopants. In the opposite case, a low clustering ratepreserves a low dopant activation for a long time. For the clustering timescale, the mostimportant issue is whether dopant clustering is faster or slower than the disappearance of theexcess interstitials present after implantation. A comprehensive discussion of all conditions isbeyond the scope of this documentation.

SRP profiles and sheet resistance

Spreading resistance profiling (SRP) profiles and sheet resistance measurements provideadditional information on dopant activation and can be used for the calibration or testing of theclustering models. Unfortunately, for USJ profiles, the SRP technique is less accurate (and lessdirect) than SIMS.

The clustering rate of Sb was calibrated with sheet resistance data. For Sb, a calibration of theclustering rate with SIMS is almost impossible because Sb diffuses very slowly and shows littleTED. Sheet resistance data was available for a number of annealing conditions after or implantation. For the shortest anneal times and lowest annealing temperatures,the sheet resistance was higher than for the other conditions, reflecting a lower dopant

Dose 1e15, cl0=default

Dose 1e15, cl0=1.4*def.

Dose 2e14, cl0=default

Dose 2e14, cl0=1.4*def.

Depth [nm]

Con

cent

ratio

n [c

m-3

]

1016

1017

1018

1019

1020

1021

806040200

1013 cm 2–

1014 cm 2–

Advanced Calibration User Guide 189A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 208: Advanced Calibration User

12: Dios calibration method and limitations Dose loss model

www.cThe do

activation. This behavior was calibrated by selecting a low initial active concentration acinitand refining the rate for cluster dissolution and formation until a good match with measuredsheet resistances was obtained.

Accuracy for dopant activation and deactivation

The parameters of the Advanced Calibration are not very reliable for dopant activation anddeactivation. The reason is that only a small number of SRP profiles was used in the calibration,compared to a large number of SIMS profiles that contain no direct information on theactivation level of the dopants.

Antimony deactivation, which plays a role in USJ formation with low-energy Sb implantation,has not been considered.

In cases where most of the dopants are active, the sheet resistances are usually well reproducedwhen loading the results of Dios Advanced Calibration in a device simulation with SentaurusDevice.

Dose loss model

During annealing, a part of the implanted dose may diffuse out of the silicon. This part is notdetected in a subsequent SIMS measurement, which is referred to as dose loss. For arsenic, ithas been shown that most of the ‘lost’ arsenic is captured in the interface between silicon andSiO2 within a few atomic monolayers [4]. For other dopants, it may be the same.

Fluorine is also known to be lost during annealing. Possibly, a part of fluorine comes to rest atthe Si–SiO2 interface and increases the capability to build in boron. This is the clearestexplanation for the experimental fact that the boron dose loss is higher after BF2 implantationthan after B implantation.

Model

In Dios Advanced Calibration, the dose loss of B, As, P, and In is simulated by dopantsegregation into oxide. In Figure 31 on page 191, the principle of the dose loss model isillustrated. At the Si–SiO2 interface, the ratio of dopant concentration in silicon and oxide isgoverned by the segregation coefficient (sg0, sgw) and the flux parameter (stc0, stcw). Inthe calculations for Figure 31, a high segregation coefficient was used.

Consequently, the dopant concentration at the oxide side of the interface is very high, and a partof the dose is ‘lost’ for carrier transport in silicon. The interface between silicon and a 1.5 nm

190 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 209: Advanced Calibration User

12: Dios calibration method and limitationsDose loss model

www.cThe do

oxide layer is situated at depth = 0 nm. During annealing, a part of the boron moved into theoxide layer. The first 1–2 nm of oxide substitute the capability of the interface to capture atoms.

Figure 31 Dose loss into SiO2; red line shows a simulated boron profile after 1 keV B implantation and spike annealing

Figure 32 shows the effect of dose loss for a long-time, high-temperature anneal. The bestagreement is obtained for sg0=140. All other simulation parameters are taken from theAdvanced Calibration. In the upper-left corner, it is clear that a very high boron concentrationis established in the SiO2 layer.

Figure 32 Effect of segregation coefficient on B profile after BF2 implantation with E = 2.5 keV, dose = 5 x 1014 cm–2, and 4-minute anneal at 1050oC

B simulatedSiliconOxide

Depth [nm]

0 10 20 30 40

Con

cent

ratio

n [c

m-3

]

1018

1019

1020

1021

1022

SIMS profile

No dose loss

SG0 = 140

SG0 = 300

Oxide

Depth [nm]

0 100 200

Con

cent

ratio

n [c

m-3

]

1017

1018

1019

1020

1021

Advanced Calibration User Guide 191A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 210: Advanced Calibration User

12: Dios calibration method and limitations Dose loss model

www.cThe do

Dopant diffusivity and mesh in oxide

Inside the oxide, the diffusivity of B, As, and P is increased with respect to the default values,but is still low. Therefore, there is a very steep dopant gradient at the oxide side of the interface.From the physics point of view, this is reasonable. A considerable amount of dopants can bebuilt into a few monolayers around the Si–SiO2 interface. Such behavior has been measured forarsenic [4] and can also be the case for other dopants.

From the numerics viewpoint, the steep gradient causes a problem. A large fraction of thedopants in the oxide is ascribed to a single mesh point. This can lead to an overestimation ofthe dose loss due to numeric errors. It is necessary to have a very fine mesh at the interface tominimize such errors. Typically, at the oxide side of the Si–SiO2 interface, the mesh pointspacing should be a few angstroms only.

With the default diffusivities of B, As, and P in oxide, this numeric aspect would require evenfiner meshes, leading to difficulties in 2D simulations. That is the only reason why theAdvanced Calibration uses increased diffusivities of B, As, and P in oxide with respect to thewell-established default values.

The increased diffusivities in oxide are still much lower than dopant diffusivities in silicon.This prevents undesired artifacts, for example, a significant dopant diffusion in oxide spacerregions. Still, there may be rare cases where the simulation result is very sensitive to dopantdiffusivity in oxide. In such cases, the Dios default values should be used for dopant diffusivityin oxide. This can be achieved by editing the parameter files.

Dopant penetration through a thin oxide

Using segregation into SiO2 for the dose loss modeling creates a problem for the simulation ofMOSFETs. It can occur that dopants enter the gate oxide from the polysilicon side, diffusethrough the gate oxide, and exit at the silicon side (dopant penetration).

With the dose loss model used in Advanced Calibration, there can be a huge overestimation ofdopant penetration through the gate oxide. It is important to avoid this. One possible way is tosuppress completely the dopant flux from polysilicon to silicon. The corresponding Dioscommand is:

diff:(oxpo(b(stc0=0) as(stc0=0) p(stc0=0) in(stc0=0)))

An alternative is to replace a part of the gate oxide by another material, for example nitride, inthe simulation. Then, the dopant diffusivity in nitride can be used to adjust the dopantpenetration through the gate oxide.

192 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 211: Advanced Calibration User

12: Dios calibration method and limitationsDose loss model

www.cThe do

Dose loss to materials other than oxide

Only dopant dose loss into SiO2 has been calibrated. If silicon is covered by some othermaterial, for example nitride, the default values for segregation are used, which usually do notgive a large dose loss.

NOTE By placing different materials onto the silicon surface, if necessary,different parameters for dose loss modeling can be adjusted on differentregions of the device.

NOTE In this model, no dose loss occurs at the bare silicon surface. It isrecommended to cover all bare silicon surfaces by a thin natural oxideduring annealing steps to obtain an accurate simulation of dopant doseloss.

Accuracy of dopant segregation

The parameters of the dose loss model for B, As, and P have been calibrated for USJ profiles,in particular, for conditions that are typical for source–drain and source–drain extensionprofiles in 180 nm to 65 nm CMOS technology. Only for such conditions can good accuracybe expected. In contrast, for low dopant concentration at the surface (for example,< ), the dose loss is often overestimated by the parameters of the Dios AdvancedCalibration, and better results can be obtained by reducing the values of the segregationcoefficient with respect to the Advanced Calibration values.

Low surface concentration of boron

When the surface concentration of boron is low (for example, in the channel of NMOSdevices), boron is known to pile up towards the surface. This dopant pileup is destroyed by thehigh segregation coefficient that is calibrated for high concentration profiles. For suchconditions, it may be necessary to replace the dose loss model by the default parameters forsiox(b(sg0, sgw, stc0, stcw)).

A physical explanation for this could be that the B segregation coefficient depends on the Fermilevel at the interface. The segregation behavior of boron after a medium-dose BF2 implantationis not completely clear. Most probably, the fluorine diffuses to the Si–SiO2 interface, where ithelps B to segregate into the oxide.

1018 cm 3–

Advanced Calibration User Guide 193A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 212: Advanced Calibration User

12: Dios calibration method and limitations Consistency of parameters

www.cThe do

Boron dose loss after Si implantation for preamorphization

In the Dios Advanced Calibration, the B segregation coefficient is reduced after a siliconimplantation. This is achieved by storing the information on the Si implantation in the Diosvariable sgfac, which is used in a formula to calculate the B segregation coefficient sg0. Thevariable sgfac has been calibrated to ten SIMS profiles measured after Si preamorphization,B implantation, and anneal, with all experiments having the same B implantation conditions(500 eV, ). It is possible that the formula used for sgfac is not reliable for otherB implantation conditions.

Dose loss for anneals with high thermal budget

In long-time anneals (for example, 1 hour at ) or very high-temperature anneals (forexample, ), the dopant diffusion in the oxide can be overestimated and, consequently,the dose loss is calculated too high with the Advanced Calibration parameters. In addition, itdepends on the oxide thickness and will be higher for regions covered with thick oxides thanfor regions covered with thin oxides. In such cases, it is best to reduce the diffusivity of thedopants in the oxide to adjust the required amount of dose loss.

This problem does not occur in the three-phase segregation model, which is used in theAdvanced Calibration of Sentaurus Process.

Consistency of parameters

Many parameters depend on the implantation conditions. This is no problem for parameterssuch as ifactor, which have a meaning only for a single implantation step. However, if adiffusion parameter is set to different values in several implantation steps of a processsimulation, there may be a severe inconsistency problem. In this section, this is discussed forall such parameters of the Advanced Calibration.

In the Advanced Calibration for Sentaurus Process, these problems do not exist because thediffusion and segregation parameters do not depend on implantation conditions like in DiosAdvanced Calibration.

acinit

If acinit is set twice in two subsequent implantations with no diffusion in between, the firstvalue will be overwritten by the second. If both values are significantly different, the initialactivation of the first profile is wrong, which can lead to consecutive errors.

5 1014× cm 2–

1000°C1150°C

194 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 213: Advanced Calibration User

12: Dios calibration method and limitationsConsistency of parameters

www.cThe do

As a solution to this inconsistency, insert a dummy diffusion step in between the twoimplantations, for example, in the case of an indium halo implantation followed by an arsenicextension implantation:

...impl(elem=in, dose=1e13, tilt=30, rotation=90, energy=120)diff:(acinit=2e18)diff(temperature=500, time=1e-12) impl(elem=as, dose=5e14, tilt=0, energy=2)diff:(acinit=4e18)...

The third line is a dummy diffusion step. The diffusion time is extremely short, therefore, thedopant redistribution can be neglected. However, this dummy diffusion fixes the initialactivation (acinit=2e18) of indium before it is overwritten by the initial activation that is tobe used for As (acinit=4e18).

If the implantation is performed with Crystal-TRIM, this workaround has the disadvantage thatthe implantation crystal damage is removed after the dummy diffusion, which increases the ionchanneling in the second implantation. In Sentaurus Process, this particular problem does notexist because acinit can have different values for different dopant species.

Interstitial solid solubility (Dios: si(i(cl0)))

The Arrhenius prefactor of the interstitial solid solubility depends on the implantationconditions. It is changed from the default for As, Ge, and Si implantations. As shown inFigure 27 on page 185, si(i(cl0)) governs the time dependence of the TED.

Using different values of si(i(cl0)) in a long process simulation is often not a problem.Typically, most of the interstitials that come from one ion implantation have recombined afterthe first annealing step following the implantation. In this case, there is no reason whysi(i(cl0)) cannot be changed after the next implantation step.

Again, a consistency problem arises for a halo implantation followed by extensionimplantation, or vice versa. In this case, it is recommended to use the value si(i(cl0)),which corresponds to the extension implantation, because the simulation of ultrashallowprofiles needs a higher accuracy.

After preamorphization by a Ge or Si implantation, a relatively stable damage layer forms atthe interface region between amorphized and crystalline silicon, usually consisting of so-calledend-of-range dislocation loops. These loops act as a sink of interstitials in the very early stageof TED and as a source of interstitials later [5], thereby retarding the TED.

Advanced Calibration User Guide 195A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 214: Advanced Calibration User

12: Dios calibration method and limitations References

www.cThe do

The loops may be present until the end of a fabrication process and dominate the TEDtimescale. Therefore, after a Ge or Si implantation, the low values of si(i(cl0)) arepreserved for the rest of the process.

Boron segregation coefficient (Dios: siox(b(sg0)))

The prefactor of the Arrhenius-type segregation coefficient of B depends very strongly onimplantation conditions. In the Advanced Calibration, siox(b(sg0)) is higher for BF2 thanfor B implantation, it is reduced by a Si implantation and, in addition, it depends on the B (orBF2) implantation energy.

siox(b(sg0)) is important not only for the initial phase of annealing, but also for alldiffusion steps that follow an implantation. Therefore, overwriting a given parameter value ina subsequent implantation step can cause an inconsistency problem. This can occur, forexample, when a process involves several B or BF2 implantation steps.

In such a case, you should decide in which part of the device the accuracy is most importantand make a choice of parameters manually. For example, in a PMOS with BF2 extensionimplantation and B source–drain implantation, the accuracy of dose loss modeling is probablymore important for the extension region than for the source–drain region. After boronimplantation, you can reset the value of si(b(sg0)) to the value given after the BF2

implantation, by direct insertion of an appropriate statement diff:(siox(b(sg0=...))) inthe Dios input file.

References

[1] C. Zechner et al., “TCAD Calibration of USJ Profiles for Advanced Deep Sub-μmCMOS Processes,” Nuclear Instruments and Methods in Physics Research B, vol. 186,no. 1–4, pp. 303–308, 2002.

[2] P. Pichler, Intrinsic Point Defects, Impurities, and Their Diffusion in Silicon,Computational Microelectronics, Vienna: Springer, 2004.

[3] A. Höfler et al., “A technology oriented model for transient diffusion and activation ofboron in silicon,” Journal of Applied Physics, vol. 78, no. 6, pp. 3671–3679, 1995.

[4] Y.-S. Oh and D. E. Ward, “A Calibrated Model for Trapping of Implanted Dopants atMaterial Interface During Thermal Annealing,” in IEDM Technical Digest, SanFrancisco, CA, USA, pp. 509–512, December 1998.

[5] C. Bonafos, D. Mathiot, and A. Claverie, “Ostwald ripening of end-of-range defects insilicon,” Journal of Applied Physics, vol. 83, no. 6, pp. 3008–3017, 1998.

196 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 215: Advanced Calibration User

www.cThe do

APPENDIX A Merger

This appendix describes the software application Merger.

Using Merger

Merger is a software package that provides an interface for TSUPREM-4 and Dios processflow markup.

It merges a raw process flow with process parameters or additional process steps, followingwell-defined rules, to an input command file of the process simulator TSUPREM-4 or Dios.The inputs to Merger are a Merger process flow file and a markup TSUPREM-4 or Dios Rulesfile. The output is a TSUPREM-4 or Dios command file. Merger provides a graphical editorand a markup module.

Figure 33 Merger operating flow

Merger Editor

Merger Editor is the graphical representation of a Merger Rules file. It provides a convenientgraphical user interface to edit and apply the rules. The Merger Editor has been designed withdifferent work areas. Figure 34 on page 198 shows the Merger Editor main window:

Project area This is the first area of the main window and consists of a field for theproject path and an Open button.

Process area This is the second area of the main window and consists of a field forthe path and file name of the Merger process flow file, and the buttonsOpen, New, and Edit.

MergerTSUPREM-4 and Dios

Command File

Process

Rules

Advanced Calibration User Guide 197A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 216: Advanced Calibration User

A: MergerMerger Editor

www.cThe do

Figure 34 Merger Editor main window

Markup area This is the third area of the main window and consists of a Rules area,a field for the path and file name of the TSUPREM-4 or Dios Rulesfile, and the buttons Open, New, Edit, and Save.

Rules area This area has a title field and three tabs. The Gridblock tab has a textfield. The Startblock tab has a text field. The Pattern-Actions tabhas a table of Rules and several buttons. Together, the Simulatorfield, Title field and the Gridblock, Startblock, and Pattern-Actions tabs represent the contents of the Rules file.

Output area This area consists of a field for the path and file name of theTSUPREM-4 or Dios command file, and the buttons Open, New,Edit, Merge, and Merge All.

198 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 217: Advanced Calibration User

A: MergerStarting Merger Editor

www.cThe do

Starting Merger Editor

To start the Merger Editor from the command line, type merger, optionally followed bycommand-line options. The usage can be obtained by typing merger -help:

%merger -help

Usage: merger [options]

Description:The Merger is a batch or an interactive mode tool for Dios and TSUPREM-4input command file markup. It applies rules on the flow and creates a mergedflow file.

Options:-batch : Run in batch mode.-default : Reset preferences to default settings.-h[elp] : Display this help message.-output <OUTPUT> : Create a file containing the merged flow.-process <PROCESS> : Load a process flow file.-project <PROJECT> : Load a project.-rules <RULES> : Load a markup rules file.-verbose : Print processing info.-v[ersion] : Display the version number.

Arguments:OUTPUT : A Dios or TSUPREM-4 command file.PROCESS : A Merger process flow file.PROJECT : A Sentaurus Workbench project directory.RULES : A Dios or TSUPREM-4 Rules file.

Version information may be relevant when sending problems to the Synopsys TechnicalSupport Center. It can be obtained with the –v option.

Advanced Calibration User Guide 199A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 218: Advanced Calibration User

A: MergerStarting Merger Editor

www.cThe do

Navigating the Merger Editor

The Merger Editor functionality allows you to perform various tasks within the workflowprocess. Table 6 lists the various buttons of the graphical user interface (GUI).

Opening a project

To open a project directory:

1. File > Open > Project or click the Open button in the Project area.The Open dialog box is displayed.

2. Select the required project directory.

NOTE It is not possible to create a project directory by using the Merger Editor.

Figure 35 Opening a project from File menu

Table 6 Merger Editor toolbar buttons

Command Icon Shortcut keys Description

New Creates a new, empty file

Open Opens a file

Save Ctrl+S Saves a Rules file under an existing name

Merge Ctrl+M Merges selected Merger files

Merge All Ctrl+N Merges all Merger files of the selected project

Edit Opens the file in a text editor

200 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 219: Advanced Calibration User

A: MergerStarting Merger Editor

www.cThe do

Creating a new Merger process file

To create a new Merger process file:

■ File > New > Merger File or click the New button in the Process area.

Figure 36 Creating a new Merger file from File menu

Opening a Merger process file

To open a Merger process file:

1. File > Open > Merger File or click the Open button in the Process area.The Open dialog box is displayed.

2. Select the required Merger process file.

Editing a process flow

To edit the process flow, open a text editor:

1. Click the Edit button in the Process area.The text editor SEdit is displayed.

2. Edit the Merger process file.

Creating a new Rules file

To create a new Rules file:

■ File > New > Rules File or click the New button in the Markup area.

Advanced Calibration User Guide 201A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 220: Advanced Calibration User

A: MergerStarting Merger Editor

www.cThe do

Opening a Rules file

To open a Rules file:

1. Select a Simulator in the Markup area.

2. File > Open > Rules File or click the Open button in the Markup area. The Open dialog box is displayed.

3. Select the required Rules file.

Editing rules

The simplest way to edit rules is to use the Merger Editor (see Editing rules with Merger Editoron page 203). Alternatively, to edit a Rules file directly, open a text editor:

1. Click the Edit button in the Markup area.The text editor SEdit is displayed.

2. Edit the Rules file.

Creating a new output file

To create a new output file:

■ File > New > Output File or click the New button in the Output area.

Opening an output file

To open an output file:

1. File > Open > Output File or click the Open button in the Output area.The Open dialog box is displayed.

2. Select the required output file.

Editing the output

To edit the output file, open a text editor:

1. Click the Edit button in the Output area.The text editor SEdit is displayed.

2. Edit the output file.

202 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 221: Advanced Calibration User

A: MergerStarting Merger Editor

www.cThe do

Editing rules with Merger Editor

The Merger Rules file consists of the Gridblock, Startblock, and Pattern-Actions tabs. Thesesections are displayed and can be edited in the Rules area of the Markup area. The sectionscan be accessed by using the tabs.

Editing the title

To edit the title:

■ Type the title in the Title field.

The balloon help shows the comment before the title block in the Merger Rules file.

NOTE Comments cannot be added through the Merger Editor. You must use atext editor.

Figure 37 Title field for the Rules file

Editing the gridblock

To edit the gridblock:

1. Click the Gridblock tab.The gridblock text is displayed.

2. Edit the text.

Figure 38 Gridblock page

Advanced Calibration User Guide 203A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 222: Advanced Calibration User

A: MergerStarting Merger Editor

www.cThe do

Editing the startblock

To edit the startblock:

1. Click the Startblock tab.The startblock text is displayed.

2. Edit the text.

Figure 39 Startblock page

Editing a pattern-action

To edit a pattern-action:

1. Click the Pattern-Actions tab.The Pattern-Actions table is displayed. Every pattern-action rule is displayed in one rowof the table.

2. Edit the pattern-action:

a) Select the Enable option to make a pattern-action available.

b) Click the Step arrow to select an option.

c) To edit the Condition or any Action cell, double-click the corresponding table cell.An editable text dialog box is displayed. The table cells themselves cannot be edited.

The balloon help, which appears when the cursor is over a row number, shows the commentbefore the corresponding pattern-action in the Merger Rules file.

NOTE Comments cannot be added through the Merger Editor. You must use atext editor.

204 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 223: Advanced Calibration User

A: MergerStarting Merger Editor

www.cThe do

Figure 40 Pattern-Actions page

Adding a new pattern-action

To add a new pattern-action:

1. Edit > Add Pattern-Action.The Add dialog box is displayed.

2. Select the position where the new pattern-action is to be added.

3. Click OK.

Alternatively, a new pattern-action can be added from the Pattern-Actions page of the Rulesarea:

1. Select the position where the new pattern-action is to be added.

2. Click the Plus button.

Deleting a pattern-action

To delete a pattern-action:

1. Edit > Delete Pattern-Action.The Delete dialog box is displayed.

2. Select the pattern-action to be deleted.

3. Click OK.

Alternatively, a pattern-action can be deleted from the Rules area:

1. Select the pattern-action to be deleted.

2. Click the Minus button.

Advanced Calibration User Guide 205A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 224: Advanced Calibration User

A: MergerStarting Merger Editor

www.cThe do

Undoing an editing step

To undo a pattern-action editing step:

■ Edit > Undo or click the corresponding button in the Rules area.

Figure 41 Undoing the last pattern-action editing step

Saving a Rules file

To save the changes applied to a Rules file:

■ File > Save or click the Save button in the Markup area.

To write the changes to a new Rules file:

1. File > Save As.The Save dialog box is displayed.

2. Select the Rules file path and name.

Merging

Merging a single Merger file

To merge a Merger process file and Rules file to a selected output file:

■ File > Merge or click the Merge button in the Output area.

While the progress bar in the status bar of the Merger editor is highlighted and increasing, thefiles are merging.

206 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 225: Advanced Calibration User

A: MergerStarting Merger Editor

www.cThe do

Merging all Merger files

To merge all Merger process files of a selected project with a selected Rules file:

■ File > Merge All or click the Merge All button in the Output area.

All files in the selected project directory with names following the format *mer.cmd aremerged to the corresponding files *ts4.cmd in the case of TSUPREM-4 or *dio.cmd in thecase of Dios. While the progress bar in the status bar of the Merger Editor is highlighted andincreasing, the files are merging.

To cancel the merging process:

■ File > Stop Merge.

The output files are named automatically according to the naming scheme of the output files.

Options

Displaying argument parameters

To display (to the standard output) the parameter arguments and values of the Merger file beingmerged:

1. Options > Display.

2. Select the parameter type: implantation, diffusion, load, or line parameters.

While merging, the selected parameters are displayed, that is, in the case of implantationof Dios, the statement in the third line of the Merger file:

Implantation(element=as, energy=0.2, dose=1e+15, tilt=7, rotation=338)

will have the following displayed in the standard output:

Implantation Parameters (2):element = asenergy = 0.2dose = 1000000000000000.0tilt = 7rotation = 338

Advanced Calibration User Guide 207A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 226: Advanced Calibration User

A: MergerMerger Markup module

www.cThe do

Preferences

To declare the Acrobat Reader as the manual editor:

1. Options > User Preferences.The Merger Preferences dialog box is displayed.

2. Click the Open button to select the Acrobat Reader binary.

3. Click the Save button to save the preferences.

4. Click the Cancel button to close the dialog box.

To open the manual:

■ Help > Help Manual.

Merger Markup module

The Merger Markup module merges the Merger process file with the Rules file and writes themerged flow to the output file. This can be initiated interactively through the Merger Editor (seeMerging on page 206) or in batch mode.

To merge in batch mode, type on the command line:

%merger -batch -process <*> -rules <*> -output <*>

NOTE It is mandatory to list the Merger process, and Rules and output files torun Merger in batch mode successfully.

Sentaurus Workbench project

You can select a project of Sentaurus Workbench interactively or in batch mode by typing onthe command line:

%merger [-batch] -project <*>

The project will be the default path to the Merger input and output files. This is very convenientfor marking up all process flows of a project of Sentaurus Workbench. By clicking the MergeAll button, all flows of a project that follow the Merger file-naming scheme (see Merger file onpage 209) are merged.

208 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 227: Advanced Calibration User

A: MergerMerger Markup module

www.cThe do

Merger file

The Merger file contains the physical flow of the process but may not contain the parametersof the models implemented in the process simulator. However, Merger expects the Merger fileto have TSUPREM-4 or Dios syntax and units.

If used for a project of Sentaurus Workbench, the name of the Merger file must have the format:

*mer.cmd

where * is a wild card.

In the case of Dios, the Merger file does not have to contain the title, grid, or endstatements.

Merger file variables

The Merger file can contain calculations and variables. The calculations can contain theoperators +, –, *, /, and ( ).

In the case of TSUPREM-4, the variables must be defined by an assign statement, as inTSUPREM-4 syntax, for example:

assign name=var n.val=1.0

In the case of Dios, the variables must be defined by a set statement, as in Dios syntax, forexample:

set var=1.0

If a variable is set again in the file, the variable is redefined and the last value is valid.

To call this variable for TSUPREM-4, an @ sign must be prepended to the variable name, forexample:

@var

To call this variable for Dios, a dollar sign ($) must be prepended to the variable name, forexample:

$var

Advanced Calibration User Guide 209A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 228: Advanced Calibration User

A: MergerMerger Markup module

www.cThe do

If a variable contains a unit, the variable can only be used as corresponding parametersaccepting the same unit, for example:

set example_temp 1000KDiffusion(temperature=$example_temp) # okDiffusion(temprate=$example_energy/1sec) # error

The Merger file may contain preprocessor branch statements of Sentaurus Workbench such as:

#if <@ variant == 1 @>set extdose=6e14#elseset extdose=8e14#endif

In this case, wherever Merger needs to evaluate $extdose, it will use the value 8e14. This isbecause Merger regards everything following a # as a comment.

Rules file

The Rules file contains the geometric and parametric information needed for the processsimulation. It is structured into four blocks: the title, the gridblock for the grid, the startblockfor global setup, and the pattern-actions table for conditional parameters per process step.

The TSUPREM-4 Rules file usually has the file format:

*.smr

where * is a wild card.

The Dios Rules file usually has the file format:

*.dmr

where * is a wild card.

Title

The title contains the string for the title line of the simulation. For example:

calibration

corresponds to the TSUPREM-4 command:

ECHO calibration

210 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 229: Advanced Calibration User

A: MergerMerger Markup module

www.cThe do

and the Dios title command:

Title('calibration')

If the title string is not empty, the corresponding Dios title statement is added to the flow orreplaces the Dios title statement from the input flow. If the title string is empty, no Diostitle statement is added to the flow and the Dios title statement from the input flow isconserved.

Gridblock

The gridblock contains the grid for the simulation, for example:

Grid(Type=1dXleft=0.000, Xright=1.000,y(0.4,0.1,0.02,0.005,0.002)ny(5,5,5,2)

If the gridblock string is not empty, the corresponding grid statement is added to thebeginning of the flow. If the gridblock string is empty, no grid statement is added to the flowand the grid from the input flow is conserved.

Startblock

The startblock contains global parameters and setups preceding the process flow, for example:

repl(cont(MaxTrl=1))repl(cont(newdiff=1,sidiff=off))diff:(moddiff=pairdiffusion segregation=on o2diff:=diffusion)

Pattern-actions

The pattern-action is a conditional action depending on the occurrence of a pattern in a processflow.

Syntax

The merge criterion – the pattern – consists of a process step and conditions connected bylogical operators &&, ||, !, and grouped by parentheses. The operator && means and, || meansor, and ! means not.

The step consists of one keyword, for example, implantation. The conditions consist ofarguments for the keyword. Some arguments consist of an argument type and a value connectedby comparators ==, <=, >=, <, >, or !=. Some are without comparator or type.

Advanced Calibration User Guide 211A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 230: Advanced Calibration User

A: MergerMerger Markup module

www.cThe do

An example merge criterion is:

step: implantation

condition: element==B && dose>1e14

Table 13 on page 217 lists the keywords and their syntax allowed in the search criterion.

The merge activity – the action – consists of a Prepend, an Insert, an Append, and anAlsoLoad block. The Prepend or Append block is prepended or appended to the statementthat matches the condition. The Insert block is inserted in the statement argument list matchingthe condition. These action block arguments may contain parameters (starting with @ or $),which obtain their values from the parameters of the corresponding process step upon merging,for example, the parameter energy for the process step implantation.

Only for load statements are additional load statements added for each line in the AlsoLoadblock. The additional load statements differ from the original load statements only in theparameters that are listed in the AlsoLoad block.

An example merge activity is:

Prepend: gra(cross spe(Btotal Bactive I0))

Insert: particles=5000

Append: diff:(acinit=1e16)

AlsoLoad:

Table 14 on page 218 lists the action syntax for the different keywords, actions, andparameters.

Output file

For TSUPREM-4, the Merger output is the TSUPREM-4 input command file, that is:

*ts4.cmd

where * is a wild card.

For Dios, the Merger output is the Dios input command file, that is:

*dio.cmd

where * is a wild card.

212 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 231: Advanced Calibration User

A: MergerMerger Markup module

www.cThe do

Merging

When executing a merge, the non-empty title, gridblock, and startblock are appended to theprocess flow, and the rules of the Rules file are applied to the process flow. Merger comparesthe argument values listed in the pattern of the pattern-action with the argument values of everycorresponding process step of the flow by following certain criteria:

1. For every step of the flow, the pattern-actions are applied in the order they appear in thePattern-Actions table, for example:

having pattern 1 and pattern 2 match <step( arguments )>

the result is:

prepend-action 1prepend-action 2step ( arguments,insert-action 1,insert-action 2 )append-action 1append-action 2

2. The pattern-actions are only applied on the ‘un-merged’ input flow, that is, every pattern-action is applied to every step in the initial state before ‘merging,’ but are not applied to aneventually changed step due to a match of an earlier pattern-action.

3. Merger preprocesses the variables in the Merger file. Therefore, the variables are taken intoaccount in the argument statements of the flow for evaluation of the pattern-action. Tomonitor the flow arguments, the parameters of every process step are displayed in thestandard output window if the option is selected from the GUI menu.

The end statement is set automatically.

When executing merge all, all Merger files within a project directory with names following theformat *mer.cmd are merged to corresponding TSUPREM-4 files *ts4.cmd or Dios files*dio.cmd. This feature is convenient for merging a whole project.

For example, consider the Dios flow:

Substrate(conc=1e14,elem=b,ysubs=0,orientation=100)Deposit(mat=ox,thickness=0.6nm)

Implantation(element=as, energy=0.2, dose=1e+15, tilt=7, rotation=338)Implantation(element=b, energy=0.5, dose=5e+14, tilt=7, rotation=338)

Diffusion(time=8s, temperature=1050)

Advanced Calibration User Guide 213A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 232: Advanced Calibration User

A: MergerMerger Markup module

www.cThe do

Merged with a Rules file containing the example title, gridblock, startblock, merge criterion,and activity of Rules file on page 210, the following Dios commands result:

Title('calibration')

Grid(Type=1dXleft=0.000, Xright=1.000,y(0.4,0.1,0.02,0.005,0.002)ny(5,5,5,2,2)repl(cont(MaxTrl=1))repl(cont(newdiff=1,sidiff=off))diff:(moddiff=pairdiffusion segregation=on o2diff:=diffusion)

Substrate(conc=1e14,elem=b,ysubs=0,orientation=100)Deposit(mat=ox,thickness=0.6nm)

Implantation(element=as, energy=0.2, dose=1e+15, tilt=7, rotation=338)gra(cross spe(Btotal Bactive I0))

Implantation(element=b, energy=0.5, dose=5e+14, tilt=7, rotation=338particles=5000) diff:(acinit=1e16)

Diffusion(time=8s, temperature=1050)

end

214 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 233: Advanced Calibration User

A: MergerReference guide

www.cThe do

Reference guide

Merger Editor menu options

The different menu options available in the Merger Editor are listed in this section.

Table 7 File menu options

Command Icon Shortcut keys Description

New Creates a new, empty file

Open Opens a file

Save Ctrl+S Saves a Rules file under an existing name

Save As Saves a Rules file under another name

Merge Ctrl+M Merges selected Merger files

Merge All Ctrl+N Merges all Merger files of a selected project

Stop Merge Ctrl+H Stops merging

Exit Ctrl+Q Exits Merger Editor

Table 8 Edit menu options

Command Icon Shortcut keys Description

Undo Ctrl+Z Undoes a previous operation

Cut Ctrl+X Cuts a selection

Copy Ctrl+C Copies a selection

Paste Ctrl+V Pastes a cut or copied selection

Delete Delete key Deletes a selection

Add Pattern-Action Ctrl+A Adds a new pattern-action

Delete Pattern-Action Ctrl+D Removes a pattern-action

Table 9 Options menu options

Command Icon Shortcut keys Description

Display Displays parameter of selected type

User Preferences User preferences for Merger

Advanced Calibration User Guide 215A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 234: Advanced Calibration User

A: MergerReference guide

www.cThe do

Merger Editor keyboard navigation

The Tab and arrow keys allow navigation in the Merger Editor using the keyboard.

Merger Rules syntax reference

The Merger Rules syntax for pattern-actions is subdivided into pattern syntax and actionsyntax.

Pattern syntax

The merge criterion – the pattern – consists of a process step and conditions connected bylogical operators &&, ||, !, and grouped by parentheses. The operator && means and, || meansor, and ! means not.

The step consists of one keyword. The conditions consist of arguments for the keyword. Somearguments consist of an argument type and a value connected by comparators ==, <=, >=, <, >,or !=. Some are without comparator or type.

Table 10 Help menu options

Command Icon Shortcut keys Description

Documentation Provides Advanced Calibration manual as PDF

About Ctrl+B Provides information about the version

Table 11 Main window option

Key Description

Tab Allows for movement between buttons and windows

Table 12 Rules table options

Key Description

Up Arrow/Down Arrow Allows for moving up or down by one rule

Right Arrow/Left Arrow Allows for moving left or right

Page Up/Page Down Allows for moving up or down by one page

Home/End Allows for moving to the start or end of the table

Mouse wheel Allows for moving up or down by one rule

216 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 235: Advanced Calibration User

A: MergerReference guide

www.cThe do

Table 13 lists the keywords and their syntax allowed in the search criterion.

NOTE In the case of mixed atmosphere, Merger does not convert the flow ofH2 into a partial pressure of H2O. If you want to specify a condition foratmospheres with H2, the line keyword must be used. For example, forDios, the presence of H2 in a mixed atmosphere can be checked by aline condition with the argument "h2=" || "h2 =" || "h =".

Action syntax

The merge activity – the action – consists of a Prepend, an Insert, an Append, and anAlsoLoad block. The Prepend or Append block is prepended or appended to the statementthat matches the condition. The Insert block is inserted in the statement argument list matchingthe condition. These action block arguments may contain parameters (starting with $), whichobtain their values from the parameters of the corresponding process step upon merging.

Only for load statements are additional load statements added for each line in the AlsoLoadblock. The additional load statements differ from the original load statements only in theparameters that are listed in the AlsoLoad block.

Table 13 Keywords and syntax

Keyword Meaning Arguments Example

implantation Implantation statement scan element, elemenergy, endosetiltrotation, rot

elem==asen>0dose>=1e12tilt!=0rot<1

diffusion Diffusion statement scan maxT (maximal temperature)totaltime (total time)peaktime (time at max. temperature)po2 (partial pressure for O2)ph2o (partial pressure for H2O)

maxT==1000totaltime>5peaktime!=0po2>0ph2o!=0

load Load statement scan <string> "AMAT"

line Process file scan (no load, diffusion, or implantation statements)

<string> "comment"

Advanced Calibration User Guide 217A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 236: Advanced Calibration User

A: MergerReference guide

www.cThe do

Table 14 lists the action syntax for the different keywords, actions, and parameters.

Merger Rules file syntax

The Merger Rules file syntax has five blocks: the simulator, the title, the gridblock for the grid,the startblock for global setup, and the pattern-actions table for conditional parameters perprocess step. In the following, the string enclosed in [] explains the function of the text at thisposition.

The simulator has the syntax (for TSUPREM-4):

Simulator { TSUPREM-4 }

and the syntax for Dios is:

Simulator { DIOS }

If no simulator is specified, Dios is the default simulator.

The title has the syntax:

Title { [title string] }

The gridblock has the syntax:

Gridblock { [gridblock] }

Table 14 Keywords and syntax

Keyword Actions Arguments Parameters

implantation PrependInsertAppend

<string><string><string>

$energy, $dose, $tilt, $rotation$energy, $dose, $tilt, $rotation$energy, $dose, $tilt, $rotation

diffusion PrependInsertAppend

<string><string><string>

$maxT, $totaltime, $peaktime, $po2, $ph2o$maxT, $totaltime, $peaktime, $po2, $ph2o$maxT, $totaltime, $peaktime, $po2, $ph2o

load PrependInsertAppendAlsoLoad

<string><string><string>?file=*?, ?type=*?,?species=*?, ?scaling=*?

line PrependInsertAppend

<string><string><string>

218 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 237: Advanced Calibration User

A: MergerReference guide

www.cThe do

The startblock has the syntax:

Startblock { [startblock] }

A pattern-action has the syntax:

Condition ( [enable] ) if ( [step] && [condition] ) {prepend { [prepend string] }insert { [insert string] }append { [append string] }alsoload { [alsoload string] }

}

The first line starts and ends with ##, containing Merger information:

## [Merger information] ##

Comment lines outside of the four blocks shown above must begin with #:

# [comment string]

An example of a Merger Rules file is:

## DIOS RULES FILE GENERATED BY Merger ##

# title comment#Title {title}

# gridblock commentGridblock {Grid(Type=1d)}

# startblock comment# commentStartblock {}

# pattern-action 1 commentCondition (1) if ( implantation && element==B ) { prepend {gra(cross spe(Btotal Bactive I0))}}

# pattern-action 2 commentCondition (0) if ( implantation && element==Bf2 ) { insert {particles=5000} append {diff:(acinit=1e16)}}

Advanced Calibration User Guide 219A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 238: Advanced Calibration User

A: MergerReference guide

www.cThe do

Sentaurus Workbench integration of Merger

Merger can be integrated into Sentaurus Workbench by extending the tool database filegtooldb.tcl.

TSUPREM-4 prologue

In the case of merging the TSUPREM-4 command file tsuprem4_ts4.cmd of aTSUPREM-4 step without Ligament, call Merger in the corresponding TSUPREM-4 prologuecommand. Therefore, the Merger Rules defined as the variable @calibration@ of SentaurusWorkbench are applied to the preprocessed TSUPREM-4 command filespp@node@_ts4.cmd. The output is the TSUPREM-4 command files n@node@_ts4.cmd.

The Merger GUI can be called from Tool > Edit Input > Merger Rules.

To achieve the changes previously mentioned, the TSUPREM-4 tool definition ingtooldb.tcl must be manipulated similar to the following:

#TOOL BEGIN tsuprem4

set WB_tool(tsuprem4,prologue) { \ global app_data ;\ os_exec "merger -batch -verbose \ -process @pwd@/@commands@\ -rules @pwd@/@calibration@ \ -output @pwd@/n@node@_ts4.cmd" \}

set WB_tool(tsuprem4,input) [list commands merger]set WB_tool(tsuprem4,input,merger,file) "."set WB_tool(tsuprem4,input,merger,label) "Merger Rules..."set WB_tool(tsuprem4,input,merger,editor) merger

#TOOL END

#INPUT-EDITORS BEGIN

set WB_binaries(editor,merger) "$app_data(bin_dir)/merger -project"lappend WB_editor(all) merger

#INPUT-EDITORS END

220 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 239: Advanced Calibration User

A: MergerReference guide

www.cThe do

In the case of merging the TSUPREM-4 command file of a TSUPREM-4 step with Ligament,call Merger in the corresponding TSUPREM-4 prologue command differently:

set WB_tool(tsuprem4,prologue) { \ global app_data ;\ os_exec "merger -batch -verbose \ -process @pwd@/n@node@_ts4.cmd \ -rules @pwd@/@calibration@ \ -output @pwd@/n@node@_ts4.cmd" \}

First, Ligament first translates the preprocessed Ligament command filespp@node@_lig.cmd to n@node@_ts4.cmd. Second, the Merger Rules defined as thevariable @calibration@ of Sentaurus Workbench are applied to n@node@_ts4.cmd.

TSUPREM-4 setup

In the case of the Calibration Kit, Merger is called in the TSUPREM-4 setup command. Mergerapplies the Merger Rules defined in the variable @calibration@ of Sentaurus Workbench onthe flow files b@nr@_mer.cmd and writes the file b@nr@_ts4.cmd. The TSUPREM-4command file tsuprem4_ts4.cmd includes the file b@nr@_ts4.cmd.

Therefore, instead of the setup and prologue of the TSUPREM-4 definition in TSUPREM-4prologue on page 220, the following commands are used:

set WB_tool(tsuprem4,setup) { global env set env(S4OUT) n@node@_ts4out.tmp os_exec "merger -batch \ -process @pwd@/b@nr@_mer.cmd \ -rules @pwd@/@calibration@ \ -output @pwd@/b@nr@_ts4.cmd" os_ln_rel @commands@ n@node@_ts4.cmd "@pwd@"}set WB_tool(tsuprem4,prologue) { }

Dios prologue

In the case of merging the Dios command file dios_dio.cmd of a Dios step withoutLigament, call Merger in the corresponding Dios prologue command. Therefore, the MergerRules defined as the variable @calibration@ of Sentaurus Workbench are applied to thepreprocessed Dios command files pp@node@_dio.cmd. The output is the Dios command filesn@node@_dio.cmd.

The Merger GUI can be called from Tool > Edit Input > Merger Rules.

Advanced Calibration User Guide 221A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 240: Advanced Calibration User

A: MergerReference guide

www.cThe do

To achieve the changes previously mentioned, the Dios tool definition in gtooldb.tcl mustbe manipulated similar to the following:

#TOOL BEGIN dios

set WB_tool(dios,prologue) { \ global app_data ;\ os_exec "merger -batch -verbose \ -process @pwd@/@commands@ \ -rules @pwd@/@calibration@ \ -output @pwd@/n@node@_dio.cmd" \}

set WB_tool(dios,input) [list commands pref merger plot grid boundary]set WB_tool(dios,input,merger,file) "."set WB_tool(dios,input,merger,label) "Merger Rules..."set WB_tool(dios,input,merger,editor) merger

#TOOL END

#INPUT-EDITORS BEGIN

set WB_binaries(editor,merger) "$app_data(bin_dir)/merger -project"lappend WB_editor(all) merger

#INPUT-EDITORS END#

In the case of merging the Dios command file of a Dios step with Ligament, call Merger in thecorresponding Dios prologue command differently:

set WB_tool(dios,prologue) { \ global app_data ;\ os_exec "merger -batch -verbose \ -process @pwd@/n@node@_dio.cmd \ -rules @pwd@/@calibration@ \ -output @pwd@/n@node@_dio.cmd" \}

First, Ligament translates the preprocessed Ligament command files pp@node@_lig.cmd ton@node@_dio.cmd. Second, the Merger Rules defined as the variable @calibration@ ofSentaurus Workbench are applied to n@node@_dio.cmd.

222 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 241: Advanced Calibration User

A: MergerReference guide

www.cThe do

Dios setup

In the case of the Calibration Kit, Merger is called in the Dios setup command. Merger appliesthe Merger Rules defined in the variable @calibration@ of Sentaurus Workbench on theflow files b@nr@_mer.cmd and writes the file b@nr@_dio.cmd. The Dios command filedios_dio.cmd includes the file b@nr@_dio.cmd.

Therefore, instead of the setup and prologue of the Dios definition in Dios prologue onpage 221, the following commands are used:

set WB_tool(dios,setup) {global app_dataif { [catch { os_exec "$app_data(bin_dir)/merger -batch \

-process @pwd@/b@nr@_mer.cmd \ -rules @pwd@/@calibration@ \ -output @pwd@/b@nr@_dio.cmd" } err] } {

puts "merger FAILED: $err"} else { puts "Merged b@nr@_mer.cmd to b@nr@_dio.cmd" }os_ln_rel @commands@ n@node@_dio.cmd @pwd@

}

set WB_tool(dios,prologue) { }

Advanced Calibration User Guide 223A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 242: Advanced Calibration User

A: MergerReference guide

www.cThe do

224 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 243: Advanced Calibration User

www.cThe do

Glossary: Merger

This glossary contains the most frequently used terms with regard tothe Merger application.

A

actionEdit action on a flow.

argumentA step argument consists of an argument value and, in some cases, an argument unit.

argument unitPhysical unit of an argument.

argument valueValue of an argument.

C

conditionLogical conditional statement of arguments for a step.

D

Dios fileDios command file.

Dios Rules fileFile containing rules (gridblock, startblock, pattern-actions) for Dios.

F

flowLinear representation of a process.

Advanced Calibration User Guide 225A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 244: Advanced Calibration User

Glossary: MergerG

www.cThe do

G

gridblockBlock to be added as a grid.

M

markupRaising complexity from a simple level to a higher one.

mergeCommand that applies the rules of a Rules file to the process of a Merger file.

merge allCommand whereby all Merger files of the current Sentaurus Workbench project aremerged.

Merger EditorMerger interactive software module.

Merger fileProcess file used as input to Merger.

Merger Markup moduleMerger batch software module.

Merger process fileSee Merger file.

Merger process flow fileSee Merger file.

P

patternThe step and condition that are checked in a flow.

pattern-actionConditional action that depends on a pattern.

226 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 245: Advanced Calibration User

Glossary: MergerR

www.cThe do

processSequence of steps.

process flowA Merger flow.

R

Rules fileSee Dios Rules file.

S

Sentaurus Workbench projectDirectory containing files in Sentaurus Workbench format.

simulationModeling reality with computer assistance.

startblockBlock to be added as a global setup.

stepOne single command in the process flow such as implantation, diffusion, etch, deposit, andsubstrate of measurements.

T

TSUPREM-4 Rules fileFile containing rules (gridblock, startblock, pattern-actions) for TSUPREM-4.

V

variableVariables are defined in the Merger file and used as arguments.

Advanced Calibration User Guide 227A-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete

Page 246: Advanced Calibration User

Glossary: MergerV

www.cThe do

228 Advanced Calibration User GuideA-2008.09

adfamily.com EMail:[email protected] is for study only,if tort to your rights,please inform us,we will delete