Top Banner
HANDBOOK OF DEPOSITION TECHNOLOGIES FOR FILMS AND COATINGS Science, Technology and Applications Second Edition np NOYES PUBLICATIONS Park Ridge, New Jersey, U.S.A. Edited by Rointan F. Bunshah University of California at Los Angeles Los Angeles, California
885

20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Nov 03, 2014

Download

Documents

ggudayakumar

Surface engineering will remain a growth industry in the next decade,
because surface-engineered products increase performance, reduce costs,
and control surface properties independently of the substrate, thus offering
enormous potential due to the following:
! Creation of entirely new products
! Solution of previously unsolved engineering problems
! Improved functionality of existing products—engineering or decorative
! Conservation of scarce materials
! Ecological considerations—reduction of effluent output and power
consumption
Welcome message from author
This document is posted to help you gain knowledge. Please leave a comment to let me know what you think about it! Share it to your friends and learn new things together.
Transcript
Page 1: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

HANDBOOK OF

DEPOSITION TECHNOLOGIES

FOR FILMS AND COATINGS

Science, Technology and Applications

Second Edition

np NOYES PUBLICATIONSPark Ridge, New Jersey, U.S.A.

Edited by

Rointan F. Bunshah

University of California at Los AngelesLos Angeles, California

Page 2: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Copyright © 1994 by Noyes PublicationsNo part of this book may be reproduced orutilized in any form or by any means, elec-tronic or mechanical, including photocopying,recording or by any information storage andretrieval system, without permission in writingfrom the Publisher.

Library of Congress Catalog Card Number: 93-30751ISBN: 0-8155-1337-2Printed in the United States

Published in the United States of America byNoyes PublicationsMill Road, Park Ridge, New Jersey 07656

10 9 8 7 6 5 4 3 2 1

Library of Congress Cataloging-in-Publication Data

Handbook of deposition technologies for films and coatings /edited by Rointan F. Bunshah. -- 2nd ed.

p . cm.Rev. ed of: Deposition technologies for films and coatings.

c1982.Includes bibliographical references and index.ISBN 0-8155-1337-21. Coating processes. I. Bunshah, R. F. (Rointan

Framroze)II. Title: Deposition technologies for films and coatings.TP156.C57H38 1994667' .9--dc20 9 3 -30751

C I P

Page 3: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

DEDICATION

This volume is dedicated to Professor JohnThornton for his many pioneering contributions to thinfilm science and technology which have inspired somany of the scientists and engineers working in this field.

vii

Page 4: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

MATERIALS SCIENCE AND PROCESS TECHNOLOGY SERIES

Editors

Rointan F. Bunshah, University of California, Los Angeles (Series Editor)Gary E. McGuire, Microelectronics Center of North Carolina (Series Editor)Stephen M. Rossnagel, IBM Thomas J. Watson Research Center(Consulting Editor)

Electronic Materials and Process Technology

HANDBOOK OF DEPOSITION TECHNOLOGIES FOR FILMS AND COATINGS, SecondEdition: edited by Rointan F. Bunshah

CHEMICAL VAPOR DEPOSITION FOR MICROELECTRONICS: by Arthur Sherman

SEMICONDUCTOR MATERIALS AND PROCESS TECHNOLOGY HANDBOOK: edited byGary E. McGuire

HYBRID MICROCIRCUIT TECHNOLOGY HANDBOOK: by James J. Licari and Leonard R.Enlow

HANDBOOK OF THIN FILM DEPOSITION PROCESSES AND TECHNIQUES: edited by KlausK. Schuegraf

IONIZED-CLUSTER BEAM DEPOSITION AND EPITAXY: by Toshinori Takagi

DIFFUSION PHENOMENA IN THIN FILMS AND MICROELECTRONIC MATERIALS: edited byDevendra Gupta and Paul S. Ho

HANDBOOK OF CONTAMINATION CONTROL IN MICROELECTRONICS: edited by DonaldL. Tolliver

HANDBOOK OF ION BEAM PROCESSING TECHNOLOGY: edited by Jerome J. Cuomo,Stephen M. Rossnagel, and Harold R. Kaufman

CHARACTERIZATION OF SEMICONDUCTOR MATERIALS, Volume 1: edited by Gary E.McGuire

HANDBOOK OF PLASMA PROCESSING TECHNOLOGY: edited by Stephen M. Rossnagel,Jerome J. Cuomo, and William D. Westwood

HANDBOOK OF SEMICONDUCTOR SILICON TECHNOLOGY: edited by William C. O’Mara,Robert B. Herring, and Lee P. Hunt

HANDBOOK OF POLYMER COATINGS FOR ELECTRONICS, 2nd Edition: by James Licariand Laura A. Hughes

HANDBOOK OF SPUTTER DEPOSITION TECHNOLOGY: by Kiyotaka Wasa and ShigeruHayakawa

HANDBOOK OF VLSI MICROLITHOGRAPHY: edited by William B. Glendinning and JohnN. Helbert

CHEMISTRY OF SUPERCONDUCTOR MATERIALS: edited by Terrell A. Vanderah

CHEMICAL VAPOR DEPOSITION OF TUNGSTEN AND TUNGSTEN SILICIDES: by John E.J. Schmitz

ELECTROCHEMISTRY OF SEMICONDUCTORS AND ELECTRONICS: edited by John McHardyand Frank Ludwig

v

Page 5: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

v i Contents

HANDBOOK OF CHEMICAL VAPOR DEPOSITION: by Hugh O. Pierson

DIAMOND FILMS AND COATINGS: edited by Robert F. Davis

ELECTRODEPOSITION: by Jack W. Dini

HANDBOOK OF SEMICONDUCTOR WAFER CLEANING TECHNOLOGY: edited by WernerKern

CONTACTS TO SEMICONDUCTORS: edited by Leonard J. Brillson

HANDBOOK OF MULTILEVEL METALLIZATION FOR INTEGRATED CIRCUITS: edited bySyd R. Wilson, Clarence J. Tracy, and John L. Freeman, Jr.

HANDBOOK OF CARBON, GRAPHITE, DIAMONDS AND FULLERENES: by Hugh O. Pierson

Ceramic and Other Materials—Processing and Technology

SOL-GEL TECHNOLOGY FOR THIN FILMS, FIBERS, PREFORMS, ELECTRONICS ANDSPECIALTY SHAPES: edited by Lisa C. Klein

FIBER REINFORCED CERAMIC COMPOSITES: edited by K. S. Mazdiyasni

ADVANCED CERAMIC PROCESSING AND TECHNOLOGY, Volume 1: edited by Jon G. P.Binner

FRICTION AND WEAR TRANSITIONS OF MATERIALS: by Peter J. Blau

SHOCK WAVES FOR INDUSTRIAL APPLICATIONS: edited by Lawrence E. Murr

SPECIAL MELTING AND PROCESSING TECHNOLOGIES: edited by G. K. Bhat

CORROSION OF GLASS, CERAMICS AND CERAMIC SUPERCONDUCTORS: edited byDavid E. Clark and Bruce K. Zoitos

HANDBOOK OF INDUSTRIAL REFRACTORIES TECHNOLOGY: by Stephen C. Carnigliaand Gordon L. Barna

CERAMIC FILMS AND COATINGS: edited by John B. Wachtman and Richard A. Haber

Related Titles

ADHESIVES TECHNOLOGY HANDBOOK: by Arthur H. Landrock

HANDBOOK OF THERMOSET PLASTICS: edited by Sidney H. Goodman

SURFACE PREPARATION TECHNIQUES FOR ADHESIVE BONDING: by Raymond F.Wegman

FORMULATING PLASTICS AND ELASTOMERS BY COMPUTER: by Ralph D. Hermansen

HANDBOOK OF ADHESIVE BONDED STRUCTURAL REPAIR: by Raymond F. Wegman andThomas R. Tullos

CARBON–CARBON MATERIALS AND COMPOSITES: edited by John D. Buckley and DanD. Edie

CODE COMPLIANCE FOR ADVANCED TECHNOLOGY FACILITIES: by William R. Acorn

Series

Page 6: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Donald M. MattoxSociety of Vacuum CoatersAlbuquerque, New Mexico

Gary E. McGuireMicroelectronics Center of North

CarolinaResearch Triangle Park, North Carolina

Jerome C. SchmittJet Process CorporationNew Haven, Connecticut

Morton SchwartzElectrochemical/Metal Finishing

ConsultantLos Angeles, California

Arthur ShermanConsultantPalo Alto, California

Contributors

Rointan F. BunshahDepartment of Materials Science and

EngineeringUniversity of California at Los AngelesLos Angeles, California

Jan-Otto CarlssonDepartment of ChemistryUpsala UniversityUpsala, Sweden

Joseph E. GreeneCoordinated Science LaboratoryUniversity of Illinois at Urbana-

ChampaignUrbana, Illinois

Bret L. HalpernJet Process CorporationNew Haven, Connecticut

xiii

Page 7: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

xiv Contents

John A. Thornton*Coordinated Science LaboratoryUniversity of Illinois at Urbana-

ChampaignUrbana, Illinois

* Professor Thornton died unexpectedly inNovember, 1987.

Robert C. Tucker, Jr.Praxair Surface Technologies, Inc.Indianapolis, Indiana

NOTICE

To the best of our knowledge the information in this publication isaccurate; however the Publisher does not assume any responsibilityor liability for the accuracy or completeness of, or consequencesarising from, such information. This book is intended for informationalpurposes only. Mention of trade names or commercial products doesnot constitute endorsement or recommendation for use by the Publisher.Final determination of the suitability of any information or productfor use contemplated by any user, and the manner of that use, is thesole responsibility of the user. We recommend that anyone intendingto rely on any recommendation of materials or procedures mentionedin this publication should satisfy himself as to such suitability, andthat he can meet all applicable safety and health standards.

Contributors

Page 8: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

i x

Preface to the Second Edition

A decade after the first edition of this volume was published, a secondedition is being brought out partly due to the excellent response to the firstedition and also to update the many improvements in deposition technologies,the mechanisms and applications.

The entire volume has been extensively revised and contains 50% ormore new material. Five entirely new chapters have been added. Theorganization of the book has also been changed in the following respects:

1. Considerably more material has been added in PlasmaAssisted Vapor Deposition Processes.

2. A new chapter on Metallurgical Coating Applications hasbeen added.

The chapter in the first edition on Polymeric Coating techniques hasbeen omitted as it deserves a volume by itself. Large topics such as coatingstechnology in microelectronics, diamond films, etc., have been treated inseparate volumes in this series.

Although there are some new competing volumes dealing with selectedtopics on the materials science of thin films, this volume remains the onlycomprehensive treatment of the entire subject of Deposition Technology.

Applications of films and coatings spans the entire gamut of science andtechnology. Generic application areas include electronic, magnetic, optical,mechanical, chemical and decorative applications. New deposition technolo-gies such as arc evaporation, unbalanced magnetron sputtering, ion beamassisted deposition, and metal-organic CVD have come on stream for criticalapplications. In this post cold war era, many economic solutions toengineering problems will necessarily involve coatings, e.g., battery materialsfor the emerging electric car industry.

Page 9: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

x Contents

The core subjects are the basic technologies for the deposition of filmsand coatings. These are the Physical Vapor Deposition (PVD) Processesconsisting of Evaporation, Sputtering, and Ion Plating; Chemical VaporDeposition (CVD) and Plasma-Assisted Chemical Vapor Deposition (PACVD);Electrodeposition and Electroless Plating; Thermal Spraying, Plasma Spray-ing and Detonation Gun Technologies. Chapters on other subjects commonto the above technologies are included. These are: Adhesion of Coatings,Cleaning of Substrates, Role of Plasmas in Deposition Processes, Structureof PVD Deposits, Growth and Structure of PVD Films, Mechanical andTribological Properties of PVD Deposits, Elemental and Structural Character-ization Techniques, and Metallurgical Coatings. A relatively new develop-ment, Jet Vapor Deposition Process, was added as the last chapter in thebook during the page proof stage because of its novelty.

We hope that this volume will be useful to the multitude of disciplinesrepresented by the workers in this field and provide a source for futuredevelopments.

University of California Rointan F. BunshahLos Angeles, CaliforniaJune, 1993

Preface

Page 10: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Preface to the First Edition

Almost universally in high technology applications, a composite materialis used where the properties of the surface are intentionally different from thoseof the core. Thus, materials with surface coatings are used in the entire cross-section of applications ranging from microelectronics, display devices, chemi-cal corrosion, tribology including cutting tools, high temperature oxidation/corrosion, solar cells, thermal insulation and decorative coatings (includingtoys, automobile components, watch cases, etc.).

A large variety of materials is used to produce these coatings. They aremetals, alloys, refractory compounds (e.g., oxides, nitrides, carbides),intermetallic compounds (e.g., GaAg) and polymers in single or multiplelayers. The thickness of the coatings ranges from a few atom layers to millionsof atom layers. The microstructure and hence the properties of the coatingscan be varied widely and at will, thus permitting one to design new materialsystems with unique properties. (A material system is defined as thecombination of the substrate and coating.)

Historically, coating technology evolved and developed in the last 30years in several industries, i.e., decorative coatings, microelectronics andmetallurgical coatings. They used similar techniques but only with thepassage of time have the various approaches reached a common frontierresulting in much useful cross-fertilization. That very vital process isproceeding ever more strongly at this time.

With this background in mind, a short course on Deposition Technolo-gies and their applications was developed and given on five consecutiveoccasions in the last three years. This volume is based on the material usedin the course.

x i

Page 11: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

xii

It comprises chapters dealing with the various coating techniques, theresulting microstructure, properties and applications. The specific techniquescovered are evaporation, ion plating, sputtering, chemical vapor deposition,electrodeposition from aqueous solution, plasma and detonation gun coatingtechniques, and polymeric coatings. In addition several other chapters areadded. Plasmas are used in many of the deposition processes and thereforea special chapter on this topic has been added. Cleaning of the substrate andthe related topic of adhesion of the coating are common to many processesand a brief exposé of this topic is presented. Characterization of the films, i.e.,composition, impurities, crystal structure and microstructure are essential tothe understanding of the various processes. Two chapters dealing with thisarea are included. Finally, a chapter on application of deposition techniquesin microelectronics is added to give one example of the use of several of thesetechniques in a specific area. This volume represents a unique collection ofour knowledge on Deposition Technologies and their applications up to andincluding the state-of-the-art. It is hoped that it will be very useful to students,practicing engineers and managerial personnel who have to learn about thisessential area of modern technology.

University of California R. F. BunshahLos Angeles, CaliforniaApril 1982

Page 12: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Contents xv

xv

Contents

1 Deposition Technologies: An Overview ....................... 27Rointan F. Bunshah

1.0 THE MARKET .............................................................................. 272.0 INTRODUCTION ........................................................................... 283.0 AIM AND SCOPE ......................................................................... 304.0 DEFINITIONS AND CONCEPTS ................................................... 315.0 PHYSICAL VAPOR DEPOSITION (PVD) PROCESS

TERMINOLOGY ........................................................................... 326.0 CLASSIFICATION OF COATING PROCESSES ........................... 347.0 GAS JET DEPOSITION WITH NANO-PARTICLES ....................... 368.0 MICROSTRUCTURE AND PROPERTIES ..................................... 389.0 UNIQUE FEATURES OF DEPOSITED MATERIALS AND GAPS

IN UNDERSTANDING ................................................................... 4010.0 CURRENT APPLICATIONS .......................................................... 41

10.1 Decorative/Functional Coating ............................................. 4110.2 High Temperature Corrosion ................................................ 4210.3 Environmental Corrosion ..................................................... 4210.4 Friction and Wear ............................................................... 4210.5 Materials Conservation ........................................................ 4310.6 Cutting Tools ...................................................................... 4310.7 Nuclear Fuels ..................................................................... 4410.8 Biomedical Uses ................................................................. 44

Page 13: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

xvi Contents

10.9 Electrical Uses ................................................................... 4411.0 “FRONTIER AREAS” FOR THE APPLICATION OF

THE PRODUCTS OF DEPOSITION TECHNOLOGY ..................... 4412.0 SELECTION CRITERIA ................................................................. 4613.0 SUMMARY................................................................................... 48APPENDIX 1: DEPOSITION PROCESS DEFINITIONS........................... 49

Conduction and Diffusion Processes............................................. 49Chemical processes ..................................................................... 50Wetting Process........................................................................... 50Spraying Processes ..................................................................... 51

REFERENCES ...................................................................................... 54

2 Plasmas in Deposition Processes .............................. 55John A. Thornton and Joseph E. Greene

1.0 INTRODUCTION ........................................................................... 552.0 PARTICLE MOTION ..................................................................... 56

2.1 Mean Free Path and Collision Cross Sections .................... 562.2 Free Electron Kinetic Energy in a Plasma........................... 582.3 Electron Energy Distribution Functions ............................... 592.4 Collision Frequencies .......................................................... 61

3.0 COLLECTIVE PHENOMENA ........................................................ 683.1 Plasma Sheaths ................................................................. 693.2 Ambipolar Diffusion ............................................................. 743.3 Plasma Oscillations ............................................................ 75

4.0 PLASMA DISCHARGES .............................................................. 764.1 Introduction ......................................................................... 764.2 Ionization Balances and the Paschen Relation .................... 774.3 Cold Cathode Discharges ................................................... 824.4 Magnetron Discharges ........................................................ 844.5 RF Discharges .................................................................... 85

5.0 PLASMA VOLUME REACTIONS ................................................. 875.1 Introduction ......................................................................... 875.2 Electron/Atom Interactions .................................................. 875.3 Electron/Molecule Interactions ............................................ 885.4 Metastable Species ............................................................ 905.5 Applications of Volume Reactions....................................... 92

6.0 SURFACE REACTIONS ............................................................... 936.1 Introduction ......................................................................... 936.2 Ion Bombardment................................................................ 936.3 Electron Bombardment ..................................................... 1006.4 Glow Discharge Surface Cleaning and Activation .............. 100

REFERENCES .................................................................................... 103

Page 14: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Contents xvii

3 Surface Preparation for Film and Coating DepositionProcesses ................................................................ 108Donald M. Mattox

1.0 INTRODUCTION ......................................................................... 1082.0 CONTAMINATION ...................................................................... 110

2.1 Recontamination ............................................................... 1113.0 ENVIRONMENT CONTROL ........................................................ 1134.0 CLEANING PROCESSES .......................................................... 119

4.1 Particulate Removal .......................................................... 1204.2 Abrasive Cleaning ............................................................. 1214.3 Etch Cleaning ................................................................... 1214.4 Fluxing .............................................................................. 1224.5 Alkaline Cleaners .............................................................. 1224.6 Detergent Cleaning ........................................................... 1224.7 Chelating Agents .............................................................. 1234.8 Solvent Cleaning ............................................................... 1234.9 Oxidation Cleaning............................................................ 1284.10 Volatilization Cleaning....................................................... 1304.11 Hydrogen Reduction Cleaning ........................................... 1304.12 Electrolytic Cleaning ......................................................... 131

5.0 DRYING AND OUTGASSING ..................................................... 1326.0 MONITORING OF CLEANING .................................................... 1337.0 IN SITU CLEANING .................................................................... 134

7.1 Ion Scrubbing .................................................................... 1348.0 PLASMAS.................................................................................. 134

8.1 Generation of Plasmas ..................................................... 1358.2 Plasma Chemistry ............................................................ 1408.3 Bombardment Effects on Surfaces .................................... 1418.4 Sputter Cleaning and Etching............................................ 143

9.0 STORAGE AND HANDLING ....................................................... 14710.0 ACTIVATION AND SENSITIZATION ............................................ 14811.0 SURFACE MODIFICATION ........................................................ 15012.0 PASSIVATION AND PRESERVATION ....................................... 15113.0 SAFETY ..................................................................................... 152REFERENCES .................................................................................... 152

4 Evaporation: Processes, Bulk Microstructures andMechanical Properties .............................................. 157Rointan F. Bunshah

1.0 GENERAL INTRODUCTION ........................................................ 1572.0 SCOPE ...................................................................................... 159

Page 15: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

xviii Contents

3.0 PVD PROCESSES .................................................................... 1593.1 Preamble .......................................................................... 1593.2 PVD Processes ................................................................ 1603.3 Advantages and Limitations .............................................. 165

4.0 THEORY AND MECHANISMS ................................................... 1664.1 Vacuum Evaporation ......................................................... 166

5.0 EVAPORATION PROCESS AND APPARATUS ......................... 1695.1 The System ...................................................................... 169

6.0 EVAPORATION SOURCES ....................................................... 1726.1 General Considerations ..................................................... 1726.2 Resistance Heated Sources ............................................. 1756.3 Sublimation Sources ......................................................... 1766.4 Evaporation Source Materials............................................ 1786.5 Induction Heated Sources ................................................. 1806.6 Electron Beam Heated Sources ........................................ 1816.7 Arc Evaporation ................................................................ 189

7.0 LASER INDUCED EVAPORATION/LASER ABLATION/PULSEDLASER DEPOSITION (PLD) ....................................................... 192

8.0 DEPOSITION RATE MONITORS AND PROCESS CONTROL .... 1948.1 Monitoring of the Vapor Stream ......................................... 1948.2 Monitoring of Deposited Mass ........................................... 1968.3 Monitoring of Specific Film Properties ............................... 1968.4 Evaporation Process Control ............................................. 199

9.0 DEPOSITION OF VARIOUS MATERIALS .................................. 2019.1 Deposition of Metals and Elemental Semiconductors ........ 2019.2 Deposition of Alloys .......................................................... 2019.3 Deposition of Intermetallic Compounds ............................. 2059.4 Deposition of Refractory Compounds ................................ 2099.5 Reactive Evaporation Process ........................................... 2139.6 Activated Reactive Evaporation (ARE) ............................... 2139.7 Materials Synthesized by Evaporation-based Processes .. 223

10.0 MICROSTRUCTURE OF PVD CONDENSATES ......................... 22410.1 Microstructure Evolution.................................................... 22410.2 Texture ............................................................................. 23610.3 Residual Stresses ............................................................ 23710.4 Defects ............................................................................. 237

11.0 PHYSICAL PROPERTIES OF THIN FILMS ................................ 24112.0 MECHANICAL AND RELATED PROPERTIES............................ 24112.1 Mechanical Properties ................................................................ 24113.0 PURIFICATION OF METALS BY EVAPORATION ...................... 256APPENDIX ......................................................................................... 258

On Progress in Scientific Investigations in the Field of VacuumEvaporation in the Soviet Union................................................... 258

REFERENCES .................................................................................... 261

Page 16: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Contents xix

5 Sputter Deposition Processes .................................. 275John A. Thornton and Joseph E. Greene

1.0 INTRODUCTION ......................................................................... 2751.1 Sputter Deposition Systems ............................................. 2781.2 Sputter-Deposition Applications ........................................ 2791.3 Process Implementation ................................................... 2821.4 History of Sputter Deposition and Background Reading .... 283

2.0 SPUTTERING MECHANISMS .................................................... 2842.1 Sputtering Rate ................................................................. 2852.2 Momentum Exchange ....................................................... 2892.3 Alloys and Compounds ..................................................... 2922.4 Sputtering with Reactive Species ...................................... 2952.5 The Nature of Sputtered Species ...................................... 2962.6 Energy Distribution of Sputtered Species .......................... 298

3.0 SPUTTER DEPOSITION TECHNIQUES ..................................... 3013.1 Planar Diode and the DC Glow Discharge ......................... 3013.2 Triode Discharge Devices .................................................. 3053.3 Magnetrons ....................................................................... 3063.4 RF Sputtering ................................................................... 3183.5 Ion-Beam Sputtering ......................................................... 327

4.0 SPUTTER DEPOSITION MODES ............................................... 3284.1 Reactive Sputtering ........................................................... 3284.2 Bias Sputtering ................................................................. 332

REFERENCES .................................................................................... 337

6 Ion Plating ................................................................. 346Donald M. Mattox

1.0 INTRODUCTION ......................................................................... 3462.0 PROCESSING PLASMA ............................................................ 3513.0 GENERATION OF PLASMAS .................................................... 351

3.1 DC Diode Discharge.......................................................... 3513.2 RF Discharge .................................................................... 3553.3 Microwave Discharges ...................................................... 3563.4 Electron Emitter Discharge ............................................... 3563.5 Magnetron Discharges ...................................................... 3573.6 Plasma Enhancement....................................................... 358

4.0 PLASMA CHEMISTRY ............................................................... 3595.0 BOMBARDMENT EFFECTS ON SURFACES ............................ 360

5.1 Collisional Effects ............................................................. 3635.2 Surface Region Effects ..................................................... 3685.3 Near Surface Region Effects ............................................. 3695.4 Bulk Effects ...................................................................... 369

Page 17: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

xx Contents

6.0 SOURCES OF DEPOSITING ATOMS ........................................ 3696.1 Thermal Vaporization ........................................................ 3706.2 Sputtering ......................................................................... 3716.3 Vacuum Arcs .................................................................... 3716.4 Chemical Vapor Precursors .............................................. 373

7.0 REACTIVE ION PLATING ........................................................... 3738.0 BOMBARDMENT EFFECTS ON FILM PROPERTIES ................ 373

8.1 Effects: Adatom Nucleation............................................... 3738.2 Effects: Interface Formation .............................................. 3748.3 Effects: Film Growth ......................................................... 3748.4 Film Adhesion................................................................... 3768.5 Film Morphology/Density .................................................. 3768.6 Residual Film Stress ........................................................ 3788.7 Crystallographic Orientation .............................................. 3788.8 Gas Incorporation.............................................................. 3808.9 Surface Coverage .............................................................. 3808.10 Other Properties ............................................................... 381

9.0 ION PLATING SYSTEM REQUIREMENTS ................................. 3819.1 Vacuum System ............................................................... 3819.2 High Voltage Components ................................................ 3819.3 Gas Handling System ....................................................... 3839.4 Evaporation/Sublimation Sources ...................................... 3839.5 Sputtering Sources ........................................................... 3839.6 Plasma Uniformity ............................................................ 3849.7 Plasma Generation Near the Substrate Surface ................ 3849.8 Substrate Fixturing ........................................................... 384

10.0 PROCESS MONITORING AND CONTROL ................................. 38510.1 Plasma ............................................................................. 38510.2 Substrate Temperature ..................................................... 38510.3 Specifications ................................................................... 385

11.0 PROBLEM AREAS .................................................................... 38612.0 APPLICATIONS.......................................................................... 38913.0 SUMMARY................................................................................. 389REFERENCES .................................................................................... 391

7 Chemical Vapor Deposition ...................................... 400Jan-Otto Carlsson

1.0 INTRODUCTION ......................................................................... 4002.0 IMPORTANT REACTION ZONES IN CVD ................................... 4013.0 DESIGN OF CVD EXPERIMENTS .............................................. 402

3.1 Classification of CVD Reactions........................................ 4033.2 Thermodynamics .............................................................. 4053.3 Adhesion .......................................................................... 409

Page 18: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Contents xxi

3.4 Substrate Cleaning Procedures ......................................... 4103.5 The CVD system .............................................................. 4103.6 The Gas Dispensing System ............................................ 4113.7 The Reactor ...................................................................... 4133.8 The Exhaust System ........................................................ 4153.9 Analysis of the Vapor in a CVD Reactor............................ 417

4.0 GAS FLOW DYNAMICS ............................................................ 4174.1 Gas Flow Patterns ............................................................ 4204.2 Boundary Layers ............................................................... 4234.3 Mass Transport Processes Across a Boundary Layer....... 428

5.0 RATE-LIMITING STEPS DURING CVD ....................................... 4286.0 REACTION MECHANISMS ........................................................ 4367.0 NUCLEATION ............................................................................. 4388.0 SURFACE MORPHOLOGY AND MICROSTRUCTURE OF CVD

MATERIALS ............................................................................... 4429.0 SELECTIVE DEPOSITION.......................................................... 445

9.1 Area-Selective Growth....................................................... 4469.2 Phase-Selective Deposition............................................... 452

10.0 SOME APPLICATIONS OF THE CVD TECHNIQUE ................... 45311.0 OUTLOOK .................................................................................. 455REFERENCES .................................................................................... 456

8 Plasma-Enhanced Chemical Vapor Deposition ........ 460Arthur Sherman

1.0 INTRODUCTION ......................................................................... 4602.0 REACTOR INFLUENCE ON PLASMA BEHAVIOR ..................... 461

2.1 DC/AC Glow Discharges ................................................... 4612.2 AC Discharges with Unequal Area Electrodes ................... 4642.3 Frequency Effects on RF Plasma Reactor Behavior .......... 4662.4 Adjusting DC Bias for Fixed Electrode Geometry .............. 4672.5 Plasma-Enhanced CVD (PECVD) Reactors ...................... 467

3.0 FILMS DEPOSITED BY CVD ..................................................... 4723.1 Silicon Nitride ................................................................... 4723.2 Silicon Dioxide .................................................................. 4783.3 Conducting Films .............................................................. 481

REFERENCES .................................................................................... 482

9 Plasma-Assisted Vapor Deposition Processes:Overview ................................................................... 485Rointan F. Bunshah

1.0 INTRODUCTION ......................................................................... 4852.0 PLASMA-ASSISTED DEPOSITION PROCESSES ..................... 4883.0 MODEL OF A DEPOSITION PROCESS..................................... 488

Page 19: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

xxii Contents

4.0 MATERIALS DEPOSITED BY REACTIVE VAPOR DEPOSITIONPROCESSES............................................................................. 491

5.0 KEY ISSUES IN PLASMA-ASSISTED REACTIVE VAPORDEPOSITION PROCESSES....................................................... 4925.1 Plasma Volume Chemistry ............................................... 4925.2 Type and Nature of the Bombardment of the Growing Film 493

6.0 PLASMA-ASSISTED DEPOSITION TECHNIQUES IN CURRENTUSAGE ...................................................................................... 4956.1 Plasma-Assisted Chemical Vapor Deposition ................... 4956.2 Sputter Deposition ............................................................ 4966.3 Activated Reactive Evaporation (ARE) ............................... 497

7.0 LIMITATIONS OF CURRENT PLASMA-ASSISTED TECHNIQUES499

8.0 HYBRID PROCESSES ............................................................... 5019.0 CONCLUSIONS.......................................................................... 501REFERENCES .................................................................................... 505

10 Deposition from Aqueous Solutions: An Overview ..... 506Morton Schwartz

1.0 INTRODUCTION ......................................................................... 5062.0 GENERAL PRINCIPLES ............................................................ 5083.0 ELECTRODEPOSITION.............................................................. 520

3.1 Mechanism of Deposition .................................................. 5203.2 Parameters ....................................................................... 526

4.0 PROCESSING TECHNIQUES .................................................... 5365.0 SELECTION OF DEPOSIT ......................................................... 539

5.1 Individual Metals ............................................................... 5395.2 Alloy Deposition ................................................................ 543

6.0 SELECTED SPECIAL PROCESSES ......................................... 5506.1 Electroless Deposition ...................................................... 5506.2 Electroforming................................................................... 5576.3 Anodizing.......................................................................... 5606.4 Plating on Plastics............................................................ 5706.5 Plating Printed Circuit Boards ........................................... 571

7.0 STRUCTURES AND PROPERTIES OF DEPOSITS ................... 5748.0 SUMMARY................................................................................. 596APPENDIX A - Preparation of Substrates for Electroplating .................. 597APPENDIX B - Representative Electroless Plating

Solution Formulation .................................................... 599APPENDIX C - Comparison of Aluminum Anodizing Processes

(Types I, II and III) ......................................................... 602REFERENCES .................................................................................... 605

Page 20: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Contents xxiii

11 Advanced Thermal Spray Deposition Techniques ..... 617Robert C. Tucker, Jr.

1.0 INTRODUCTION ......................................................................... 6172.0 EQUIPMENT AND PROCESSES ............................................... 618

2.1 Plasma Spray Process ..................................................... 6182.2 Detonation Gun Deposition Process ................................. 6262.3 High Velocity Oxy-Fuel Deposition.................................... 6282.4 Thermal Control ................................................................ 6292.5 Auxiliary Equipment .......................................................... 6302.6 Equipment-Related Coating Limitations............................. 631

3.0 TOTAL COATING PROCESS ..................................................... 6323.1 Powder ............................................................................. 6323.2 Substrate Preparation ....................................................... 6323.3 Masking ............................................................................ 6333.4 Coating ............................................................................. 6333.5 Finishing ........................................................................... 635

4.0 COATING STRUCTURE AND PROPERTIES .............................. 6364.1 Surface Macrostructure and Microstructure ....................... 6364.2 Microstructure................................................................... 6374.3 Bond Strength................................................................... 6434.4 Residual Stress ................................................................ 6444.5 Density ............................................................................. 6454.6 Mechanical Properties ...................................................... 6474.7 Wear and Friction ............................................................. 6534.8 Corrosion Properties ......................................................... 6604.9 Thermal Properties............................................................ 6624.10 Electrical Characteristics .................................................. 664

5.0 SUMMARY................................................................................. 665REFERENCES .................................................................................... 665

12 Non-Elemental Characterization of Filmsand Coatings ............................................................ 669Donald M. Mattox

1.0 INTRODUCTION ......................................................................... 6692.0 CHARACTERIZATION ................................................................ 6713.0 FILM FORMATION ..................................................................... 6774.0 ELEMENTAL AND STRUCTURAL ANALYSIS ............................ 6815.0 SOME PROPERTY MEASUREMENTS ..................................... 682

5.1 Adhesion .......................................................................... 6825.2 Film Thickness ................................................................. 6895.3 Film Stress ....................................................................... 6915.4 Coefficient of Thermal Expansion ...................................... 695

Page 21: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

xxiv Contents

5.5 Mechanical Properties ...................................................... 6955.6 Electrical Resistivity.......................................................... 6965.7 Temperature Coefficient of Resistivity (TCR) ...................... 6965.8 Electromigration ................................................................ 6975.9 Density ............................................................................. 6975.10 Porosity ............................................................................ 6985.11 Chemical Etch Rate (Dissolution) ..................................... 701

6.0 SUMMARY................................................................................. 701REFERENCES .................................................................................... 702

13 Nucleation, Film Growth, and MicrostructuralEvolution ................................................................... 707Joseph E. Greene

1.0 INTRODUCTION ......................................................................... 7072.0 NUCLEATION AND THE EARLY STAGES OF FILM GROWTH.. 708

2.1 Three-Dimensional Nucleation and Growth ........................ 7102.2 Two-Dimensional Nucleation and Growth .......................... 7212.3 Stranski-Krastanov Nucleation and Growth ....................... 728

3.0 COMPUTER SIMULATIONS OF MICROSTRUCTUREEVOLUTION ............................................................................... 7303.1 Film Growth in the Ballistic Aggregation, Low-Adatom

Mobility, Limit ................................................................... 7323.2 Effects of Adatom Migration .............................................. 734

4.0 MICROSTRUCTURE EVOLUTION AND STRUCTURE-ZONE...... 7365.0 EFFECTS OF LOW-ENERGY ION IRRADIATION DURING FILM

GROWTH ................................................................................... 7435.1 Effects of Low-Energy Ion/Surface Interactions

on Nucleation Kinetics ...................................................... 7435.2 Effects of Low-Energy Ion/Surface Interactions

on Film Growth Kinetics.................................................... 750REFERENCES .................................................................................... 760

14 Metallurgical Applications.......................................... 766Rointan F. Bunshah

1.0 INTRODUCTION ......................................................................... 7662.0 CORROSION .............................................................................. 7663.0 GALVANIC CORROSION ........................................................... 767

3.1 Galvanic Cells ................................................................... 7684.0 EMF AND GALVANIC SERIES .................................................. 7705.0 COATINGS FOR GALVANIC CORROSION ................................ 7706.0 METHODS OF DEPOSITION OF METALLIC COATINGS ........... 772

Page 22: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Contents xxv

7.0 EXAMPLES OF CORROSION-RESISTANT COATINGS ............. 7737.1 Preamble .......................................................................... 773

8.0 HIGH TEMPERATURE OXIDATION/CORROSION ...................... 7769.0 FRICTION AND WEAR ............................................................... 781

9.1 Adhesive Wear.................................................................. 7819.2 Fretting Wear .................................................................... 7819.3 Abrasive Wear .................................................................. 7829.4 Fatigue Wear .................................................................... 7829.5 Impact Erosion Wear by Solid Particles and Fluids ........... 7829.6 Corrosive Wear ................................................................. 7839.7 Electric Arc Induced Wear ................................................ 7839.8 Solution Wear (Thermodynamic Wear).............................. 783

10.0 COATINGS TO REDUCE FRICTION AND WEAR ....................... 78310.1 Friction ............................................................................. 78310.2 Lubrication ........................................................................ 78510.3 Wear................................................................................. 785

REFERENCES .................................................................................... 787

15 Characterization of Thin Films and Coatings ............. 789Gary E. McGuire

1.0 INTRODUCTION ......................................................................... 7892.0 SURFACE ANALYSIS TECHNIQUES ........................................ 789

2.1 Auger Electron Spectroscopy ........................................... 7892.2 Photoelectron Spectroscopy ............................................. 7972.3 Secondary Ion Mass Spectroscopy .................................. 8032.4 Rutherford Backscattering Spectroscopy .......................... 812

3.0 IMAGING ANALYSIS TECHNIQUES .......................................... 8223.1 Scanning Electron Microscopy ......................................... 8223.2 Transmission Electron Microscopy ................................... 828

4.0 OPTICAL ANALYSIS TECHNIQUES........................................... 8344.1 Ellipsometry...................................................................... 8344.2 Fourier Transform Infrared Spectroscopy ........................... 8384.3 Photoluminescence Spectroscopy .................................... 841

REFERENCES .................................................................................... 845

16 Jet Vapor Deposition ................................................ 848Bret L. Halpern and Jerome J. Schmitt

1.0 INTRODUCTION ......................................................................... 8482.0 PRINCIPLES AND APPARATUS OF JVD .................................. 8493.0 DISCUSSION ............................................................................. 853

3.1 Jet Structure, Behavior, and Vapor Transport .................... 8533.2 Substrate Motion .............................................................. 856

Page 23: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

xxvi Contents

4.0 EXAMPLES OF JVD FILMS AND APPLICATIONS ..................... 8574.1 Cu, Au Multilayer Electrodes; Al, Al2O3 Microlaminates. .. 8574.2 PZT: Ferroelectric FRAM Nonvolatile Memories ................ 8584.3 Electronic Grade Silicon Nitride ........................................ 8594.4 Fiber Coating for Composite Materials .............................. 8594.5 Coating of Thermally Sensitive Membranes ....................... 8604.6 “Ceramic Host–Organic Guest” Films................................ 8604.7 Polymer Deposition: Parylene ........................................... 861

5.0 SUMMARY................................................................................. 861REFERENCES .................................................................................... 862

Index ......................................................................... 864

Page 24: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

27

1

Deposition Technologies: AnOverview

Rointan F. Bunshah

1.0 THE MARKET

Historically, from the late 1950s onward, decorative coatings or aluminumprovided the initial thrust for surface-engineered products for toys, textiles,etc. Since then, the uses of deposition techniques in practically all areas ofengineering and many areas of science have produced a dramatic growth insales of equipment and products produced, particularly in the last decade.According to a recent survey (VDI-Technologiezeutrum-FRG), equipmentwith an estimated value of $6 billion was produced worldwide in 1989 for theirfilm surface technology. Components and devices manufactured with suchequipment amounted to $60 billion and the value of the end-products whichcontained components made possible by surface engineering is estimated at$600 billion. Just one industry, semiconductors, has changed entire productionlines every 5 to 6 years. It is further estimated that only 10% of all items whichcan benefit from surface modifications are being processed today.

Surface engineering will remain a growth industry in the next decade,because surface-engineered products increase performance, reduce costs,and control surface properties independently of the substrate, thus offeringenormous potential due to the following:

! Creation of entirely new products

! Solution of previously unsolved engineering problems

! Improved functionality of existing products—engineering or decorative

! Conservation of scarce materials

! Ecological considerations—reduction of effluent output and powerconsumption

Page 25: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

28 Deposition Technologies for Films and Coatings

Research and development expenditures in surface engineering are veryextensive. It is reported that Japan is spending $100 to $150 million for R/Din diamond and diamond-like carbon coatings. The payoff is estimated at $16billion by the end of this decade. In advance thermal barrier coatings by PVDmethods for high temperature operation of turbine blades, it is estimated thatmore than $10 million have been spent in the United States alone. Wear-resistant coatings for disc and heads has attracted much more than $10million in R/D expenditures worldwide. The list continues to expand.

2.0 INTRODUCTION

Most materials used in high technology applications are composites,i.e., they have a near-surface region with properties differing from those of thebulk materials. This is caused by the requirement that the material exhibita combination of various, and sometimes conflicting, properties. For example,a particular engineering component may be required to have high hardness andtoughness (i.e., resistance to brittle crack propagation). This combination ofproperties can be obtained by having a composite material with high surfacehardness and a tough core. Alternately, the need may be for a hightemperature, corrosion-resistant material with high elevated-temperaturestrength as is the case with the hot stage blades and vanes in a gas turbine.The solution again is to provide the strength requirement from the bulk and thecorrosion requirement from the surface.

In general, coatings are desirable, or even necessary, for a variety ofreasons including economics, materials conservation, unique properties, orthe engineering and design flexibility which can be obtained by separating thesurface properties from the bulk properties.

This near-surface region is produced by depositing a coating onto it (i.e.,overlay coating) by processes such as physical or chemical vapor deposition,electrodeposition, and thermal spraying, or by altering the surface material bythe in-diffusion of materials (i.e., diffusion coating or chemical conversioncoating), or by ion implantation of new material so that the surface layer nowconsists of both the parent and added materials.

“Coatings” may also be formed by other processes such as melt/solidification (e.g., laser glazing technique), by mechanical bonding of asurface layer (e.g., roll bonding), by mechanical deformation (e.g., shotpeening), or other processes which change the properties without changingthe composition.

Page 26: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition Technologies: An Overview 29

As stated above, the coating/substrate combination is a compositematerials system. The behavior of this composite system depends not onlyon the properties of the two components (i.e., the coating material and thesubstrate material), but also on the interaction between the two (i.e., thestructure and properties of the coating/substrate interface) which is integral tothe very important factor of adhesion of coatings. In some cases, such as foroverlay coatings, this is a distinct region. For others, such as ion implantationor diffusion coatings, it is not a discrete region.

Historically, most solid metallic and some ceramic materials wereproduced by melting/solidification technology. Since the advent of depositiontechnologies (i.e., production of solid materials from the vapor), the diversityof materials that can be produced has more than doubled because theproperties of solid materials produced from the vapor phase can be varied overa much wider range than the same material produced from the liquid phase.This is because melt techniques produce solid materials with properties closeto equilibrium properties whereas the deposition conditions may be so chosenas to produce materials from the vapor phase with properties close toequilibrium (similar to their melt-produced counterparts), or properties farremoved from equilibrium properties (non-equilibrium properties). Moreover,a much greater variation in microstructure is possible with vapor sourcematerials. For example, a copper-nickel alloy produced by solidification fromthe melt will always consist of a single phase solid solution, whereas the samealloy produced by alternate deposition from two sources may consist ofalternate layers of nickel and copper, i.e., a laminate composite or a solidsolution depending on the deposition temperature.

A large number of materials are used for coatings today. These mayrange from the naturally occurring oxide layer which protects the surfaces ofmany metals such as aluminum, titanium, and stainless steel, to those withvery deliberate and controlled alloying additions to the surface to producespecific properties, as exemplified by techniques such as molecular beamepitaxy or ion implantation. Other examples with increasing degree ofcriticality range from paint coatings applied to wood and metals, electrostaticallypainted golf balls, the print in the daily newspaper, optical coatings on lensesand other elements, vapor deposited microcircuit elements such as resistors,diffusion or overlay coatings on superalloys used in gas turbines for hightemperature corrosion protection, hard overlay coatings of engineeringcomponents and machine tools, etc.

Page 27: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

30 Deposition Technologies for Films and Coatings

3.0 AIM AND SCOPE

The aim of this volume is to give the reader a perspective on severalcoating techniques with emphasis on the techniques which are used in criticalor demanding (i.e., high technology) applications. Consequently, some of thetechniques such as painting, dip coating, or printing will not be emphasizedexcept as they pertain to some special application like thick film electricalcomponents. Nevertheless, a wide variety of techniques and their applicationswill be covered. The material is intended to present a broad spectrum ofdeposition technologies to those who may be familiar with only one or twotechniques. Hopefully, this will help them to select and weigh variousalternatives when the next technological problem involving coatings facesthem.

The specific deposition technologies to be covered are:

1. Physical Vapor Deposition including evaporation, ion plating andsputtering.

2. Chemical Vapor Deposition and Plasma-Assisted ChemicalVapor Deposition

3. Electrodeposition and Electroless Deposition.

4. Plasma Spraying as well as a very special variant calledDetonation Gun Technology.

There are some generic areas common to several of the depositiontechnologies, the most prominent example being the use of plasmas in manyof the deposition technologies. Therefore, a chapter on plasmas in depositionprocesses is included. Another common topic is cleaning of the substrate andadhesion of the coating. A chapter is included on that topic.

A further common topic is the characterization of the chemical compositionand the microstructure of the coating at various levels of resolution. A chapteris included to satisfy this need.

New chapters are added dealing with Metallurgical Applications (Corrosion,Function and Wear), Overview of Plasma-Assisted Deposition Processes,Plasma-Assisted Chemical Vapor Deposition, and Nucleation/Growth of ThinFilms.

It is realized that all specific applications cannot be satisfied within thisframework. For example, specific applications such as coatings for optical ormagnetic applications are not addressed per se. At the other end of thespectrum, coatings for the first wall of thermo-nuclear reactors cannot bediscussed since the development of the subject is in an embryonic stage.

Page 28: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition Technologies: An Overview 31

In each of the chapters on deposition technologies, the theory,methodology, advantages, limitations and applications are discussed.

4.0 DEFINITIONS AND CONCEPTS

In order to avoid potential problems, it is necessary to clarify certaindistinctions which are common and pertinent to deposition technologies.These are as follows:

1. Diffusion vs.Overlay Coatings—Diffusion coatings are producedby the complete interdiffusion of material applied to the surfaceinto the bulk of the substrate material. Examples of this are thediffusion of oxygen into metals to form various sub-oxide andoxide layers, the diffusion of aluminum into nickel base alloys toform various aluminides, etc. A characteristic feature of diffusioncoatings is a concentration gradient from the surface to theinterior, as well as the presence of various layers as dictated bythermodynamic and kinetic considerations. Ion implantationmay be considered to be a special case where the coatingmaterial is implanted at a relatively shallow depth (a few hundredangstrom units) from the surface.

An overlay coating is an add-on to the surface of the part, e.g.,gold-plating on an iron-nickel alloy, or titanium carbide onto acutting tool, etc. Depending upon the process parameters, aninterdiffusion layer between the substrate and the overlay coatingmay or may not be present.

2. Thin Films vs. Thick Films—Historically, the physical dimensionof thickness was used to make the distinction between thick filmsand thin films. Unfortunately, the critical thickness value dependedon the application and discipline. In recent years, a "Confucian"solution has been advanced. It states that if a coating is used forsurface properties (such as electron emission, catalytic activity),it is a thin film; whereas, if it is used for bulk properties, corrosionresistance, etc., it is a thick film. Thus, the same coating materialof identical thickness can be a thin film or a thick film dependingupon the usage. This represents a reasonable way out of thesemantic problem.

Page 29: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

32 Deposition Technologies for Films and Coatings

3. Steps in the Formation of a Deposit—There are three steps in theformation of a deposit:

a. Synthesis or creation of the depositing species

b. Transport from source to substrate

c. Deposition onto the substrate and film growth

These steps can be completely separated from each other or be super-imposed on each other depending upon the process under consideration. Theimportant point to note is that if, in a given process, these steps can beindividually varied and controlled, there is much greater flexibility for such aprocess as compared to one where they are not separately variable. This isanalogous to the degrees of freedom in Gibbs phase rule. For example,consider the deposition of tungsten by CVD process. It takes place by thereaction:

HeatedWF6(vapor) + 3H2(gas) ———" W(deposit) + 6HF(gas)

Substrate

The rate of deposition is controlled by the substrate temperature. At ahigh substrate temperature, the deposition rate is high and the structureconsists of large columnar grains. This may not be a desirable structure. Onthe other hand, if the same deposit is produced by evaporation of tungsten, thedeposition rate is essentially independent of the substrate temperature so thatone can have a high deposition rate and a more desirable microstructure. Onthe other hand, a CVD process may be chosen over evaporation because ofconsiderations of throwing power, i.e., the ability to coat irregularly shapedobjects, since high vacuum evaporation is basically a line-of-sight technique.

5.0 PHYSICAL VAPOR DEPOSITION (PVD) PROCESS TERMINOLOGY

The basic PVD processes are those currently known as evaporation,sputtering and ion plating. In recent years, a significant number of specializedPVD processes based on the above have been developed and extensivelyused, e.g., reactive ion plating, activated reactive evaporation, reactivesputtering, etc. There is now considerable confusion since a particularprocess can be legitimately covered by more than one name. As

Page 30: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition Technologies: An Overview 33

an example, if the activated reactive evaporation (ARE) process is used witha negative bias on the substrate, it is very often called reactive ion plating.Simple evaporation using an RF heated crucible has been called gasless ionplating. An even worse example of the confusion that can arise is found in thechapter on ion plating in this volume (Ch. 6) where the material is convertedfrom the condensed phase to the vapor phase using thermal energy (i.e.,evaporation) or momentum transfer (i.e., sputtering) or supplied as a vapor(very similar to CVD processes). Carrying this to the logical conclusion, onemight say that all PVD processes are ion plating! On the other hand, the mostimportant aspect of the ion plating process is the modification of themicrostructure and composition of the deposit caused by the ion bombardmentof the deposit resulting from the bias on the substrate, i.e., what is happeningon the substrate.

To resolve this dilemma, it is proposed that we consider all of these basicprocesses and their variants as PVD processes and describe them in termsof the three steps in the formation of a deposit as described above. This willhopefully remove the confusion in terminology.

Step 1: Creation of Vapor Phase Specie. There are three ways to put amaterial into the vapor phase-evaporation, sputtering or chemical vapors andgases.

Step 2: Transport from Source to Substrate. The transport of the vaporspecies from the source to the substrate can occur under line-of-sight ormolecular flow-conditions (i.e., without collisions between atoms andmolecules); alternately, if the partial pressure of the metal vapor and/or gasspecies in the vapor state is high enough or some of these species are ionized(by creating a plasma), there are many collisions in the vapor phase duringtransport to the substrate.

Step 3: Film Growth on the Substrate. This involves the deposition of thefilm by nucleation and growth processes. The microstructure and compositionof the film can be modified by bombardment of the growing film by ions fromthe vapor phase resulting in sputtering and recondensation of the film atomsand enhanced surface mobility of the atoms in the near-surface and surfaceof the film.

Every PVD process can be usefully described and understood in termsof these three steps. The reader is referred to Chapter 9 for a morecomprehensive treatment.

Page 31: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

34 Deposition Technologies for Films and Coatings

6.0 CLASSIFICATION OF COATING PROCESSES

Numerous schemes can be devised to classify or categorize coatingprocesses, none of which are very satisfactory since several processes willoverlap different categories. For example, the Appendix contains a list anddefinitions of various deposition processes based upon those provided byChapman and Anderson with some additions. These authors classify theprocesses under the general heading of Conduction and Diffusion Processes,Chemical Processes, Wetting Processes and Spraying Processes. Here, theChemical Vapor Deposition process falls under the Chemical Processes, andthe Physical Vapor Deposition Process (Evaporation, lon Plating and Sputtering)falls under the spraying processes. The situation can easily get confused as,for example, when Reactive and Activated Reactive Evaporation, and Reactivelon Plating are all classified as Chemical Vapor Deposition processes byYee[3] who considers them thusly because a chemical reaction is involved andit does not matter to him whether evaporated metal atoms or stable liquid orgaseous compounds are the reactants. Another classification of the methodsof deposition of thin films is given by Campbell.[4] He considers the overlapbetween physical and chemical methods, e.g., evaporation and ion plating,sputtering and plasma reactions, reactive sputtering and gaseousanodization.[5] He classifies the Chemical Methods of Thin Film Preparationas follows:

Chemical Methods of Thin Film Preparation

Basic Class Method

Formation from the Medium Electroplatinglon PlatingChemical ReductionVapor PhasePlasma Reaction

Formation from the Substrate Gaseous AnodizationThermalPlasma Reduction

Page 32: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition Technologies: An Overview 35

In addition, he considers the following as chemical methods of thick filmpreparation: Glazing, Electrophoretic, Flame Spraying and Painting.

In contrast to the chemists’ approach given above, the physicists’approach to deposition processes is shown in the following classification ofvacuum deposition techniques by Schiller, Heisig and Goedicke[6] and byWeissmantel.[7]

Figure 1.1. Survey of vacuum deposition techniques (Schiller[6])

A different classification comes from a materials background where theconcern is with structure and properties of the deposits as influenced byprocess parameters. Thus, Bunshah and Mattox[8] give a classification basedon deposition methods as influenced by the dimensions of the depositingspecie, e.g., whether it is atoms/molecules, liquid droplets or bulk quantities,as shown in Table 1.1.

In atomistic deposition processes, the atoms form a film by condensingon the substrate and migrating to sites, where nucleation and growth occurs.Further, adatoms do not achieve their lowest energy configurations and theresulting structure contains high concentrations of structural imperfections.Often the depositing atoms react with the substrate material to form a complexinterfacial region.

Another aspect of coatings formed by atomistic deposition processes isas follows. The sources of atoms for these deposition processes can be bythermal vaporization (vacuum deposition) or sputtering (sputter deposition) ina vacuum, vaporized chemical species in a carrier gas (chemical vapordeposition), or ionic species in an electrolyte (electrodeposition). In lowenergy atomistic deposition processes, the depositing species impinge on thesurface, migrate over the surface to a nucleation site where they condense andgrow into a coating. The nucleation and growth modes of the condensingspecies determine the crystallography and microstructure of

Page 33: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

36 Deposition Technologies for Films and Coatings

the coating. For high energy deposition processes, the depositing particlesreact with or penetrate into the substrate surface.

Particulate deposition processes involve molten or solid particles and theresulting microstructure of the deposit depends on the solidification orsintering of the particles. Bulk coatings involve the application of largeamounts of coating material to the surface at one time such as in painting.Surface modification involves ion, thermal, mechanical, or chemical treatments,which alter the surface composition or properties. All of these techniques arewidely used to form coatings for special applications.

Table 1.1. Methods of Fabricating Coatings

7.0 GAS JET DEPOSITION WITH NANO-PARTICLES

One of the chapters in this volume (Ch. 11) deals with Plasma Sprayingand Detonation Gun Techniques where a high velocity stream of macro-particles (µm dimensions) impinge on a substrate to form a coating. With the

Page 34: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition Technologies: An Overview 37

Figure 1.2. Schematic diagram of gas deposition apparatus.

advent of evaporation[9] and sputtering processes[10] to produce nano-particles (nm dimensions), the same concept can be used to producecoatings by carrying nano-particles in a gas stream and impinging them ona substrate.[11][12] Figure 1.2 shows a schematic of this process wheremetallic nano-particles produced by evaporation are carried in a gas stream,accelerated through a nozzle and impinged on a substrate to produce acoating. Single nozzles or multiple nozzle configurations can be used, thelatter producing an array of dots, for example. The attributes of this processare:

1. Direct write maskless processing to produce dots, lines, andother shapes.

2. High deposition rate, 10 - 20 µm per second over a small area.3. Low temperature (room temperature) deposition.4. Metals, alloys, ceramics, and organic materials can be

deposiited.5. Multiphase films with uniform mixing can be produced.6. The collection officiency is very high, ~90%, i.e. very little waste

or scatter.Examples of applications of this technique are:

1. Electrical connecting lines in circuits including the repair aspect.2. Fabrication of microelectrodes3. Oxide superconductor contacts.4. Capacitors5. Implantation of virus into plants for the bio industry.6. Cell-gene processing technology.

Page 35: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

38 Deposition Technologies for Films and Coatings

8.0 MICROSTRUCTURE AND PROPERTIES

In electrodeposition, typically the growth process involves condensationof atoms at a kink site on the substrate surface, followed by layered growthof the deposit. Adatom mobility is increased by the hydrated nature of the ionsand the adatom mobility may vary with crystal orientation. Field ionmicroscopy stripping studies of copper electrodeposited on tungsten hasshown that there is surface rearrangement of the tungsten atoms during theelectrodeposition process. Electrodeposited material does not grow in auniform manner; rather it becomes faceted, develops dendrites and othersurface discontinuities. Thus the microstructure of electrodeposited coatingsmay vary from relatively defect-free single crystals usually grown on singlecrystal substrates, to highly columnar and faceted structures. In theelectroplating process, organic additives may be used to modify the nucleationprocess and to eliminate undesirable growth modes. This results in amicrostructure more nearly that of bulk material formed by conventionalmetallurgical processes. Electrodeposition from a molten salt electrolyteallows the deposition of many materials not available from aqueous electrolytes.

In vacuum processes, the depositing species may have energies rangingfrom thermal (a few tenths of an electron volt) for evaporation to moderateenergies (ten to hundreds of electron volts) for sputtered atoms to highenergies for accelerated species such as those used in ion implantation.These energies have an important but poorly understood effect on interfacialinteraction, nucleation and growth. Where there is chemical reaction betweenthe substrate atoms and the depositing atoms, and diffusion is possible, adiffusion or compound interfacial region is formed composed of compoundsand/or alloys which modify the effective surface upon which the deposit grows.Low energy electron diffraction studies have shown that this interfacial reactionis very sensitive to surface condition and process parameters. If the coatingand substrate materials are not chemically reactive and are insoluble, theinterfacial region will be confined to an abrupt discontinuity in composition.This type of interface may be modified by bombardment with high energyparticles to give high defect concentrations and implantation of ions resultingin a “pseudodiffusion” type of interface. The type of interface formed willinfluence the properties of the deposited coating. In many circumstances,these interfacial regions are of very limited thickness and pose a challenge tothose interested in compositional, phase, microstructural and propertyanalysis.

Page 36: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition Technologies: An Overview 39

The microstructure of the depositing coating in the atomic depositionprocesses depends on how the adatoms are incorporated into the existingstructure. Surface roughness and geometrical shadowing will lead topreferential growth of the elevated regions giving a columnar type microstructureto the deposits.[13] This microstructure will be modified by substratetemperature, surface diffusion of the atoms, ion bombardment during deposition,impurity atom incorporation and angle of incidence of the depositing adatomflux. The structure zone model of Movchan and Demchishin[14] for vacuumdeposited films is discussed in later chapters.

In chemical vapor deposition, the chemical species containing the filmatoms is generally reduced or decomposed on the substrate surface, often athigh temperatures. Care must be taken to control the interface reactionbetween coating and substrate and between the substrate and the gaseousreaction products. The coating microstructure which develops is very similarto that developed by the vacuum deposition processes, i.e., small-grainedcolumnar structures to large-grained equiaxed or oriented structures.

Each of the atomistic deposition processes has the potential of depositingmaterials which vary significantly from the conventional metallurgicallyprocessed material. The deposited materials may have high intrinsicstresses, high point defect concentration, extremely fine grain size, orientedmicrostructures, metastable phases, incorporated impurities, and macro andmicro porosity. These properties may be reflected in the physical propertiesof the materials and by their response to applied stresses such as mechanicalloads, chemical environments, thermal shock or fatigue loading. Metallurgicalproperties which may be affected include elastic constants, tensile strength,fracture toughness, fatigue strength, hardness, diffusion rates, friction/wearproperties, and corrosion resistance. In addition, the unique microstructureof the deposited material may lead to such effects as anomalously lowannealing and recrystallization temperatures where the internal stresses andhigh defect concentration aid in atomic rearrangement.

The high value of grain boundary area to volume ratio found in fine graineddeposited material means that diffusion processes may be dominated by grainboundary rather than bulk diffusion. The fine grained nature of the materialsalso affects the deformation mechanisms such as slip and twinning. For thinfilms, the free-surface to volume ratio is high, and the pinning of dislocation bythe free surface leads to the high tensile strengths often measured in thin filmsof materials.

Page 37: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

40 Deposition Technologies for Films and Coatings

In vapor deposition processes, impurity incorporation during depositioncan give high intrinsic stresses or impurity stabilized phases which are notseen in the bulk forms of the materials. Reactive species allow the depositionof compounds such as nitrides, carbides, borides and oxides. Gradeddeposits can be formed.

Vapor deposition processes have the capability of producing unique and/or nonequilibrium microstructures. One example is the fine dispersion ofoxides in metals, where the oxide particle size and spacing is very small (100- 500 Å). Alternately, metals and alloys deposited at high substratetemperatures have properties similar to those of conventionally fabricated(cast, worked and heat treated) metals and alloys. A more recent exampleis the nano-scale laminate composites consisting of alternate layers ofrefractory compounds with unusually high hardness values.

9.0 UNIQUE FEATURES OF DEPOSITED MATERIALS AND GAPS INUNDERSTANDING

It is useful to state at this point some of the unique features of materialsproduced by deposition technologies. They are:

1. Extreme versatility of range and variety of deposited materials.

2. Overlay coatings with properties independent of thethermodynamic compositional constraints.

3. Ability to vary defect concentration over wide limits, thus resultingin a range of properties comparable to, or far removed fromconventionally fabricated materials.

4. High quench rates available to deposit amorphous materials.

5. Generation of microstructures different from conventionallyprocessed materials, e.g., a wide range of microstructures—ultrafine (submicron grain or laminae size) to single crystal films.

6. Fabrication of thin self-standing shapes even from brittle materials.

7. Ecological benefits with certain techniques.

The first edition lists some of the areas where our understandingof basic processes and phenomena is lacking and which obviously arethe areas where research activities are essential. These are:

Page 38: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition Technologies: An Overview 41

1. Microstructure and properties in the range of 500 to 10,000 Å—particularly important for submicron microelectronics, reflectivesurfaces and corrosion.

2. (a) Effect of the energy of the depositing species oninterfacial interaction, nucleation and growth of deposit.

(b) Effect of “substrate surface condition,” i.e.,contamination (oxide) layers, adsorbed gases,surface topography.

3. Residual stresses—influence of process parameters.

Considerable progress and understanding has developed in the lastdecade.

10.0 CURRENT APPLICATIONS

The applications of coatings in current technology may be classed intothe following generic areas:

Optically Functional—Laser optics (reflective and transmitting),architectural glazing, home mirrors, automotive rear view mirrors,reflective and anti-reflective coatings, optically absorbing coatings,selective solar absorbers.

Electrically Functional—Electrical conductors, electrical contacts,active solid state devices, electrical insulators, solar cells.

Mechanically Functional—Lubrication films, wear and erosionresistant coatings, diffusion barriers, hard coatings for cutting tools.

Chemically Functional—Corrosion resistant coatings, catalyticcoatings, engine blades and vanes, battery strips, marine useequipment.

Decorative—Watch bezels, bands, eyeglass frames, costumejewelry.

A few examples are chosen to illustrate them in greater detail.

10.1 Decorative/Functional Coating

Weight reduction is a high priority item to increase gas mileage inautomobiles. Therefore, heavy metallic items such as grills are being

Page 39: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

42 Deposition Technologies for Films and Coatings

replaced with lightweight plastic, overcoated with chromium by sputtering forthe appearance to which the consumer is accustomed.

Another extensive application is aluminum-coated polymer films for heatinsulation, decorative and packaging applications.

A rapidly growing application is the use of a gold-colored wear-resistantcoating of titanium nitride on watch bezels, watch bands and similar items.

A new application is black wear-resistant hard carbon films.

10.2 High Temperature Corrosion

Blades and vanes used in the turbine-end of a gas turbine engine aresubject to high stresses in a highly corrosive environment of oxygen-, sulfur-and chlorine-containing gases. A single or monolithic material such as a hightemperature alloy is incapable of providing both functions. The solution is todesign the bulk alloy for its mechanical properties and provide the corrosionresistance by means of an overlay coating of an M-Cr-AI-Y alloy where Mstands for Ni, Co, Fe or Ni + Co. The coating is deposited in production byelectron beam evaporation and in the laboratory by sputtering or plasmaspraying. With the potential future use of synthetic fuels, considerableresearch will have to be undertaken to modify such coating compositions forthe different corrosive environments as well as against erosion from theparticulate matter in those fuels.

10.3 Environmental Corrosion

Thick ion plated aluminum coatings are used in various irregularly-shaped parts of aircraft and space-craft as well as on fasteners: (a) to replaceelectroplated cadmium coatings which sensitize the high-strength parts tohydrogen embrittlement or (b) to prevent galvanic corrosion which would occurwhen titanium or steel parts contact aluminum or (c) to provide goodbrazeability. New alloy coatings in the micron thickness range have beendeveloped.

10.4 Friction and Wear

Dry-film lubricant coatings of materials such as gold, MoS2, WSe2 andother lamellar materials are deposited on bearings and other sliding parts bysputtering or ion plating to reduce wear. Such dry-film lubricants are

Page 40: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition Technologies: An Overview 43

especially important for critical parts used in long-lifetime applications sinceconventional organic fluid lubricants are highly susceptible to irreversibledegradation and creep over a long time.

10.5 Materials Conservation

Aluminum is continuously coated on a steel strip, 2 feet wide and 0.006inches thick to a 250 micro-inch thickness in an air-to-air electron-beamevaporator at the rate of 200 feet/minute. The aluminum replaces tin, whichis becoming increasingly scarce and costly. The strip then goes to the lacquerline and is used for steel can production. With the change in Eastern Europe,this line has switched to deposition of Cr and Cu on steel.

10.6 Cutting Tools

Cutting tools are made of high-speed steel or cemented carbides. Theyare subject to degradation by abrasive wear as well as by adhesive wear. Inthe latter mode, the high temperatures and forces at the tool tip promotemicrowelding between the steel chip from the workpiece and the steel in thehigh-speed steel tool or the cobalt binder phase in the cemented carbide. Thesubsequent chip breaks the microweld and causes tool surface cratering andwear. A thin layer of a refractory compound such as TiC, TiN, Al2O3 preventsthe microwelding by introducing a diffusion barrier. Improvements in tool lifeby factors of 300 to 800% are possible as well as reductions in cutting forces.The coatings are deposited by chemical vapor deposition or physical vapordeposition. Some idea of the importance of such coatings can be assessedfrom the fact that the yearly value of cutting tools purchased in the U.S. is $1billion and the cost of machining is approximately $60 billion.

The last decade has seen major advances in this area and some of theseare:

! Ti alloy nitrides, e.g., (Ti, Al) N

! Ti carbonitrides, e.g., Ti (C,N)

! Multilayer coatings of different nitrides

! Diamond coated tools by CVD and PACVD processes formachining of non-ferrous metals and polymer-matrixcomposites. A bond layer such as silicon nitride has to be usedto attach the diamond coating to the carbide cutting tool.

Page 41: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

44 Deposition Technologies for Films and Coatings

! Hard diamond-like carbon for heads and discs

! Cubic boron nitride coatings by plasma-assisted PVD andCVD methods for cutting of hard ferrous materials

10.7 Nuclear Fuels

Pyrolytic carbon is deposited on nuclear fuel particles used in gas-cooledreactors by chemical vapor deposition in fluidized beds. The coating retainsthe fission products and protects the fuel from corrosion.

10.8 Biomedical Uses

Parts for implants such as heart valves are made of pyrolytic carbon byCVD techniques. Metal parts are coated with carbon by ion plating in orderto obtain biological compatibility.

10.9 Electrical Uses

High temperature cuprate superconductors with transition temperaturesof 85° to 115°K. This permits the operation of liquid nitrogen cooled devices.Various PVD techniques such as co-evaporation in an oxygen plasma,sputtering from simple or multiple targets and laser ablation have been usedto fabricate films, ranging from 1 to 50 cm2. Microwave devices such as delaylines, quasioptical filters have been fabricated and are being marketed.

11.0 “FRONTIER AREAS” FOR THE APPLICATION OF THE PRODUCTSOF DEPOSITION TECHNOLOGY

The following were listed in the first edition published in 1982.

1. Reflective surfaces, e.g., for laser mirrors.

2. Thermal barrier coatings for blades and vanes operating at hightemperatures.

3. Corrosion/erosion resistant coatings at high temperatures,e.g., valves and other critical compounds in coal gasificationplants.

4. Advanced cutting tools.

Page 42: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition Technologies: An Overview 45

5. Wear-resistant surfaces without organic lubricants, particularlyat high temperatures where lamellar solid state lubricants suchas MoS2 are ineffective.

6. First wall of thermonuclear reactor vessels.

7. High-strength/high-toughness ceramics for structural use.

8. Ultrafine powders.

9. Super conducting materials:

High transition temperatures >23.2°K.

Fabricability of these brittle materials into wire or ribbons.

10. CataIytic materials.

11. Thin film photovoltaic devices.

12. Transparent conductive coatings in opto-electronics devices,photo detectors, liquid crystal and electrochromic displays,solar photo thermal absorption devices, heat mirrors.

13. Biomedical devices, e.g., neurological electrodes, heart valves,artificial organs.

14. Materials conservation.

15. Sub-micron microelectronic devices. In this context, a goodquestion is, How far can dimensions be reduced without runninginto some limit imposed by physical phenomena?

In 1992, new additions to the above list are:

16. Diamond and diamond-like carbon for various applications:

! Tribology, particularly cutting tool

! Heat management–heat sinks of diamond sheet currentlyseveral square inches in area are on the market

! Hard protective coatings for infrared applications such asthe protection of germanium and sodium chloride optics

17. Cubic boron nitride for various applications:

! High temperature use (up to 1200°) semiconductordevices. Very perfect device quality single crystal filmshave been grown epitaxially on lattice matched TiCsubstrates

! Tribological uses for machining of hard steels

! Optical and opto-electronic devices

Page 43: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

46 Deposition Technologies for Films and Coatings

18. Film deposition using a high velocity gas jet. Hayashi andcoworkers[9] have developed a process where ultra-fine powders(~10 nanometer diameter) are carried on a high velocity gas jetand impinged on a substrate to “write” lines of depositedmaterials, e.g., YBCO superconductors. The usage of materialis very high, almost 97% is collected as a deposit. Variousapplications are envisioned.

19. Unbalanced magnetron deposition—very useful new developmentwhere some of the electrons are allowed to escape from themagnetic trap at the sputtering target and from a plasma nearthe substrate from which ions can be extracted to bombard thegrowing film.

12.0 SELECTION CRITERIA

The selection of a particular deposition process depends on severalfactors. They are:

1. The material to be deposited

2. Rate of deposition

3. Limitations imposed by the substrate, e.g., maximum depositiontemperature

4. Adhesion of deposit to substrate

5. Throwing power

6. Purity of target material since this will influence the impuritycontent in the film

7. Apparatus required and availability of same

8. Cost

9. Ecological considerations

10. Abundance of deposition material in the world

In order to aid the reader in the task of selection, Table 1.2 lists severalcriteria for each of the processes. It is obvious that there are very fewtechniques which can deposit all types of materials. It is also impossible todetail the advantages and limitations of each of the techniques. However, inthe evaluation of each application, the above factors will lead to a rationalchoice of the deposition technique to be used.

Page 44: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

De

po

sitio

n T

ec

hn

olo

gie

s: A

n O

ve

rvie

w47

Table 1.2. Some Characteristics of Deposition Processes

Page 45: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

48 Deposition Technologies for Films and Coatings

13.0 SUMMARY

In the above discussion, we have noted the following:

1. There are a very large number of deposition techniques.

2. There is no unique way to classify these techniques. Dependingon the viewpoint, the same technique may fall into fall into one ormore classes.

3. Each technique has its advantages and limitations.

4. The choice of the technique to be used depends on variousselection criteria which have been given above.

5. More than one technique can be used to deposit a given film asshown in Figure 1.3 below from Campbell’s article on preparationmethods in microelectronic fabrication.

1234567812345678123456781234567812345678123456781234567812345678123456781234567812345678123456781234567812345678

12345678123456781234567812345678123456781234567812345678

123456123456123456123456123456123456123456 1234567890

123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890123456789012345678901234567890

12345678123456781234567812345678123456781234567812345678

12345678901234567890123456789012345678901234567890123456789012345678901234567

123456712345671234567123456712345671234567

123456789012345678901123456789012345678901123456789012345678901123456789012345678901123456789012345678901123456789012345678901123456789012345678901

Electro- Chemical Vapor Anodization Thermal Evaporation Sputteringplating Reduction Phase

Conductors,

resistiors

Insulators,

capacitors

Active

devices

Magnetic

materials

Super-

conductors

Figure 1.3. Applicability of preparation methods to microelectronics. Light shadingindicates that the component can be prepared by the method; Dark shading

indicates that the method is widely used.

Page 46: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition Technologies: An Overview 49

APPENDIX 1: DEPOSITION PROCESS DEFINITIONS

The definitions of various deposition processes are given below. Theyare grouped as proposed by Chapman and Anderson[1] and many of them arethose proposed by these authors.

Conduction and Diffusion Processes

Electrostatic Deposition is the deposition of material in liquid form, thesolvent used then being evaporated to form a solid coating. At the source, theliquid is atomized and charged, and then it can be directed onto the substrateusing an electrostatic field.

Electrophoretic Coating produces a coating on a conducting substratefrom a dispersion of colloidal particles. The article to be coated is immersedin an aqueous dispersion which dissociates into negatively charged colloidalparticles and positive cations. An electric field is applied with the article asanode (positive electrode); the colloidal particles are transported to the anode,where they are discharged and form a film. In the case of a paint coating, thisrequires curing, which further shows that electrophoresis itself is not a veryeffective transport process, so that electrodeposition may be a better term forthe coating process.

Electrolytic Deposition is primarily concerned with the deposition of ionsrather than of colloidal particles. Two electrodes are immersed in anelectrolyte of an ionic salt which dissociates in aqueous solution into itsconstituent ions; positive ions are deposited onto the cathode (negativeelectrode).

Anodization is a process which occurs at the anode (hence its name) for a fewspecific metals. The anode reacts with negative ions from the electrolyte and becomesoxidized, i.e., it forms a surface coating.

Gaseous Anodization is a process in which the liquid electrolyte of theconventional wet process is replaced by a glow discharge in a low partial pressure ofa reactive gas. Oxides, carbides and nitrides can be produced this way.

Ion Nitriding is a gaseous anodization to produce nitride diffusion coating on ametal surface, usually steel.

Ion Carburizing is a gaseous anodization to produce a carbide diffusion coatingon a metal surface, usually steel.

Plasma Oxidation is gaseous anodization to produce an oxide film on the surfaceof metal, e.g., SiO2 films on Si.

Diffusion Coating is produced by diffusion of material from the surface into thebulk of the substrate.

Page 47: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

50 Deposition Technologies for Films and Coatings

Metalliding is a method using electrodeposition in molten fluorides.Spark-hardening is a technique in which an arc is periodically struck

between a vibrating anode and the conducting substrate (cathode); materialis transferred from the anode and diffuses into the substrate.

Chemical processes

Conversion and Conversion/Diffusion Coating is a process in which thesubstrate is reacted with other substances (which may be in the form of solids,liquids or gases) so that its surface is chemically converted into differentcompounds having different properties. (Anodization could probably bedescribed as an electrochemical conversion process). Conversion coatingusually takes place at elevated temperatures and diffusion is often an essentialfeature.

Chemical Vapor Deposition (CVD) is a chemical process which takesplace in the vapor phase very near the substrate or on the substrate so thata reaction product is deposited onto the substrate. The deposition can be ametal, semiconductor, alloy or refractory compound.

Pyrolysis is a particular type of CVD which involves the thermaldecomposition of volatile materials on the substrate.

Plasma-Assisted CVD is a process where the reaction between thereactants is stimulated or activated by creating a plasma in the vapor phaseusing means such as R F excitation from a coil surrounding the reactionvessel.

Electroless Deposition is often described as a variety of electrolyticdeposition which does not require a power source or electrodes, hence itsname. It is really a chemical process catalyzed by the growing film, so thatthe electroless term is somewhat a misnomer.

Disproportionation is the deposition of a film or crystal in a closed systemby reacting the metal with a carrier gas in the hotter part of the system to formthe compound, followed by dissociation of the compound in the colder part ofthe system to deposit the metal. Examples are epitaxial deposits of Si or Geon a single crystal substrate and the Van-Arkel-deBoer process for metalpurification and crystal growth.

Wetting Process

Wetting Processes are the coating processes in which material isapplied in liquid form and then becomes solid by solvent evaporation or cooling.

Page 48: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition Technologies: An Overview 51

Conventional Brush Painting and Dip Coating are wetting processes inwhich the part to be coated is literally dipped into a liquid (e.g., paint) undercontrolled conditions of, for example, withdrawal rate and temperature.

Hydrophilic Method is a surface chemical process known as theLangmeir Blodgett technique which is used to produce multimonolayers oflong chain fatty acids. A film 25 Å thick can be deposited on a substrateimmersed in water and pulled through a compressed layer of the fatty acid onthe surface of the water. The process can be repeated to build up many layers.

Welding Processes are the range of coating techniques all of which relyon wetting.

Spraying Processes

Printing Process also relies on wetting and is a process in which the ink,conventionally pigment in a solvent, is transferred to and is deposited on apaper or other substrate, usually to form a pattern; the solvent evaporates toleave the required print.

Spraying Processes can be considered in two categories; (i) macroscopicin which the sprayed particle consists of many molecules and is usually graterthan 10 µm in diameter; (ii) macroscopic in which the sprayed particles arepredominantly single molecules or atoms.

Air and Airless Spraying are the first of the macroscopic processes.When a liquid exceeds a certain critical velocity, it breaks up into smalldroplets, i.e., it atomizes. The atomized droplets, by virtue of their velocity(acquired from a high pressure air or airless source) can be sprayed onto asubstrate.

Flame Spraying is a process in which a fine powder (usually of a metal)is carried in a gas stream and is passed through an intense combustion flame,where it becomes molten. The gas stream, expanding rapidly because of theheating, then sprays the molten powder onto the substrate where it solidifies.

Detonation Coating is a process in which a measured amount of powderis injected into what is essentially a gun, along with a controlled mixture ofoxygen and acetylene. The mixture is ignited, and the powder particles areheated and accelerated to high velocities with which they impinge on thesubstrate. The process is repeated several times a second.

Arc Plasma Spraying is a process in which the powder is passed throughan electrical plasma produced by a low voltage, high current electricaldischarge. By this means, even refractory materials can be deposited.

Page 49: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

52 Deposition Technologies for Films and Coatings

Electric-Arc Spraying is a process in which an electric arc is struckbetween two converging wires close to their intersection point. The hightemperature arc melts the wire electrodes which are formed into high velocitymolten particles by an atomizing gas flow; the wires are continuously fed tobalance the loss. The molten particles are then deposited onto a substrateas with the other spray processes.

Harmonic Electrical Spraying is a process in which the material to besprayed must be in liquid form, which will usually require heating. It is placedin a capillary tube and a large electrical field is applied to the capillary tip. Itis found that by adding an AC perturbation to the DC field, a collimated beamof uniformly sized and uniformly charged particles is emitted from the tip.Sense these particles are charged, they could be focused by an electrical fieldto produce pattern deposits.

Evaporation is a process in which the boiling is carried out in vacuumwhere there is almost no surrounding gas; the escaping vapor atom will travelin a straight line for some considerable distance before it collides withsomething, for example, the vacuum chamber walls or substrate.

Glow Discharge Evaporation and Sputtering are processes in softvacuum (10-2 to 10-1 torr) operating in the range 10-1 < pd < 10-2 torr cm wherep is the pressure and d is the cathode fall dimension.

Molecular Beam Epitaxy is an evaporation process for the deposition ofcompounds of extreme regularity of layer thickness and composition from wellcontrolled deposition rates.

Reactive Evaporation is a process in which small traces of an active gasare added to the vacuum chamber; the evaporating material reacts chemicallywith the gas so that the compound is deposited on the substrate.

Activated Reactive Evaporation (ARE) is the Reactive EvaporationProcess carried out in the presence of plasma which converts some of theneutral atoms into ions or energetic neutrals thus enhancing reactionprobabilities and rates to deposit refractory compounds.

Biased Activated Reactive Evaporation (BARE) is the same process asActivated Reactive Evaporation with substrate held at a negative bias voltage.

Sputter Deposition is a vacuum process which uses a different physicalphenomenon to produce the microscopic spray effect. When a fast ion strikesthe surface of a material, atoms of that material are ejected by a momentumtransfer process. As with evaporation, the ejected atoms or molecules can becondensed on a substrate to form a surface coating.

Ion Beam Deposition is a process in which a beam of ions generated froman ion beam gun, impinge and deposit on the substrate.

Page 50: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition Technologies: An Overview 53

Ion Beam Assisted Deposition—two versions are possible. One, an ionbeam is used to sputter a target and a second beam is used to bombard thegrowing film to change structure and properties. This is dual Ion BeamAssisted Deposition. The other version uses an ion beam to bombard thegrowing film to change structure and properties. In this case, conventionalevaporation or sputtering techniques are used to generate a flux of thedepositing species.

Cluster Ion Beam Deposition is an ion beam deposition in which atomicclusters are formed in the vapor phase and deposited on the substrate.

Ion Plating is a process in which a proportion of the depositing materialfrom an evaporation, sputtering or chemical vapor source is deliberatelyionized. Once changed this way, the ions can be accelerated with an electricfield so that the impingement energy on the substrate is greatly increased,producing modifications of the microstructure and residual stresses of thedeposit.

Reactive Ion Plating is ion plating with a reactive gas to deposit acompound.

Chemical Ion Plating is similar to Reactive Ion Plating but uses stablegaseous reactants instead of a mixture of evaporated atoms and reactivegases. In most cases, the reactants are activated before they enter theplasma zone.

Ion Implantation is very similar to ion plating, except that now all of thedepositing material is ionized, and in addition, the accelerating energies aremuch higher. The result is that the depositing ions are able to penetrate thesurface barrier of the substrate and be implanted in the substrate rather thanon it.

Plasma Polymerization is a process in which organic and inorganicpolymers are deposited from monomer vapor by the use of electron beam,ultraviolet radiation or glow discharge. Excellent insulating films can beprepared in this way.

Page 51: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

54 Deposition Technologies for Films and Coatings

REFERENCES

1. Science and Technology of Surface Coating, (B. N. Chapman and J. C.Anderson, eds.), Academic Press (1974)

2. Adhesion Measurement of Thin Films, Thick Films and Bulk Coatings,(K. D. Mittal, ed.), Am. Soc. for Testing Materials (1978)

3. Yee, K. K., International Metal Reviews, No. 226, The Metals Society andAmerican Society for Metals (1978)

4. Campbell, D. S., Handbook of Thin Film Technology, (L. Maissel and R.Glang, eds.), Ch. 5, McGraw-Hill (1970)

5. Handbook of Thin Film Technology, (L. Maissel and R. Glang eds.),McGraw-Hill (1970)

6. Schiller, S., Heisig, O., and Geodick, K., Proc. 7th Int’l. VacuumCongress, (R. Dobrozemsky, ed.), p. 1545, Vienna (1977)

7. Weissmantel, C., ibid, p. 1533,

8. Bunshah, R. F. and Mattox, D. M., Physics Today (May 1970)

9. Hayashi, C., Paper presented at the International Vacuum Congress,Hague, Netherlands (Oct. 1992); also: Hayashi, C., J. Vac. Sci. Tech.,A5(4):1375 (1987)

10. Suc, T. G., Umarjee, D. M., Prakash, S., and Bunshah, R. F., Surfaceand Coatings Technology, 13:199 (1991)

11. Hayashi, C., Kashu, S., Oda, M., and Naruse, F., presented at the Int'lVac. Cong., The Hague, Netherlands (Nov. 1992) - to be published in Mat.Sci. Eng., (1993)

12. Oda, M., Katsu, I., Tsuneizumi, M., Fuchita, E., Kashu, S., and Hayashi,C., presented at Fall Mtg. Mat. Res. Soc., Boston, 1992

13. Thornton, J. A., Proc. 19th National SAMPLE Symposium, Buena Park,Ca. (April 23-25, 1974)

14. Movchan, B. A., and Demchishin, A. V., Phys. Met Metallogr., 28:83(1969)

Page 52: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

2

Plasmas in Deposition Processes

John A. Thornton and Joseph E. Greene

1.0 INTRODUCTION

A glow discharge plasma used in deposition processes is a low-pressuregas which is partially ionized and contains approximately equal numbers ofpositive and negative particles. The character of such a plasma is aconsequence of the mass difference between the electrons and the ions.When an electric field is applied to an ionized gas, energy is transferred morerapidly to the electrons than to the ions. Furthermore, the transfer of kineticenergy from an electron to a heavy particle (atom, molecule, or ion) in an elasticcollision is proportional to the mass ratio of electrons and heavy particles andtherefore very small (~10-5). Consequently, at low pressures (low collisionfrequencies), the electrons can accumulate sufficient kinetic energy to havea high probability of producing excitation or ionization during collisions withheavy particles. The production of these excited species, and their interactionswith surfaces and growing films, is one of the reasons that low pressure glowdischarge plasmas are assuming an ever-increasing role in materialsprocessing. Examples of application areas include the following.

! Sputter deposition

! Activated reactive evaporation

! Ion plating

! Plasma-assisted chemical vapor deposition

! Plasma-assisted etching

! Plasma polymerization

55

Page 53: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

56 Deposition Technologies for Films and Coatings

The purpose of this chapter is to review fundamental aspects of glow-discharge plasmas which are of importance in understanding the role ofplasma processes in materials processing.

2.0 PARTICLE MOTION

2.1 Mean Free Path and Collision Cross Sections

A glow discharge plasma can be viewed as a medium in which electricalenergy is transmitted, via an electric field, to a gas. The energetic gas particlesare then used to promote chemical reactions or to interact with a surface toproduce desirable effects such as sputtering. Thus, the process of energyexchange during collisions involving plasma particles is of fundamentalimportance.

Gas-phase collision probabilities are often expressed in terms of crosssections. A related parameter is the mean free path or average distancetraversed by particles between collisions. The mean free path λ and collisioncross section σ are generally defined by a simple relationship which treats theparticles as impenetrable spheres. Thus, the mean free path for electronspassing through a gas of particle density N is

Eq. (1) λ = 1/(Nσ)

The total collision cross section can be written as

Eq. (2) σt = σel + σex + σion + σa + σoth

where the subscripts el, ex, ion, a, and oth characterize the particular typesof collisions, namely, elastic or momentum exchange, excitation, ionization,attachment, and other processes, respectively.

Figure 2.1 shows the cross sections for electrons interacting with Argas. The cross sections are typically a strong function of the energy of thecolliding species. For the case of electrons colliding with gas atoms, thekinetic energy of the gas atoms is generally much less than that of theelectrons and can be neglected. Consequently, only the electron energy isshown in Fig. 2.1. The figure shows that at low electron energies the primarycollision process is momentum exchange (σt ≈ σel), while at energiesconsiderably larger than the ionization potential (15.75 eV for Ar), the primaryprocess is ionization (σt ≈ σion).

Page 54: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasmas in Deposition Processes 57

Cross sections are most easily measured for reactions involving aspecies such as an electron or ion which can conveniently be formed as anenergetic beam and passed through a stationary gas. Figure 2.2 shows thecross section for energetic O+ ions passing through N2 and producing thereaction O+ + N2 → NO+ + N. Note in comparing Figs. 2.1 and 2.2 that thecollision cross sections are typically a few x 10-15 cm2 in magnitude (i.e., afew angstroms in diameter). For collision types that cannot be investigatedin beam experiments, the cross sections are often deduced from measurementsof macroscopic parameters such as viscosities, diffusion coefficients, andchemical reaction rates.[3] Thus one finds reference to viscosity crosssections, diffusion cross sections, etc. Cross sections are primarily of interestin making comparisons based on kinetic theory. In most plasma calculations,the macroscopic rate parameters are used directly if they are available.

Figure 2.1. Collision cross sections for electrons in Ar gas (from Ref. 1).

Page 55: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

58 Deposition Technologies for Films and Coatings

2.2 Free Electron Kinetic Energy in a Plasma

Consider a plasma electron in an electric field rE. Between collisions with

the gas particles, the electron will gain an energy Wf from the electric field thatis equal to the force on the electron eE (where e is the electronic charge) timesthe distance that it moves in the electric field. This distance can beapproximated by the mean free path so that, on average, Wf = eEλ. In thesteady state case, this energy gain must be balanced against the energy lossin an average collision. We neglect inelastic collisions for the moment andconsider collisions with heavy particles in which the electrons lose all of theirmomentum, i.e., are deflected by 90o. This permits us to use the momentumexchange cross section, as defined in the preceding section, for estimatingλ. Application of the conservation of energy and momentum shows that lossof electron energy in such a collision is[4]

Eq. (3) ∆W = (2me /mH) (We - WH)

where me and mH are the electron and heavy-particle masses and We and WH

are the initial electron and heavy particle energies before the collision.Equating ∆W to the energy Wf gained from the electric field, and using Eq.1 for λ, yields

Eq. (4) (We - WH) = ½ (mH /me) ( eE/Nσel)

Figure 2.2. Cross section for the reaction of O+ ions with N2 to produce NO+ + N(from Ref. 2).

Page 56: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasmas in Deposition Processes 59

In making calculations dealing with plasmas it is useful to note that:

! me = 9.11 x 10-31 kg = 9.11 x 10-28 g

! mH = 1.67 x 10-24 x (atomic mass number) g

! N = 3.2 x 1024 particles/m3 = 3.2 x 1016 particles/cm3 at 1 Torrand 300 K (27oC)

! The electron volt (eV) is the unit of energy generally used inplasma calculations. One electron volt is the energy gained bya particle with unit charge which is accelerated in an electric fieldproduced by a potential difference of one volt (1 eV = 1.602 x 10-

19 joules = 11,600 K).

Consider the case of electrons in an Ar plasma at 1 Torr and 300 K whichis subjected to an electric field of 1 V/cm, thus, N = 3.2 x 1016 cm-3 and eEis 1 eV/cm. Using Eq. 4 with σel ≈ 10-15 cm2 from Fig. 2.1 yields (We - WH)≈ 103 eV. Thus, at steady state, the average electron energy will be muchgreater than that of the gas atoms (0.03 eV at 300 K). The actual averageelectron energy will not reach 103 eV, however, because inelastic collisionswill become important when We exceeds ≈ 10 eV. Nevertheless, the aboveanalysis shows that even relatively weak electric fields can cause electronkinetic energies in low-pressure glow discharge plasmas to be elevated abovegas-atom energies until they are finally “clamped” by losses due to inelasticcollisions.

Figure 2.3 shows this elevation of electron energy at low pressures for thecase of plasma arcs. (The energies here are expressed as temperatures.) Athigh pressures, electron/gas-atom collisions are so frequent that the gastemperature increases. Such high-pressure arcs are used for a variety ofapplications. However, the discussion in the following sections will be limitedto the low-pressure case where Te > Tg. In this situation, energetic electronscan produce high temperature chemistry in a gas at low temperatures.[6]

2.3 Electron Energy Distribution Functions

For most purposes, the state of a glow discharge plasma can becharacterized by the densities of heavy particles (Nj, where j correspondsto the jth species), the electron density ne, and the electron energydistribution function Fe(E).[7] Under conditions of local thermodynamicequilibrium,[8] when the forward and reverse rates for all the electron energyexchange processes are equal (state of detailed balance),[9] the electronswill have a Maxwellian velocity distribution and their state can be defined by

Page 57: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

60 Deposition Technologies for Films and Coatings

an electron temperature Te. Unfortunately, such a state of equilibriumseldom exists in a low-discharge plasma.

Figure 2.4 schematically illustrates the electron energy distributionfunction. The equilibrium energy distribution is also shown for comparison.The effect of an electric field is to shift electrons to higher energies andtherefore to overpopulate the high-energy region relative to the Maxwelliandistribution. The cross section for a representative inelastic collision is shownsuperimposed (see Fig. 2.1). Electrons undergoing inelastic collisions aretransferred from the high-energy to the low-energy end of the distribution.Electron-electron collisions tend to smooth the distribution and drive it towardthe Maxwellian form. If these collisions dominate such that a state of detailedbalance exists for one dominant process, then Fe(E) can be approximated bya Maxwellian distribution and an electron temperature can be used to describethe state of the electrons. However, even this case seldom occurs in practice.

In high-pressure discharges, the electric field perturbation is usuallyminimal allowing the distribution function to be approximately Maxwellian,although it may be somewhat depleted at high energies by inelasticcollisions. In low-pressure discharges, the electric field can generaterelatively large numbers of energetic electrons and, in the extreme, produce

Figure 2.3. Electron ( Te ) and gas temperatures (Tg ) in an air arc as a function ofpressure (from Ref. 5).

Page 58: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasmas in Deposition Processes 61

a bimodal distribution function. This is the case in low-pressure negativeglow discharges of the type used in sputtering.[7]

Electron energy distribution functions are usually measured byelectrostatic analyzer and probe methods. However, interpretation of theresults are complicated by plasma/probe interactions. Therefore electrontemperatures, although not strictly valid, are generally assumed in makingengineering calculations.

2.4 Collision Frequencies

The collision frequency is an important plasma parameter. It is definedas the rate at which an average particle undergoes collisions of a specifiedtype. Thus the total electron-atom collision frequency is the rate at which anaverage electron in a plasma undergoes collisions of all types with gas atoms.

The general expression for the collision frequency ν is rather complex andinvolves the distribution functions of the colliding species.[10] For the electron/heavy-particle case, the velocity of the heavy particles can be neglected andν is given by

E= ∞Eq. (5) νk = N (E/2me)1/2

σk(E) Fe (E) dE E= 0

Figure 2.4. Schematic illustration of electron energy distribution function andinelastic collision cross section.

Page 59: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

62 Deposition Technologies for Films and Coatings

where k is the type of collision (e.g., elastic, excitation, ionization, etc). If thecollision cross section σk(E) is assumed to be independent of energy, and theelectrons are assumed to have a Maxwellian velocity distribution at an electrontemperature Te, then Eq. 5 reduces to

Eq. (6) νk = N σk ve

The quantity ve is the average electron speed,

Eq. (7) ve = (8k Te / πme)1/2

where k is Boltzmann’s constant. It is customary to write kTe in units of eV*.Thus, Eq. 7 becomes

Eq. (8) ve = (6.7 x 107) [kTe (eV)]1/2 cm/sec

For purposes of obtaining rough estimates, σk in Eq. 6 is generally approximatedby its value at the electron energy kTe.

The electron/electron and electron/ion collision frequencies are ofspecial interest. These are given by[11]

Eq. (9) νee = (3 x 10-6)ne lnΛ /[kTe(eV)]3/2 sec-1

and

Eq. (10) νei = (1.5 x 10-6) ne lnΛ /[kTe(eV)]3/2 sec-1

with

Eq. (11) λee = (4.5 x 1013) [kT (eV)]2 / (ne lnΛ) cm

where lnΛ is a weak function of kTe and ne. The function lnΛ is tabulatedin most books on plasma physics, and has a value of approximately 10 forthe glow discharge plasmas of interest here.[12] The lnΛ term arises

* From kinetic theory, the average particle energy in one dimension is 1/2 kT. Theaverage energy in three dimensions is 3/2 kT. Since T and E are so closely related,it is customary in plasma physics to give temperature in units of eV. To avoidconfusion with the number of dimensions involved, it is not the average energy butthe energy corresponding to kT that is used to denote the temperature.[11] By a 2eV plasma, we mean that kT = 2 eV, although the actual average energy in threedimensions is 3/2 kT or 3 eV.

Page 60: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasmas in Deposition Processes 63

because these collisions involve long-range coulomb forces and the crosssections do not cut off as in the hard sphere approximation.

The primary use of Eqs. 9 and 10 is in comparing νee and νei with otherrelevant collision frequencies. A plasma for which νee > νeA, where νeA is theelastic electron/atom collision frequency, is said to be coulomb-dominated.An approximate condition for coulomb domination is easily derived from Eqs.6 and 9 (see Ref. 13),

ne σeA [kT(eV)]2Eq. (12) >> αc = (2.23 x 1013)

N lnΛ

The term αc in Eq. 12 is known as the critical degree of ionization. SelectingkTe ~ 3 eV and σeA ~ 10-15 cm-3 (Fig. 2.1) yields ne/N ≈ 0.02. Thus a moderate-temperature glow discharge plasma with 2% ionization can be dominated bycoulomb collisions.

A consequence of coulomb domination can be seen by examining Eqs.9 and 11. As the electron energy is increased, the electron collision frequencydecreases and the mean free path increases. Thus, electrons in an electricfield will find that their energy gain is “unchecked” by collisions. Electronrunaway is an important consideration in highly ionized plasmas (13) butseldom important in glow discharge plasmas because of inelastic collisions.

For the case of a heavy particle of mass m1 in a gas with density N2 ofheavy particles of mass m2, the collision frequency can be approximated byan equation very similar to Eq. 6,[4][14]

Eq. (13) ( )( )

21

2112125

12 300105.2

×≈

T

m

Nv

σ

where the cross section σ12 is assumed to be independent of the velocity ofimpact and all the heavy particles are at the common temperature T. In Eq.13, m* is a reduced mass defined as

m1 m2Eq. (14 ) m* =m1 + m2

where the masses are molecular weights expressed in grams.Reaction Rates: The gas-phase reaction rate R is directly proportional

to the collision frequency. For a process k involving electron collisions,

reactionsEq. (15) Rk = ne νk

cm3 -sec

Page 61: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

64 Deposition Technologies for Films and Coatings

If the electrons are assumed to have a Maxwellian velocity distribution at atemperature Te, and if the cross section for a given reaction is approximatedby a step function of magnitude σ0 and threshold energy E0 as shown in Fig.2.5, then the reaction rate is given by

Eq. (16) Rk = neNσ0νe [1 + (E0/kTe)] exp(-E0/kTe)

As a general rule, reaction rate constants rather than actual collisionfrequencies are measured and used to describe reactions involving heavyparticle collisions. Thus, for a reaction occurring via a two-body collisionbetween species A and B in a gas at temperature T, with rate constant κ(T),one has

Eq. (17) R = κ(T) NA NB

Mobilities: Plasma transport properties are dependent on the frequencyof elastic (momentum exchange) collisions. The mobility µj relates theelectric-field driven drift velocity vd of a given charged particle species j to thestrength of the field E:

Eq. (18) vjd = µj E

When the collision frequency is sufficiently large that the drift velocity is smallcompared to the thermal velocity,

1.6 x 10-12 cm2

Eq. (19) µj = mjν V-sec

where mj is the particle mass in grams.

Figure 2.5. Reaction rate approximation for a Maxwellian velocity distribution.

Page 62: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasmas in Deposition Processes 65

The mobility is generally used to describe the drift of ions through aplasma that is at a sufficiently high pressure to satisfy the collision frequencyrequirement. Mobilities for several gases of interest are given in Table 1.

Table 2.1. Mobilities of Ions in their Own Gas (From Ref. 15)

Ion-Gas Mobility (cm2/V-sec)

He+-He 8,000Ne+-Ne 3,300Ar+-Ar 1,200Kr+-Kr 690H2

+-H2 10,000N2

+-H2 2,000O2

+-O2 1,000CO2

+-CO2 730

When a positive ion collides with a gas molecule or atom, two processescan occur. First, the ion and molecule can exchange momentum and energyin a collision in which the particles preserve their identity. Second, anexchange of charge can occur. For example, fast ions moving through a gascan engage in collisions in which the ion extracts an electron from a gas atomwith the result that the fast ion becomes a fast neutral atom while the slow atombecomes a slow positive ion. Charge exchange is particularly important forions of low energy passing through their own gas (resonant charge exchange).Under these conditions, the charge transfer cross section is about one half ofthe total cross section[16] and therefore contributes significantly in determiningthe mobility.* Charge transfer is very important in high-pressure sputtering andion-plating discharges.

Electrical Conductivity and Diffusion Coefficients: The electricalconductivity σ is just eNµ, so that

Eq. (20) σj = 1/ρj = 2.6 x 10-31 (Nj/mjν) (Ω-cm)-1

*The charge exchange region surrounding an atom can be considered as a sphereinside of which the probability of charge transfer is ½ and outside it is zero. As theion approaches the atom, it will simply be deflected by the dipole interaction if thedistance of closest approach is greater than the sphere radius. If the ion entersthe charge exchange sphere, half the time it emerges as a neutral and half the timeas an ion.[17]

Page 63: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

66 Deposition Technologies for Films and Coatings

where Nj is the particle density in cm-3 and mj is the mass of the current carrierin grams. The resistivity ρ is often used to avoid confusion with σ, which is thecommon symbol for both the electrical conductivity and the collision crosssection.

The diffusion coefficient Dj relates the particle flux to the concentrationgradient. Thus, one has

Eq. (21) Nj vjd = Dj (dNj /dx)

where

kT kT (eV) cm2

Eq. (22) Dj = = (1.6 x 10-12) mj ν mj ν sec

2.5 Particles in Magnetic Fields

Charged particle motion in a magnetic field is summarized in Fig. 2.6.

A charged particle in a uniform magnetic field rB will orbit a field line as

shown in Fig. 2.6a and drift along the field with velocity v|| that is unaffected bythe field, as shown in Fig. 2.6b. The orbiting frequency is called the gyro orcyclotron frequency and is given by

Eq. (23) ωc = eB/m

Figure 2.6. Electron paths in static magnetic and electric fields.

Page 64: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasmas in Deposition Processes 67

The orbiting radius is called the gyro, cyclotron, or Larmor radius and is givenby

Eq. (24) rg = (m/3) (v⊥ /B)

Manipulation and confinement of plasma particles by a magnetic fieldrequires that rg be small compared to the apparatus size. Note in Eq. 24 thatrg depends directly on the mass of the particle. Thus, very large magneticfields are required to influence the motions of the plasma ions. When magneticfields are used with glow discharges, they are generally chosen to be juststrong enough to influence the energetic plasma electrons, but not the ions.However, magnetically-confined electrons in a glow discharge will in turnprovide considerable confinement for the plasma ions since electrostaticforces prevent the ions from escaping from the electrons. For electrons, Eqs.23 and 24 become[1]

Eq. (25) ωc = (1.76 x 107) B(gauss) rad/sec

and

[W⊥ (eV)]1/2

Eq. (26) rg = 3.37 cm B(gauss)

Thus, for electrons with an average energy W⊥ of 10 eV and a magnetic fieldstrength B of 100 G, the gyro radius is ≈ 0.1 cm. Magnetic field strengthsbetween 50 and 100 G are typically used with glow discharge devices. Anelectron that is trapped on a given magnetic field line can advance to anadjacent field line by making a collision, as indicated schematically in Fig.2.6c. Collisional diffusion of electrons across magnetic field lines is animportant consideration in many glow discharge devices.

When an electric field rE is present and directed parallel to the magnetic

field, the electrons are freely accelerated along the field lines. However, if theelectric field has a component E⊥ which is perpendicular to

rB, the electrons

undergo a drift in a direction perpendicular to both E⊥ and rB , as shown in Figs.

2.6d and 2.6e. This motion is known as the rE x

rB drift. The

rE x

rB drift has

the cycloidal form shown in Fig. 2.6d if the initial electron energy issmall compared to that gained from the electric field; it has the morecircular form shown in Fig. 2.6e if the initial electron energy is largelycompared to the electric-field-induced variations that occur during thecourse of the orbit. In both cases, the electron drift speed is given by

Page 65: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

68 Deposition Technologies for Films and Coatings

E⊥ (V/cm)Eq. (27) ve = 108 cm/sec

B(gauss)

The drift of electrons along a magnetic field line can also be influencedby gradients in the magnetic field. An example of this behavior is shown in Fig.2.7.

Electrons moving in such a field tend to conserve the magnetic moment,µM, defined by[11]

Eq. (28) µM = W⊥ / B

Therefore W⊥ must increase as the electrons move in the direction ofincreasing field strength. Conservation of energy requires that W|| + W⊥ beconstant. Therefore W|| must decrease, and the electron may be reflected asindicated in the figure. Pinched-field end confinement of this type is frequentlyused in glow discharge devices

3.0 COLLECTIVE PHENOMENA

Plasmas differ from non-ionized gases by their propensity for undergoingcollective behavior. Three parameters, derived from basic plasma properties,N, ne, and kTe, provide a useful measure of the tendency toward collectivebehavior.

Figure 2.7. Electron reflection in a magnetic field gradient.

Page 66: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasmas in Deposition Processes 69

The Debye length,

kTe (eV) 1/2

Eq. (29) λD = 743 cm ne (cm3)

corresponds to the distance over which significant departures from chargeneutrality occurs. A plasma cannot exist in a space having a characteristicsize less that λD.

The plasma frequency, ωp, expressed here as

Eq. (30) fp = ωp/2π = 9000[ne (cm-3 )]

1/2 Hz

provides a measure of the tendency for electrostatic waves to develop. Wavescan form if ωp >> νe,el, where νe,el is the electron collision frequency formomentum exchange.

The critical degree of ionization αc was defined by Eq. 12. When thedegree of ionization α = ne/N >> αc, long range coulomb collisions dominate,and the charged particles behave as though they were in a fully ionized gas.Coulomb domination can occur at degrees of ionization of a few percent forplasmas with low average electron energies (≈1 eV).

3.1 Plasma Sheaths

Given a gas of particle density N (cm-3) and temperature T, the flux ofparticles passing to an adjacent wall is given by

Eq. (31) J = Nv/4 = (N/4) (8kT/πm)1/2

For electrons, this becomes (see Eqs. 7 and 8)

Eq. (32) Je = (1.67 x 107) ne [kTe (eV)]1/2 particles/cm2-sec

which, in units of current density, is equal to

Eq. (33) Je = 2.7 x 10-9 ne [kTe (eV)]1/2 mA/cm2

Thus, for a typical glow discharge electron density of 109 cm-3 with an averageenergy of 1 eV, Je ≈ 3 mA/cm2.

For heavy particles such as ions, Eq. 31 can be written in the followinguseful form:

Page 67: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

70 Deposition Technologies for Films and Coatings

Eq. (34) Ji = 104 N (40/m)1/2

(T/300)1/2

where T is the gas temperature (K) and m is the species molecular weight. Inunits of current density, Eq. 34 becomes

Eq. (35) Ji = 1.6 x 10-9 N (40/m)1/2 (T/300)1/2 µA/cm2

Thus, for an Ar plasma used in sputtering with an ion density of 109 cm-3 at300 K, the ion current flux to the wall is 1.6 µA/cm2.

It is clearly seen by comparing Eqs. 33 and 35 that the electrons tendto flow from a plasma to an adjacent wall at a faster rate than the ions; therefore,a space charge region in which one species is largely excluded forms adjacentto such surfaces. The potential variation between the surface and the plasmais largely confined to this layer, which is called a sheath. Sheaths are typicallyseveral Debye lengths in thickness.

The nature of the sheath will depend upon the current density passingacross it. Except for cases involving very high current densities to anodes, thespace charge region will contain primarily the low-mobility ion species. Suchsheaths are known as positive space charge sheaths. The function of thesheath is to form a potential barrier, so that the more mobile species, whichis the electrons except in the case of a strong magnetic field, are electrostaticallyreflected. Thus, the height of the potential barrier associated with a sheathadjusts itself so that the flux of electrons to the wall in question just equals theelectron current that is drawn from the wall by the external circuit. If the wallis electrically isolated, the electron flux is reduced to the point which is equalto the ion flux.

Figure 2.8 shows that a schematic illustration of a typical glow dischargeplasma which is in contact with wall surfaces that are either cathodes, anodes,or electrically isolated (floating). The potential Vp is known as the plasmapotential. The potential of a floating surface relative to the plasma potential isknown as the floating potential Vf. For a Maxwellian velocity distribution, thefloating potential is given by[18]

kTe (eV) π meEq. (36) Vf = ln

2e 2 m

Typical values are -30 to -40 V. When a floating surface is immersed in aplasma, the surface will be bombarded with ions having kinetic energies of upto eVf.

Page 68: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasmas in Deposition Processes 71

Generally, the anodes used in glow discharges are large enough that thecurrent density is less than the thermal current given by Eq. 33. In this case,there is a positive space charge sheath at the anode, as shown in Fig. 2.8a,and the sheath potential drop is between zero and Vf. The potential

Figure 2.8. Schematic illustration of sheaths that form between a plasmadischarge and the surrounding apparatus walls for systems having (A) a largeanode and (B) a small anode.

Page 69: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

72 Deposition Technologies for Films and Coatings

of a plasma locks into the most positive surface, provided that the surface islarge enough.[19] If the anode area is so small that the current density mustexceed the thermal current, then the anode potential will be above the plasmapotential, as shown in Fig. 2.8b. The local electric field surrounding the anodewill draw sufficient electrons to the anode to complete the external circuit.*

A large potential difference Vs, approximately equal to the entire potentialapplied by the power supply, occurs in the cathode sheath as shownschematically in Fig. 2.9. The sheath thickness ds is taken to be the regioncorresponding to Vs over which the electron density is negligible. For the lowpressure case where the ion mean free path is larger than ds, the ion currentdensity Ji is related to ds and Vs by the Child-Langmuir law.[11] It is useful towrite this relationship as

Eq. (37) Ji = 0.273 (40/mi)1/2 (Vs

3/2/ds2) mA/cm2

where Vs is in kV, ds is in cm, and mi is the ion molecular weight in grams.Thus, for an Ar sputtering plasma with Vs = 1 kV and ds = 1 cm, Ji = 0.27mA/cm2. It is difficult to relate Ji to the density Nio of ions in the bulkplasma, because there is a quasi-neutral presheath region where apotential drop Vx of the order of 1/2(kTe/e) occurs. As an estimate, thepresheath density can be assumed to obey a Boltzmann distribution,[20]

such that Nis/Nio = exp(eVx /kTe) , and

Eq. (38) Ji ≈ ≈ ≈ ≈ ≈ (0.6) eNio (kTe/mi )1/2

where mi is in grams and kTe is in ergs.For the high pressure case, where collisions are so frequent that the ion

drift velocity is of the order of the thermal velocity, a mobility description is usedfor the ion motion.[5] Under this condition,

Eq. (39) Ji = 9.95 x 10-5 µi (Vs2/ds

3 ) mA/cm2

where µi is the ion mobility in cm2/V-sec, Vs is the sheath potential drop in kV,and ds is the sheath thickness in cm. For an Ar plasma at 1 Torr, µi = 1,200cm2/V-sec from Table 1. Taking V = 1 kV and ds = 1 cm yields Ji = 0.11 mA/cm2.

*The potential rise surrounding a small anode cannot become much larger thanthe ionization potential of the gas atoms since this potential causes the sheathelectrons to be accelerated. If these electrons gain sufficient energy to produceionization, then the electrons liberated by the ionizing collisions can provide theanode current flow requirement and no additional rise in potential is required.

Page 70: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasmas in Deposition Processes 73

In a low pressure plasma, the ions will fall through the entire sheathpotential and bombard the cathode with an energy about equal to eVs. At higherpressures, where charge exchange is important, the bombarding flux willconsist of both ions and neutrals having energies considerably less than

Figure 2.9. Schematic representation of the positive space-charge sheath thatdevelops over a cathode (from Ref. 1).

Page 71: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

74 Deposition Technologies for Films and Coatings

eVs as indicated schematically in Fig. 2.10. This is an important considerationin sputtering, ion plating, and reactive ion etching, as discussed in Ch. 5, Sec.3.0.

3.2 Ambipolar Diffusion

Consider a plasma within a container having electrically isolated orfloating walls. A sheath will develop on these walls to reduce the electron fluxuntil it is equal to the ion flux as described in Sec. 3.1. Accordingly, an electricfield in the sheath retards the loss of electrons and accelerates the loss of ions.This coupled particle motion is called ambipolar diffusion. The diffusion fluxJ of electrons or ions to a floating wall is given by

Eq. (40) Je = Da (dne/dx) = Ji = Da (dni /dx)

The term Da is called the ambipolar diffusion coefficient. Noting that µe >> µi

(see Eq. 19) permits Da to be approximated as:[11]

Figure 2.10. Schematic representation of charge exchange reactions in thecathode fall region of a glow discharge.

Page 72: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasmas in Deposition Processes 75

Eq. (41) Da ≈ Di (1 + Te/Ti )

where Di is given by Eq. 22. Thus the effect of the ambipolar field is to enhancethe diffusion of ions by a factor of more than two, but the diffusion rate of thetwo species together is primarily controlled by the slower species. In thepresence of a sufficiently strong magnetic field perpendicular to the directionof diffusion, the electron mobility, and thus the electron diffusion coefficient canbe reduced to the point where it is lower than the ion diffusion coefficient andtherefore rate controlling. Under this condition one can write

Eq. (42) Da ≈ De/[1 + (ωc2/νe)] (1 + Ti /Te)

where De is the electron diffusion coefficient in the absence of a magnetic field.The effect of the magnetic field becomes strong when ωc (given by Eq. 25) ismuch larger than the electron collision frequency νe, i.e., when the electronsare trapped on magnetic field lines as shown in Fig. 2.6b, and collisionalhopping to adjacent field lines is infrequent. It should be noted that Eq. 42 isbased on the assumption that electron losses along the lines can beneglected. Attention to these losses should be given when analyzing theperformance of an actual plasma device.[11][21]

3.3 Plasma Oscillations

The plasma state is rich in wave phenomena when the degree ofionization is large enough to make long-range forces important, particularlywhen a magnetic field is present.[11] Departures from charge neutralitycapable of generating waves can occur in the form of charge bunching andseparation over distances of the order of the Debye length, Eq. 29. A generaldiscussion of such behavior is beyond the scope of this chapter. However, onecase will be mentioned because of its potential importance in magnetronsputtering devices.

Consider the case of a plasma in a uniform electric and magnetic field,as illustrated in the left side of Fig. 2.11. There is an

rE x

rB drift perpendicular

to both rE and

rB, but, in the absence of collisions, simple theory predicts no

transport across the magnetic field in the direction of the applied electric field.If charge bunching occurs, as shown in the right side of Fig. 2.11, theperturbation produces an electric field

rEp that can result in

rE x

rB drift across

the magnetic field in the direction of rE. This anomalous collisionless transport

across the magnetic field is believed to be an important mechanism in Penningdischarges as well as in some magnetron sputtering discharges.[22]

Page 73: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

76 Deposition Technologies for Films and Coatings

4.0 PLASMA DISCHARGES

4.1 Introduction

A glow discharge plasma is a low temperature, relatively low pressure,gas in which a degree of ionization is sustained by energetic electrons. Glowdischarge configurations used in materials processing differ in both theirgeneral geometry and in the orientation of the electric field that is used toprovide energy to the electrons.

In sputtering, simple planar diodes of the type shown schematically inFig. 2.12a are often used. They may be driven at radio frequencies (RF), asshown in the figure, or by a DC power supply. RF planar diode discharges arealso used for sputter etching, plasma etching, and reactive ion etching, asillustrated in Figures 2.13b, 2.13d and 2.13e, respectively. Systems with theconfiguration shown in Fig. 2.13d are also used for plasma-assisted chemicalvapor deposition (CVD).

During activated reactive evaporation, a plasma discharge is sustainedin a flux of evaporated material and reactive gas that is directed toward thesubstrates, as shown in Fig. 2.14. The discharge may be driven by DC or RFmeans, using a variety of electrode configurations. The presence of theplasma has been shown to influence properties such as the chemicalcomposition of the resultant films.[53]

Figure 2.11. Schematic representation of a plasma instability resulting in electrontransport across a magnetic field.

Page 74: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasmas in Deposition Processes 77

In ion plating, the discharge is generally sustained in a mixture of theevaporated flux and an inert working gas with the substrate holder biasednegatively relative to the plasma potential. Usually this is done by simplymaking the substrate holder the cathode electrode for sustaining the plasmadischarge, as shown in Fig. 2.15. The ion bombardment of the growing coatinghas been shown to influence its structure.[53]

In plasma etching, plasma-assisted CVD, and glow dischargepolymerization, discharges are often sustained in glass or quartz reactortubes by surrounding electrodes which are driven at high frequencies (from 300kHz to microwave frequencies)[23] Common electrode configurations are apair of ring electrodes along the tube, clam-shell electrodes as shown in Fig.2.12b, or a solenoidal coil electrode as shown in Fig. 2.12c. It should be notedthat all of these discharges are basically capacitive in nature. Although thecoil electrode will introduce considerable inductance into the load seen by thematching network, the capacitive fields generated by the coil-to-coil potentialdrop dominate over those generated by the time rate-of-change of magneticflux and therefore act as the primary source of ionization unless specialprecautions are taken to shield them. In the case of microwave discharges,the reactor tube is generally positioned within the waveguide at a locationwhich places a strong electric field component within the tube.[6][23]

4.2 Ionization Balances and the Paschen Relation

The degree of ionization in a glow discharge depends on a balancebetween the rate at which ionization is produced by energetic electrons and

Figure 2.12. Schematic illustration of glow discharge devices commonly used inplasma-assisted materials processing.

Page 75: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

78 Deposition Technologies for Films and Coatings

the rate at which particles are lost by volume recombination and by passageto the walls of the apparatus. The rate of ionization depends on a relationshipof the form (see Eqs. 6, 8, and 15)

Eq. (43) R ∝ N ne σion (E)1/2

Figure 2.13. Apparatus configurations commonly used in plasma-assistedetching.

Page 76: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasmas in Deposition Processes 79

Figure 2.14. Schematic illustration of the activated reactive evaporation (ARE)process (see Ref. 49).

Figure 2.15. Schematic illustration of a typical ion plating apparatus.

SUBSTRATES (S)ELECTRODE

Page 77: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

80 Deposition Technologies for Films and Coatings

Thus, the rate of ionization depends on the type of gas (through the ionizationcross section σion), the gas pressure (through the particle density N), and theelectric field strength (through the electron velocity). Wall losses generallydominate over volume recombination. Accordingly, the occurrence of abreakdown, and the resulting formation of a sustaining plasma discharge, ina given apparatus depends on the gas pressure, the electric field strength,and on the surface-to-volume ratio of the plasma. Figure 2.16 shows the inter-electrode breakdown voltage as a function of the product of the gas pressurep and the electrode spacing d for plane parallel electrodes in air[5] and Ar.[24]

Such curves are determined experimentally and are known as Paschencurves. Relationships of the same general form apply to the conditions underwhich a steady-state discharge can be sustained. In such cases d may bereplaced by a characteristic diffusion length for the plasma vessel.[6][17][25]

The rise in voltage at the low pd side in Fig. 2.16 occurs because theapparatus is small, or the gas density low, such that electrons are lost to thewalls without colliding with gas atoms and producing ionization. The rise inthe required voltage on the right side happens because the electron energy isbecoming too low to produce ionization. This can occur at high pressures,because electron collisions with gas atoms become so frequent that theelectrons cannot accumulate sufficient energy to overcome the ionizationpotential. It can also occur at a given applied voltage in a very large chamberwhere local electric fields in the plasma are too weak to deliver sufficient energyto the electrons between collisions.

Figure 2.16. Paschen curves for breakdown between plane-parallel electrodes inair and argon at 20oC.

Page 78: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasmas in Deposition Processes 81

The functional form of the curves in Fig. 2.16 provides a useful guide foradjusting the operating conditions within a given device in order to produce aplasma discharge. Conversely, the relation provides guidance for theprevention of discharges on surfaces such as the back of cathodes. Onesimply places a grounded shield over the surface to be protected ensuring thatthe spacing d between the shield and the cathode is small enough that thebreakdown voltage is larger than the voltage required to form and sustainplasma discharge at the operating pressure of interest.

The above considerations are also important in apparatus scaling. Adischarge sustained in a small apparatus must have a high average electronenergy to counteract wall losses. Such a discharge, with the same electrondensity but in a larger apparatus size, will be sustained at a lower averageelectron energy. This can in turn change the active species that are produced.Thus, small-bore discharge tubes are sometimes used in lasers to elevate theaverage electron energy to a desired value. Typical glow discharge electrondensities are in the range of 108 to 1012 cm-3 with average electron energiesof 1 to 30 eV. These conditions are shown in Fig. 2.17 and compared with otherforms of discharges.

Figure 2.17. Regions of average electron density and energy representative ofvarious types of plasmas (from Ref. 7).

Page 79: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

82 Deposition Technologies for Films and Coatings

4.3 Cold Cathode Discharges

A low-pressure cold-cathode discharge is one which is maintainedprimarily by secondary electrons emitted from the cathode due tobombardment by ions from the plasma. These secondary electrons areaccelerated in the cathode dark space and enter the negative glow, as shownin Fig. 2.18, where they are known as primary electrons. Each primaryelectron must produce a sufficient number of ions to result in the ejection ofanother secondary electron from the cathode.[15] The secondary electronemission coefficient is typically about 0.1 for low-energy Ar+ ions (such as areused in sputtering) incident on clean metal surfaces.[26] The coefficient islarger, for example, for oxidized surfaces but still small enough that eachprimary electron must produce, or lead to the production of, a plurality ofions.[15]

The negative glow (NG) region of the plasma is where the primaryelectrons expend their energy, and its extent corresponds to the range of theirtravel from the cathode.[5][15] The electron energy distribution in the NG ismultimodal. It consists of primary electrons, ultimate electrons (primaries thathave transferred their energy), and much larger numbers of low-energyionization products. In the classical glow discharge described in most

Figure 2.18. Schematic illustration of a cold-cathode discharge.

Page 80: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasmas in Deposition Processes 83

textbooks, a positive column (PC) extends from the NG to the anode.[5][15][17]

The PC is a region in which the electric field is just sufficient to transport thedischarge current from the NG to the anode and to produce sufficient ionizationto make up for wall losses.

In planar-diode material-processing sources of the type shown in Figs.2.12 and 2.13, the substrate mounting table or anode generally intercepts theNG and there is no PC. A consequence of this small inter-electrode spacingis that the operating pressures are relatively high (see discussion of thePaschen relationship in Sec. 4.2). For example, reasonable operatingconditions for DC planar-diode Ar sputtering discharges are: 75 mTorrpressure with a substrate-to-cathode spacing of 4.5 cm, a current density of1 mA/cm2, and a discharge voltage of 3,000 V.

In order for a cold-cathode discharge to operate effectively at lowpressures, it is necessary that the primary electrons be preserved and not lostfrom the system until they have had a chance to expend their energy inionization. The hollow cathode geometry shown in Fig. 2.19 is effective in thisrespect. Electrons which are accelerated in the cathode dark space and enterthe NG cannot escape once they have lost an amount of energy about equalto their initial ejection energy (which is only a few eV)[26] since they encountera sheath with repulsive forces whenever they approach the cathode. The onlylosses are out of the ends, and long hollow cathodes with minimized endlosses can be operated effectively at low pressures and voltages. Accordingly,hollow cathodes are often used as ionization sources.[27]

Figure 2.19. Schematic illustration of a hollow cathode discharge.

Page 81: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

84 Deposition Technologies for Films and Coatings

4.4 Magnetron Discharges

Magnetron discharge sources are assuming increasing importance forsputter deposition. Therefore, these discharges are discussed in some detailin Ch. 5. It will simply be noted here that magnetrons are cold cathodedischarge devices in which magnetic fields are used in concert with cathodesurfaces to form traps which are so configured that the

rE x

rB electron drift

currents can close upon themselves.[1] The cylindrical-post configurationshown in Fig. 2.20 provides one of the simplest examples of a magnetron.Primary electrons which leave the cathode barrel and enter the plasma findthemselves trapped in an annular cavity which is closed on three sides bysurfaces at cathode potential (the hollow cathode effect) and on the fourth sideby the magnetic field. The electrons can diffuse across the magnetic field andreach the anode only by making collisions (the process illustrated in Fig. 2.6c)and by plasma oscillations (see Sec. 3.3).[22] Because of the effectivenessof the collisions in producing ionization, these discharges are extremelyefficient and operate at pressures of less than 1 mTorr with high currentdensities (10 - 200 mA/cm2) and low voltages (700 - 1,000 V). Planarmagnetrons in which plasma rings are magnetically confined on planarcathodes are very important in sputter-deposition technology.[28][29]

Figure 2.20. Cylindrical-post magnetron sputtering source with electrostatic endconfinement.

Page 82: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasmas in Deposition Processes 85

4.5 RF Discharges

RF-driven planar diode discharge devices of the type shown in Figs.2.12a, 2.13b, 2.13d, and 2.13e are used for sputter deposition, plasma-assisted etching, and plasma-assisted CVD. Their application to sputteringis discussed in detail in Ch. 5.

The operating frequency is generally 13.56 MHz, since this is thefrequency in the 10 to 20 MHz range that has been allocated by the FCC forindustrial applications. At this frequency, only the electrons can follow thetemporal variations in applied potential. Thus the plasma can be pictured asan electron gas that moves back and forth at the applied frequency in a seaof relatively stationary ions. As the electron cloud approaches one electrode,it uncovers ions at the other electrode to form a positive ion sheath. This sheathtakes up nearly the entire voltage as in the DC case. The ions are acceleratedby this voltage and bombard the electrodes.

The RF discharge can be further understood by examining the electrodecurrent flow. These discharges are capacitive in nature, both because ofexternal capacitance which is placed in the electrical circuits and because oneor both electrode surfaces are generally nonconducting. Consequently, thetotal ion and electron charge flow to a given electrode during an RF cycle mustbalance to zero and a self bias that is negative with respect to the plasmapotential develops on any surface that is capacitively coupled to a glowdischarge.[51] The basis for this behavior is illustrated in Fig. 2.21, where thecurrent/voltage characteristics are shown for an electrode immersed in a glow-discharge plasma. Because of the mobility difference between the electronsand the ions, much larger currents are drawn when the electrode is positiverelative to the floating potential than when it is negative (upper figure). In orderto achieve zero net current flow, it is necessary for the DC bias to develop suchthat the average potential is negative relative to the floating potential, as shownin the lower figure. Thus both electrodes exceed the floating potential (andbecome anodes) only for short portions of each RF cycle. Most of the timethey are cathodes. Because of their inertia, the motion of the ions can beapproximated as if they follow the DC potential and flow to both electrodesthroughout the cycle.

RF discharges in planar diodes can be operated at considerably lowerpressures than DC discharges. Typical operating pressures are 5 to 15mTorr. This is due to two reasons: a reduction in the loss of primaryelectrons and, at high frequencies, by an increase in the volume ionizationefficiency. A fraction of the lower-energy primary electrons are repelledfrom the electrode toward which they are accelerated and thus remain in the

Page 83: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

86 Deposition Technologies for Films and Coatings

discharge longer to make additional ionizing collisions. In addition, electronscan gain energy from the RF field by making in-phase collisions with gasatoms. That is, if an electron, accelerated in one direction during a given half-cycle, makes an elastic collision in which its direction is reversed near the endof the half-cycle, it maintains most of its velocity (due to the large massmismatch between electrons and ions) and will again be accelerated duringthe next half-cycle and thus have gained energy during the complete

Figure 2.21. The formation of a negative bias on a capacitively-coupled surface inan RF glow discharge (from Ref. 51).

(a)

(b)

Page 84: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasmas in Deposition Processes 87

cycle. As the pressure is increased, the volume ionization due to electronsaccelerated by the oscillating electric field becomes increasingly importantAccordingly, when the planar and cylindrical plasma discharge devices shownin Fig. 2.13 are used for plasma-assisted etching, CVD, and polymerization,the operating pressures are generally high enough that volume-acceleratedelectrons dominate in producing excitation and ionization. The same is truefor high-frequency microwave type discharges.

5.0 PLASMA VOLUME REACTIONS

5.1 Introduction

Electron bombardment of atoms and molecules results in excitationionization, and dissociation, thereby producing a variety of active species andradicals having much different chemical activities than those of the parentgas.[30][31] Thus, although He and Ar atoms are inert, He+ ions with onevalence electron are hydrogenic. Ar+ ions are similar to Cl and can react withH2 molecules to form HAr+ ions.[30]

Electron ionization processes are obviously important in the sustainingof plasma discharges. The excitation and dissociation processes areimportant in plasma chemistry and form the basis for plasma-assistedetching, plasma-assisted CVD, and plasma polymerization.

5.2 Electron/Atom Interactions

An electron with a kinetic energy which exceeds the ionization energyof an atom has as approximately equal probability of producing eitherexcitation or ionization as it passes in close proximity to the atom. A semi-classical picture of such a collision is shown in Fig. 2.22. The Coulomb forcefrom the electron produces an electric field at the atom. The component of thisfield which is perpendicular to the direction of electron motion (E⊥ ) producesa time-varying “impulsive” electric field which can act on the atom. The electricfield pulse is equivalent to that which would be produced by a beam of photonshaving frequencies corresponding to the Fourier components of the pulse.[32]

The point is that an electron passing close by an atom does not simply knockan electron out of the atom, but produces a perturbation at the atom which maybe approximated as a beam of white light that induces electronic excitationand ionization in proportion to the optical oscillator strengths.

Page 85: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

88 Deposition Technologies for Films and Coatings

In making plasma calculations, the average energy Wei spent by anelectron in creating an electron-ion pair in a given gaseous medium is oftenused. Values of Wei for various atoms and molecules are shown in Table 2along with values for the ionization potential I. Note that Wei/I ≈ 2; i.e., thereis an almost equal probability of producing either excitation or ionization,although excitation is more probable in molecules.

5.3 Electron/Molecule Interactions

Electron interactions with molecules produce excitation and ionizationvia mechanisms essentially identical to those for atoms as described above.The primary difference is in the fate of the excitation energy. In the atomiccase, the excitation energy is lost by radiation unless the transitions arequantum-mechanically forbidden (see Sec. 5.4 below). In the molecular case,it may result in dissociation of the molecules. Consider the case of CF4, a gaswhich is commonly used in plasma etching. The threshold for producingexcitation is 12.5 eV.[33] The excitation reaction can be written as

e− + CF4 → CF4* + e−

Figure 2.22. Virtual photon model of an electron-atom collision (from Ref. 32).

Page 86: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasmas in Deposition Processes 89

where the symbol * refers to an excited species. There is evidence that allelectronic excitation processes in CF4 produce dissociation.[33] Furthermore,because of the two-step nature of the excitation-dissociation process, onebond is broken, and the primary radicals produced are CF3 and F rather thanCF2 and F2.[34] The active F atoms produced in this way play a very importantrole in many plasma etching processes.

Table 2.2. Approximate Energy Spent to Create Electron-Ion Pairs[32]

Atom or Molecule Wei (eV) I (eV) Wei / I

He 46 24.58 1.87Ne 37 21.56 1.71Ar 26 15.76 1.65Kr 24 14.00 1.71Xe 22 12.13 1.81H2 36 15.43 2.33N2 36 15.59 2.31NO 29 9.25 3.13CO 35 14.04 2.49O2 32 12.15 2.63CO2 34 13.81 2.46C2H2 28 11.40 2.45CH4 29 12.99 2.23C2H4 28 10.54 2.65C2H6 27 11.65 2.31C3H6 27 9.73 2.77C3H8 26 11.15 2.33C6H6 27 9.23 2.92

The ionization process can also result in dissociation. Thus, one hasdissociative ionization reactions of the form

e− + CF4 → CF3+ + F + 2e−

as well as simple molecular ionization

e− + O2 → O2+ + 2e−

It has been noted that plasma discharges often contain relatively largenumbers of low energy electrons which have expended their energy in makinginelastic collisions (this is particularly true in regions of low electric field suchas the negative glow). These electrons can attach to electronegativemolecules to form negative ions[23] such as

e− + O2 → O2−

Page 87: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

90 Deposition Technologies for Films and Coatings

The ion may then dissociate, for example

O2− → O− + O

Atomic constituents of small molecules such as F2 cannot recombinein two-body gas-phase collisions because the diatomic molecule formedcannot conserve both energy and momentum. Thus the gas-phaserecombination reaction requires a third body. Accordingly, the lifetime for suchatoms in a plasma reactor can be long except when the working pressure ishigh. However, when two molecular radicals associate, the energy ofdissociation can be distributed within a large number of internal degrees offreedom. Accordingly, the association efficiency is close to unity for simpleradicals.[23] Thus, for example, one has

CH3 + CH3 → C2 H6

The decay of initial reaction products in cascading reactions, with thedevelopment of high molecular weight species, is a well-known characteristicof the radiation chemistry of hydrocarbons and halocarbons in both the gas andsolid phases.[31] The general hierarchy for the production of active species ina molecular gas plasma is shown schematically in Fig. 2.23.

5.4 Metastable Species

An important consideration in using plasmas for materials processing isthe ability of active species to diffuse from the point of production to a point ofreaction. Atoms or molecules that are excited into electronic states which candecay radiatively have very short lifetimes (~10−9 s). However, some excitedstates are forbidden by quantum mechanical considerations from undergoingradiative transitions. Atoms and molecules in these metastable states havesufficiently long lifetimes that they can carry their stored electronic energyfrom the immediate vicinity of the discharge plasma to other points in a reactor.

Atoms or molecules can be excited directly into metastable states, orcan arrive in these states by radiative decay after having been excited intostates of higher energy. Consequently, a plasma may contain relatively largenumbers of metastable species and they can have an important effect on theoverall discharge chemistry. Metastable states are depopulated when theatoms undergo collisions. Thus, for example, a metastable atom A* maysubsequently pass its excitation energy to another particle, thereby

Page 88: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasmas in Deposition Processes 91

producing ionization or dissociative ionization in atoms or molecules of lowerionization potential, as indicated below.[35]

A* + Y → Y+ + A + e−

A* + XY → XY+ + A + e−

A* + XY → X+ + Y + A + e−

These reactions are known as Penning ionization processes.

Figure 2.23. Schematic illustration of the production of active species in amolecular plasma.

Page 89: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

92 Deposition Technologies for Films and Coatings

5.5 Applications of Volume Reactions

Primary applications of interest here are plasma-assisted CVD,[36]

plasma-assisted etching,[37] and plasma polymerization (38). In each of thesecases, the advantage of using a plasma is that it can effectively producereactions at low substrate temperatures. In some cases, the reactions areunique.

An example is provided by the plasma-assisted deposition of Si3N4 usinga SiH4-NH3 plasma. The plasma chemistry is not understood in detail,however the overall reaction is

3SiH4 + 4NH3 → Si3N4 + 12H2

The important point is that the substrate temperature is typically 300oC orlower. When the same reaction is carried out by conventional chemical vapordeposition, the substrate temperatures are typically between 800 - 1200oC.[39]

The lower substrate temperatures in plasma-assisted CVD are particularlyimportant in electronic applications where coatings are deposited onto devicestructures.

The average electron energies in plasma-assisted CVD are typically low,≈1 - 10 eV, such that the plasma chemistry is dominated by radicals ratherthan ions.[52] Bond energies are therefore an important criteria in the selectionof reactants for a desired process. For example, one of the functions of theplasma during deposition of nitride films is to provide atomic N in the gas phasesince the partial pressure of atomic N required to obtain stoichiometric nitridefilms is much smaller than that of N2. However, 9.83 eV is required to obtainN atoms by cleaving the N2 molecule,

N2 → NH2 + H (∆H = 9.83 eV)

Alternatively, N atoms can be obtained more efficiently through the followingsteps starting with NH3:

NH3 → NH2 + H (∆H = 4.76 eV)

NH2 → NH + H (∆H = 3.90 eV)

NH → N + H (∆H = 3.42 eV)

Page 90: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasmas in Deposition Processes 93

in which no reaction step requires more than 4.76 eV. This explains why NH3

is commonly used in Si3H4 plasma-assisted CVD deposition. Similarly, thefollowing reactions show why nitrous oxide N2O, rather than O2, is often usedas a parent donor molecule for O atoms during plasma CVD deposition ofoxides.

N2O → N2 + O (∆H = 1.73 eV)

O2 → 2O (∆H = 4.13 eV)

Plasma-assisted etching is similar to plasma-assisted CVD, except thata volatile rather than an involatile compound is produced at the substrate.Thus, for example, Si etching is accomplished by using a glow discharge togenerate active F atoms from an inert molecular gas such as CF4. The F atomscause etching of the Si by forming volatile compounds such as SiF4 on the Sisurface.

Plasma polymerization often proceeds in a series of steps.[38] Thus, forexample, high molecular weight species can be formed in a glow dischargefrom low molecular weight starting material by the association processesdiscussed in the previous section. These high molecular eight speciescondense on the substrates, where they are cross-linked by plasma radiationand electron bombardment to form a polymer film.

6.0 SURFACE REACTIONS

6.1 Introduction

Surfaces in contact with plasmas are bombarded by electrons, ions, andphotons. The electron and ion bombardment is important and is used inmaterials processing, particularly during deposition and etching. Less isknown about the influences of the plasma radiation. The relative number of ionsand electrons which are incident on a surface depends on whether it is biasedas a cathode, an anode, or is electrically isolated. In this section, some of theeffects of ion bombardment and electron bombardment, and of plasmabombardment of an electrically floating surface, are discussed briefly.

6.2 Ion Bombardment

The momentum exchange associated with ion bombardment cancause rearrangement and ejection (sputtering) of surface atoms. The

Page 91: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

94 Deposition Technologies for Films and Coatings

rearrangement can have dramatic effects on the structure and properties of agrowing film[53] and is of importance in the processes of ion plating and biassputtering. The ejection is important in the processes of sputter cleaning anddeposition. Accordingly, these mechanisms are discussed in considerabledetail in Chs. 5 and 13.

At low working pressures (collisionless ion transport), the energy of ionsbombarding a cathode surface will be about equal to the difference betweenthe cathode potential and the plasma potential (approximately equal to theapplied cathode-to-anode potential). The current density, bias voltage, sheaththickness, and plasma properties are related by Eqs. 38 and 39.

At higher pressures, where ion collisions become important, thebombarding flux consists of both ions and energetic neutrals because ofcharge exchange collisions (see Fig. 2.10). Thus the average bombardmentenergies are considerably less than the potential drop across the cathode darkspace. This is illustrated in Fig. 2.24 with a histogram showing the cathodearrival energies of 100 Ar+ ions which have crossed a sheath having a voltageVa in Ar gas at 2.5 mTorr. Approximately half (45%) of the ions arrive at thecathode with energies corresponding to less than 10% of the sheath voltage.The sheath parameters for the high pressure case are related by Eq. 39.

Figure 2.24. Calculated ion-energy distribution histogram showing the effect ofcharge exchange (from Ref. 50).

Page 92: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasmas in Deposition Processes 95

Ion bombardment can greatly influence the processes involved in theadsorption of molecules onto surfaces and their subsequent reactions. Theprocess of molecular adsorption[41] and surface compound formation isillustrated in Fig. 2.25 for the case of gas phase etching. The CVD case withthe formation of a nonvolatile product is similar. Any of the steps shown in thefigure can be rate-limiting. Physical adsorption is due to polarization (van derWaals) bonding. It is a nonactivated process and occurs with all gas surfacecombinations under appropriate conditions of temperature and pressure.Adsorption energies are typically less than 0.5 eV. Chemisorption involves arearrangement of the valence electrons of the adsorbed and surface atoms toform a chemical bond. It involves an activation energy and has a high degreeof specificity between gas-surface combinations. Adsorption energies aretypically 1 to 10 eV. Molecules may be chemisorbed in their molecular stateor may dissociate into atoms. The latter case is known as dissociativechemisorption. Dissociative chemisorption is generally a precursor tocompound formation, which is also an activated process. Various types ofchemisorption bond sites can exist on a solid surface. Thus both molecularand dissociative chemisorption can occur simultaneously on the samesurface. Ion bombardment can influence these processes in the followingways:

1. Ion bombardment can cause adsorbed molecules to dissociate,thereby overcoming the activation energy for this process.

2. Ion bombardment can create surface defect sites which havereduced activation energies for the occurrence of dissociativechemisorption or for the formation of a solid compound.

3. Ion bombardment can remove (by sputtering) foreign speciesfrom a surface. Such species may interfere with the dissociativechemisorption of a preferred species.

Low-energy ion irradiation during film deposition can have dramaticeffects on the microstructure and microchemistry, and hence physicalproperties, of as-deposited layers as discussed in detail in Chapter 13 and Ref.53. Applications in which low-energy ion/surface interactions have been usedto modify film microstructure include: densification and increased oxidationresistance of optical films; minimization or elimination of columnar microstructurein microelectronic metallization layers; altering the state of stress, averagegrain size, and preferred orientation; increased film/substrate adhesion;enhanced conformal coverage; controlled magnetic anisotropy in recordinglayers; and “low-temperature” epitaxy.

Page 93: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

96 Deposition Technologies for Films and Coatings

While films in most of the above application areas are deposited by biassputter deposition or plasma-assisted CVD, experiments to isolate ionirradiation effects are often carried out using ion beams. One example isillustrated in Fig. 2.26 showing experimental and calculated (Monte Carlosimulations) densities of CeO2 films deposited at ambient temperature bysimultaneous evaporation of Ce and O2

+ irradiation from an ion-beam source.The experiments were carried out as a function of ion energy Ei for an ion-to-vapor flux ratio of Ji/Jv of unity.[54] The film density initially increased withincreasing Ei due primarily to ion implantation, recoil implantation, and, to alesser extent, sputtering of weakly bound species. However, an optimum Ei

for densification was reached as an increasing fraction of the ion energy waslost deeper in the lattice leaving vacancies which could not be filled by arrivingvapor species. The optimum ion energy, which depends upon the masses ofthe collision partners, was ≈200 eV in this case.

It should be noted, as discussed in Ch. 13, that while ion irradiation isuseful for increasing the density and modifying the microstructure of filmsdeposited at low temperatures, other irradiation-induced effects such asincreased defect densities occur simultaneously. This is shown in Fig. 2.27from the work of Huang et al.[55] who studied the effects of Ar+ ionbombardment during the growth of Ag films at room temperature using a dualion beam apparatus. They found that the grain size decreased while thedislocation number density increased with increasing average irradiationenergy per deposited Ag atom. At elevated growth temperatures, however,

Figure 2.25. Schematic representation of surface chemisorption and volatilecompound formation during dry etching.

Page 94: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasmas in Deposition Processes 97

low-energy ion irradiation can have the opposite effect and actually reduceresidual defect densities in as-deposited films. This has been demonstratedby Hultman et al.[56][57] who used electron microscopy to investigate thedislocation structure in epitaxial TiN films deposited by bias magnetronsputtering of Ti in pure N2 at growth temperatures between 550 and 850oC.

In addition to modifying film microstructure, low-energy ion irradiationis often used during thin-film growth to controllably alter the composition ofas-deposited layers. Examples include preferential sputtering from thegrowing film during deposition of alloys[58]-[61] enhanced reactive gasincorporation during deposition of compounds[62]-[65] and increased dopantincorporation probabilities combined with better control of dopant depthdistributions.[66][67] Again, however, ion bombardment can result in potentiallydeleterious effects, depending upon experimental design, such as rare-gasincorporation in sputter-deposited films.[68]-[71] Mechanisms associatedwith accelerated-particle/film interactions leading to changes in incorporation

Figure 2.26. Experimental and theoretical values of the density D of CeO2 filmsdeposited at ambient temperature by simultaneous evaporation of Ce and ion-beam acceleration of O2

+ as a function of ion energy Ei for an ion-to-vapor flux ratioJi /Jv = 1. The bulk density of CeO2 is 8.1 g/cm3 (from Ref. 54).

Page 95: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

98 Deposition Technologies for Films and Coatings

probabilities range from purely physical effects such as implantation and recoilprocesses to irradiation-assisted chemistry.

Reactive ion etching technology also relies heavily on ion-irradiation-induced effects for both stimulating chemical reaction channels and providinganisotropy control. An example of the former is shown in Fig. 2.28 illustratingresults for Ar+-ion-assisted F2/Si chemistry. F2 has a very low probability fordissociative chemisorption on Si.[34] Consequently the etch rate via theformation of volatile SiF2 is low. Ar+ irradiation greatly increases the etch rateby promoting dissociative chemisorption. Fig. 2.28 shows that for theexperimental conditions listed, the Si sputter etch rate using 500 eV Ar+ was2.5 Å/min. The etch rate increased by a factor of approximately 3.5 in thepresence of F2 gas. However, the Si etch rate due to F2 itself, in the absenceof Ar+ irradiation, was less than 0.1 Å/min.

Figure 2.27. The average grain size and dislocation number density nd in Ag filmsdeposited at room temperature as a function of the average energy ⟨E⟩ perdeposited atom (from Ref. 55).

Page 96: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasmas in Deposition Processes 99

Figure 2.28. The results of beam experiments designed to investigate ion-stimulated interactions between F2 and Si (from Ref. 42).

Page 97: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

100 Deposition Technologies for Films and Coatings

6.3 Electron Bombardment

Electron irradiation is a primary source of substrate heating during filmdeposition by DC and RF diode sputtering.[72][73] Energetic electron, as wellas photon, irradiation of ionically bonded substrates has also been shown tostrongly affect film nucleation kinetics through the creation of charged surfacevacancies which act as preferential adsorption sites.[74]-[77] Reduced epitaxialtemperatures have been reported for many film/substrate combinationsincluding Si and Ge on NaCl[78] and PbTe on CaF2.[76] Electron irradiation canalso give rise to surface chemistry during film growth through, for example,excitation and ionization of adsorbed molecules into states leading todissociation, bond rearrangement, or desorption. Adsorbed organic moleculescan be polymerized by electron irradiation.

An example of electron-stimulated surface chemistry during plasmaetching is shown in Fig. 2.29. XeF2 dissociatively chemisorbs on SiO2 butetching does not occur due to a high activation barrier for the reaction channelleading to the formation of SiF4. Electron bombardment alone has beenobserved to remove O from the surface of SiO2 and produce elementalSi,[43][44] but it does not cause etching. However, when SiO2 is subjected toelectron bombardment in the presence of XeF2, etching occurs at relativelyhigh rates, ≈ 200 Å/min in the example given in Fig. 2.29.[42]

6.4 Glow Discharge Surface Cleaning and Activation

Glow discharge cleaning, in which electrically isolated parts are immersedin a low-pressure plasma, has been used for many years,[45] particularly forglass and other non-conducting materials that cannot be subjected to simpleDC sputter etching. The process, although highly empirical, often provides aneffective final cleaning step prior to vacuum deposition. Working gases aretypically air, O2, or Ar.

Recent work on damage production and sputter cleaning of substratesurfaces prior to epitaxial growth[79]-[82] suggests that low-energy ion-irradiation-induced damage can be continuously annealed out at elevatedtemperatures. Yu[82] used low-energy electron diffraction (LEED) to showthat the temperature required to maintain a Si(111)7x7 surfacereconstruction during Ne+ ion irradiation decreased from ≈ 450 to 150oC asthe ion energy was decreased from 500 to 80 eV. In sputter cleaningexperiments employing cross sectional transmission electron microscopy,Gaverick et al.[81] used a low power RF plasma with an acceleration potential of 100V to etch Si(100) substrates at 750oC immediately prior to Si deposition by low-

Page 98: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasmas in Deposition Processes 101

Figure 2.29. The results of beam experiments designed to investigate electron-stimulated interactions between XeF2 and SiO2 (from Ref. 42).

Page 99: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

102 Deposition Technologies for Films and Coatings

pressure CVD. Rutherford backscattering spectroscopy combined with plan-view and cross sectional transmission electron microscopy analyses showedthe film and substrate to be defect free.

Corona discharges, operated at atmospheric pressure, have long beenused to prepare polymer surfaces for processing. More recently, low-pressureglow discharges are being used to modify surface chemistry and promoteadhesion with vacuum-deposited metal overlayers. X-ray photoelectronspectroscopy (XPS) studies of the effects of O2 plasma treatments on ABS,polypropylene,[46] and polystyrene[47] surfaces showed the formation of bothsingle and double C-O bonds. This, in turn, led to stronger metal overlayeradhesion through the formation of oxygen bridge bonds between C and metalatoms. Bodo and Sundgren[83] obtained similar increases in metal overlayeradhesion for Ti on polyethylene using an Ar+ bombardment pretreatment toremove low molecular weight impurities, promote cross-linking, and allow theformation of a carbidic Ti-C interfacial layer as observed in XPS. Both Ar+ ionirradiation and O2 plasma pretreatments also increased the adhesion of T onpolydimethylsiloxane (a silicone rubber) due to the formation of Ti-C and Ti-Obonds.[84]

ACKNOWLEDGEMENTS

The authors gratefully acknowledge the support of the Joint ServicesElectronics Program and the Materials Science Division of the Department ofEnergy over the course of several years.

Page 100: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasmas in Deposition Processes 103

REFERENCES

1. Thornton, J. A. and Penfold, A. S. in: Thin Film Processes, (J. L. Vossenand W. Kern, eds.), p. 75, Academic Press, New York (1978)

2. McDaniel, E. W., Cermak, V., Dalgarno, A., Ferguson, E. E. andFriedman, L., Ion-Molecule Reactions, p. 345, Wiley-Interscience, NewYork (1970)

3. Hirschfelder, J. O., Curtiss, C. F. and Bird, R. B., Molecular Theory ofGases and Liquids, p. 523, Wiley, New York (1954)

4. Sutton, G. W. and Sherman, A., Engineering Magnetohydro-dynamics,McGraw-Hill, New York (1965)

5. Cobine, J. D., Gaseous Conductors, Dover, New York (1958)

6. The Applications of Plasmas to Chemical Processing, (R. F. Baddourand Robert S. Timmins, ed.), MIT Press, Cambridge, Mass. (1967)

7. Thornton, J. A., J. Vac. Sci. Technol., 15:188 (1978)

8. Griem, H. R., Plasma Spectroscopy, p. 129, McGraw-Hill, New York(1964)

9. ter Haar, D., Elements of Statistical Mechanics, p. 381, Holt, Rinehartand Winston, New York (1960)

10. Rose, D. J. and Clar, M., Jr., Plasmas and Controlled Fusion, p. 80, MIT-Wiley, New York (1961)

11. Chen, F. F., Introduction to Plasma Physics, Plenum Press, New York(1974)

12. Spitzer, L., Jr., Physics of Fully Ionized Gases, Interscience, New York(1956)

13. Delcroix, J. L. Introduction to the Theory of Ionized Gases, p. 128,Interscience, New York (1960)

14. Chapman, S. and Cowling, T. G., The Mathematical Theory of Non-Uniform Gases, p. 90, Cambridge Univ. Press, Cambridge, England(1960)

15. von Engel, A., Ionized Gases, Clarendon Press, Oxford, England (1965)

16. McDaniel, E. W., The Mobility and Diffusion of Ions in Gases, p. 132,Wiley, New York (1973)

Page 101: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

104 Deposition Technologies for Films and Coatings

17. Brown, S. C., Basic Data of Plasma Physics, MIT Press, Cambridge,Mass. (1959)

18. Chen, F. F., in: Plasma Diagnostic Techniques, (R. H. Huddlestone andS. L. Leonard, eds.), p. 113, Academic Press, New York (1965)

19. Mittleman, M. H., in: Plasma Dynamics, (F. H. Clauser, ed.), p. 54,Addison-Wesley, New York (1960)

20. Bohm, D., Burhop, E. H. S. and Massey, H. S. W., in: The Characteristicsof Electrical Discharges in Magnetic Fields, (A. Guthrie and R. K.Wakerling, eds.), p. 13, McGraw-Hill, New York (1949)

21. Glasstone, S., and Louberg, R. H., Controlled Thermonuclear Reactions,p. 459, Van Nostrand, New York (1960)

22. Thornton, J. A., J. Vac. Sci. Technol., 15:171 (1978)

23. McTaggart, F. K., Plasma Chemistry in Electrical Discharges, Elsevier,New York (1967)

24. Ganger, B., Der Elecktrische Durchschlag, Springer-Verlag, Berlin(1953)

25. Brown, S. C. and MacDonald, A. D., Phys. Rev., 76:1629 (1949)

26. McDaniel, E. S., Collision Phenomena in Ionized Gases, Ch. 13, Wiley,New York (1964)

27. Williams, D. G., J. Vac. Sci. Technol., 11:374 (1974)

28. Fraser, D. B., in: Thin Film Processes, (J. L. Vossen and W. Kern, eds.),p. 131, Academic Press, New York (1978)

29. Waits, R. K., Ibid, p. 131

30. Libby, W. F., J. Vac. Sci. Technol., 16:414 (1979)

31. Transfer and Storage of Energy by Molecules, (G. M. Burnett and A. M.North, eds.), Wiley-Interscience, New York (1969)

32. Christophourou, L. G., Atomic and Molecular Radiation Physics, p. 6,Wiley-Interscience, New York (1971)

33. Winters, H. F., Coburn, J. W. and Kay, E., J. Appl. Phys., 48:4973 (1978)

34. Coburn, J. W. and Winters, H. F., J. Vac. Sci. Technol., 16:392 (1979)

35. Muschlitz, E. E., Jr., Science, 159:599 (1968)

Page 102: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasmas in Deposition Processes 105

36. Hollahan, J. R. and Rosler, R. S., in: Thin Film Processes, (J. L. Vossenand W. Kern, eds.), p. 335, Academic Press, New York (1978)

37. Melliar-Smith, C. M. and Mogab, C. J., Ibid, p. 497

38. Yasuda, H. Ibid, p. 361

39. Kern, W. and Ban, V. S., Ibid, p. 257

40. See Ch. 7

41. Chemisorption and Reactions on Metallic films, (J. R. Anderson, ed.),Academic Press, New York (1971)

42. Coburn, J. W. and Winters, H. F., J. Appl. Phys., 50:3189 (1979)

43. Thomas, S., J. Appl. Phys., 45:161 (1974)

44. Carriere, B. and Lang, B., Surface Science, 64:209 (1977)

45. Holland, L., Vacuum Deposition of Thin Films, Ch. 3, Chapman and HallLtd., London (1966)

46. Burkstrand, J. M., J. Vac. Sci. Technol., 15:223 (1978)

47. Burkstrand, J. M., Appl. Phys. Lett., 33:387 (1978)

48. Hansen, R. H. and Schonhom, H., Polymer Lett., 4:203 (1966)

49. Bunshah, R. F. and Raghuram, A. C., J. Vac. Sci. Technol., 9:1385(1972)

50. Davis, W. D. and Vanderslice, T. A., Phys. Rev., 131:219, (1963)

51. Butler, H. S. and Kino, G. S., Phys. Fluids, 6:1346 (1963)

52. Gorczyca, T. B. and Gorowitz, B., in: VLSI Electronics: MicrostructureScience, 8:69, (N. G. Einspruch and D. M. Brown, eds.), AcademicPress, New York (1984)

53. Greene, J. E., Barnett, S. A., Sundgren, J. E. and Rockett, A., in: Ion-Beam Assisted Film Growth, p. 101, Elsevier, Amsterdam (1988)

54. Muller, K. H., Applied Physics, A40:209 (1986)

55. Huang, T. C., Lim, G., Parmiagiani, F. and Kay, E., J. Vac. Sci. Technol.,A3:2161 (1985)

56. Hultman, L., Helmersson, U., Barnett, S. A., Sundgren, J. E. andGreene, J. E, J. Appl. Phys., 61:552 (1987)

Page 103: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

106 Deposition Technologies for Films and Coatings

57. Hultman, L., Barnett, S. A., Sundgren, J.-E. and Greene, J. E., J. CrystalGrowth, 92:639 (1988)

58. Winters, H. F., Ramondi, D. L. and Horne, D. E., J. Appl. Phys., 40:2996(1969)

59. Tarng, M. L. and Wehner, G. K., J. Appl. Phys., 42:2449 (1971)

60. Cuomo, J. J. and Gambino, R. J., J. Vac. Sci. Technol., 12:79 (1975)

61. Zilko, J. L. and Greene, J. E., J. Appl. Phys., 51:1549 (1980)

62. Brett, M. J. and Parsons, R. R., Can. J. Phys., 63:819 (1985)

63. Shimizu, S., Tsukakoshi, T., Komiya, S. and Makita, Y., GaAs andRelated Compounds, Inst. Phys. Conf. Series 79:91 (1985)

64. Harper, J. M. E., Cuomo, J. J. and Hentzell, H. T. G., J. Appl. Phys.,58:550 (1985)

65. Sundgren, J. E., Johansson, B. O., Rockett, A., Barnett, S. A. andGreene, J. E., Physics and Chemistry of Hard Coatings, American Inst.Phys. Series Conf. Proc. 149:95 (1986)

66. Hasan, M. A., Knall, J., Barnett, S. A., Sundgren, J. E., Markert, L. C.,Rockett, A. and Greene, J. E., J. Appl. Phys. 65:172 (1989)

67. Fons, P., Hirashita, N., Markert, L. C., Kim, Y. W., Greene, J. E., Ni, W.X., Knall, J., Hansson, G. V. and Sundgren, J. E., Appl. Phys. Letters,53:1732 (1988)

68. Winters, H. F. and Kay, E., J. Appl. Phys., 38:2928 (1967)

69. Pan, A. and Greene, J. E., Thin Solid Films, 78:25 (1981)

70. Hoffman, D. W. and Thornton, J. A., J. Vac. Sci. Technol., 20:355 (1982)

71. Hultman, L., Markert, L. C., Sundgren, J. E. and Greene, J. E., Appl.Phys. Letters, 53:1175 (1988)

72. Ball, D. J., J. Appl. Phys., 143:3047 (1972)

73. Lau, S. S., Mills, R. H. and Muth, D. G., J. Vac. Sci. Technol., 9:1196(1972)

74. Stirling, D. J., Appl. Phys. Letters, 9:326 (1966)

75. Palmberg, P. W., Todd, C. T., and Rhodin, T. N., J. Appl. Phys., 39:4650(1968)

Page 104: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasmas in Deposition Processes 107

76. Jordan, M. R. and Stirland, D. J., Thin Solid Films, 8:221 (1971)

77. Lord, D. G. and Prutton, M., Thin Solid Films, 21:341 (1974)

78. Shimaoka, G., J. Cryst. Growth, 31:92 (1975)

79. Ronsille, R., Boch, R., Destefanis, G. L., and Tissot, J. L., Appl. Phys.Letters, 44:679 (1984)

80. Comfort, J. H., Gaverick, L. M., and Reif, R., J. Appl. Phys., 62:3388(1987)

81. Gaverick, L. M., Comfort, J. H., Uyeh, T. R., Reif, R., Baiocchi, F. A. andLuftman, H. S., J. Appl. Phys., 62:3398 (1987)

82. Yu, M. L., Appl. Phys. Letters, 40:986 (1982)

83. Bodo, P. and Sundgren, J. E., J. Vac. Sci. Technol., A2:1498 (1984)

84. Bodo, P. and Sundgren, J. E., Thin Solid Films, 136:147 (1986)

Page 105: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

108

3

Surface Preparation for Film andCoating Deposition Processes

Donald M. Mattox

1.0 INTRODUCTION

The term surface preparation has many interpretations depending on theapplication. For instance, atomically clean surfaces are of particular interestin some studies and these surfaces may be prepared by cleaving a crystal (inan ultrahigh vacuum), or other very careful surface preparation in ultra-highvacuum.[1] Deposition techniques that are extremely sensitive to surfacepreparation include molecular beam epitaxy (MBE) where great pains aretaken to clean the surface before the deposition of the epitaxial layer, andsurface chemical reaction studies where submonolayer coverages are impor-tant. Other deposition techniques such as ion plating are less sensitive sincesurface preparation is integral to the deposition process.

Substrate preparation for our purposes may be defined as the condition-ing of the substrate surface prior to film/coating deposition in order to obtaindesirable processing and film/coating properties.[2] Substrate preparationmay involve the reduction of the type and amount of “contaminants” to anacceptable level (cleaning), modification of the physical or mechanicalproperties of the surface, activation of a surface species to enhance reactions,or the addition of desirable species to the substrate surface to aid in nucleationand reaction (sensitization). In the extreme case, surface preparation maymean forming a “new” surface by adding a primer or glue layer.

Substrate preparation determines the surface properties and these aredirectly or indirectly related to the film formation stages of adatom nucle–

Page 106: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Surface Preparation 109

ation, interface formation, and film growth. These, in turn, affect filmproperties such as adhesion, pinhole density, porosity, film microstruc-ture, morphology and mechanical properties. Often local surface prop-erties determine film properties such as pinholes which determine theproduct yield. Surface treatments that do not influence the product in adesirable way are unnecessary and expensive.

Surface preparation is an integral part of any film/coating depositionprocess. The objective of surface preparation processes is to allow thefabrication of an acceptable product in the most reproducible andeconomical way. In many cases there are allowable trade-offs betweensurface preparation and subsequent processing. For example, anincrease in the deposition temperature may decrease the surface clean-ing requirements. As the technological demands on films and coatingsincrease, the need for better and more reproducible surface preparationtechniques also increases.

There is a wide variety of approaches to surface preparation andeach film-substrate couple, deposition process, and function requiresspecific techniques and development. Typically, surface preparationprocesses are developed empirically and controlled by good processingspecifications.

Process specifications and travelers are the key to obtaining repro-ducible surface preparation processing, fabrication processes, and thusproduct reproducibility. Specifications define the materials, equipmentand procedures that are to be used. Travelers define what has been doneto each individual part or lot. Specifications are the end-product of asurface preparation development program. Travelers should contain aresponse by the operator (e.g., time, meter reading, temperature, etc.).

An important factor in surface preparation is the condition of theinitial surface. A process developed for one surface condition may notbe satisfactory for another surface condition. The initial substratematerial, condition and history (contamination) should be known, and itscondition and properties should be specified where possible.

Monitoring of the surface preparation is often difficult since anytesting of the surface usually contaminates the surface. Generally,processing relies on following specifications and possibly monitoring andtesting samples from each lot of surfaces.

In addition to the surface preparation process, the handling andstorage of prepared surfaces is an important part of the fabrication process.If the prepared surfaces are used immediately or if the final step of thecleaning process is done as part of the deposition process, the problems of

Page 107: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

110 Deposition Technologies for Films and Coatings

maintaining the desired surface may be appreciably different than if thesurfaces are exposed to the environment and recontamination, or whensurface changes may occur with time.

Some film deposition processes and material combinations are moresensitive to surface preparation processes than others. Some depositionprocesses may have harmful surface effects such as in CVD where hotcorrosion of the substrate surface by reaction products may give pooradhesion of the deposited material. In others, the deposition process may aidin surface preparation; in CVD for example, hydrogen firing can clean thesubstrate surface before the film precursor gases are injected.

In many deposition processes the surface preparation is a separate stepfrom the film deposition, but in some cases the deposition process includesa surface preparation step (e.g., ion-plating/sputter-cleaning, hot dip galvaniz-ing/fluxing, electroplating/off-plating). When surface preparation is separatefrom the deposition process, the preparation of high quality films in manycases requires a final in situ surface preparation step in the depositionsystem.[3][4] An example of in situ surface preparation is the plasma cleaningof glass prior to deposition of optical coatings and mirror surfaces.

This chapter covers a broad range of surface preparation techniques andgives the reader an appreciation of the factors involved in developing areproducible surface preparation procedure for a specific application.

2.0 CONTAMINATION

A contaminant is any material on a surface that interferes with theprocessing or performance of the surface. Contaminants may be reactedlayers such as oxides, adsorbed layers such as hydrocarbons, segregatedsurface layers, or particulates. The contaminant may originate from: (i) naturalreaction with the ambient (oxides, sulfides), (ii) adsorption from the ambient(hydrocarbons, water), (iii) processing steps (oils, fingerprints), (iv) handlingand storage (polymers, oils), (v) settling from the ambient (particulates), (vi)electrostatic attraction in the ambient (particulates), (vii) outgassing or out-diffusion from the bulk (plasticizers, water, solvents -plastics) or (viii) contactwith contaminated surfaces (silicone oils have a very high creep rate). Someof this recontamination is unavoidable but some is avoidable with properfabrication, handling, and storage techniques.

Page 108: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Surface Preparation 111

Many contaminants can be predicted by knowing something about thematerial in general and the way that it is normally fabricated and handled.Examples are: (i) plastics absorb water and solvents easily, (ii) metals aremachined and deformed using oil lubricants, (iii) plastics are molded usingplasticizers to make the material fluid, etc.

The presence of contaminants can be detected without necessarilyidentifying the composition of the contaminant. For instance, if a glass surfaceis contaminated with a hydrocarbon (hydrophobic), the wetting angle of a fluiddrop will be high (doesn’t wet).[5] However, this type of test must be used withcaution since soap residue (hydrophilic) on the surface will make the surfacewettable like a clean surface. Adsorption of a tracer such as a radioactivematerial may also be used to detect the presence of many contaminants.

Particulates originate from a variety of sources including: (i) wearmechanisms, (ii) vaporization, (iii) vapor phase nucleation, (iv) evaporation ofaerosols, and (v) shedding of particles (skin, paper, cloth etc.). Particulatesadhere to the surface by weak chemical bonds (van der Waals),[6] but for smallparticles, the most important adhering mechanism is condensation of waterin the “crack” between the particle and the surface. The evaporation of aerosolsand vapor phase nucleation are the most important sources of ultrafineparticles (10 -100 nm).

Surface adsorption can be very dependent on the surface and theadsorbing species. For instance, most oxide surfaces do not adsorb O2 whileconducting and semiconducting surfaces do so easily.[7]

2.1 Recontamination

Recontamination of surfaces that have been cleaned is a major concern.The recontamination rate and amount is a function of time, temperature, andenvironment. For example, the oxidation of reactive materials beginsimmediately on exposure to oxygen. On materials such as aluminum andsilicon, 10 Å of oxide will re-form within seconds then slowly increase inthickness. Recontamination can also occur by adsorption of vapors from theenvironment. Figure 3.1 shows the recontamination rate of cleaned goldsurfaces in various environments, as determined by coefficient of adhesionmeasurements.[8][9] The contaminants are assumed to be condensedhydrocarbon vapors. Note that recontamination begins immediately. Recon-tamination can come from a number of other sources such as poor environ-mental control, poor handling and storage, contamination by subsequentprocessing, etc.

Page 109: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

112 Deposition Technologies for Films and Coatings

Recontamination can also occur in the cleaning process itself. Completerinsing is necessary; otherwise residues from the processing chemicals willrecontaminate the surface. For example, in the final rinse, if the part issubmerged in the rinse tank then drawn up through the liquid surface on whichparticles have accumulated, the particles will be painted on the surface andmust be removed before they are allowed to dry.

During storage and handling, the type and degree of recontamination isdependent on: (i) time, (ii) temperature, (iii) environment and, (iv) surfacecondition. Many contaminants “harden” with time and become more difficultto remove, so after exposing the surface to a contaminating process orenvironment it is best to clean the surface as soon as possible.

Recontamination can occur in the processing system and during theprocessing. Reactive gas contamination (such as oxygen or water vapor) maycome from residual gases, gases desorbed from surfaces, real leaks andvirtual leaks. Heating and plasma-surface interactions enhance gas

Figure 3.1. Recontamination of clean gold surfaces in various environments asmeasured by an Au-Au adhesion tester.[8][9]

Page 110: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Surface Preparation 113

desorption from surfaces. Outgassing from virtual leaks is time/temperaturedependent and processing should be designed to allow for desorption fromthese sources. Often, in plasma processes, gas throughput is decreased andcontaminants may build up in the system, and proper gas throughput or clean-flush-pump cycles should be employed to reduce contaminant levels. Exam-ples of processing recontamination include: (i) plasma desorption andactivation of contaminants in plasma processing, (ii) outgassing of thermalvaporization source material, (iii) particulate generation in the depositionsystem, (iv) particulate deposition due to turbulence in a vacuum pumpingsystem, etc.

Vacuum and plasma deposition systems may have their contaminantgas levels lowered by using the proper construction materials and techniques,and conditioning their internal surfaces. Conditioning may be done by:

(a) Heating (bake-out, thermal desorption)[10]

(b) Oxidizing techniques (UV/O3),[11][12] (NO at 200°C)[13]

(c) Pump/plasma-discharge/pump to desorb wall species (ionscrubbing: chamber is a grounded anode of the discharge)using an inert gas, oxygen[14] or hydrogen plasma

(d) Physical or chemical sputtering of the walls using an inert orreactive plasma species such as hydrogen[15] or compoundscontaining chlorine or fluorine (chamber is cathodic to theplasma)

Recontamination is controlled by controlling the processing and storageenvironments.

3.0 ENVIRONMENT CONTROL

A key aspect of surface preparation is the control of the processingenvironment to avoid contamination during processing and in subsequenthandling, storage, and processing. Environmental factors include: (i) partic-ulates, (ii) ambient gases, (iii) processing gases, (iv) condensable vapors, (v)fluids, and (vi) contacting solids.

Particulates come in all sizes. Metal smokes, aerosols (for examplesneezes and sea spray), viruses and tobacco smoke provide some of thesmallest particle sizes.

Page 111: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

114 Deposition Technologies for Films and Coatings

Particulate contamination may be minimized by:

(a) Minimizing dust and particulate generating activities and materials(e.g., clothing/skin/cosmetics, soldering, aerosols)

(b) Low velocity air currents, little turbulence

(c) Elimination of electrostatic charging of insulator surfaces

(d) Air filtration—“clean” rooms and stations

Particulates on smooth or patterned surfaces (semiconductor) can bedetected by operators using optical microscopes (slow and costly), or byusing a scanning laser microscope which detects scattered light. Ultravioletfluorescence can be used to detect some types of particles. Commercialsurface particulate detection systems are available.

Airborne particulate contamination may be effectively controlled byfiltration of air (90 - 100 ft/min), through directional (laminar flow) dry fiber filters(HEPA—High Efficiency Particle Air). HEPA filters can be made from a varietyof materials, and filters compatible with the environment should be used. Forinstance, it has been reported that salt particles on some filter fiber materialsabsorb water and degrade the filter to the point that the filter producesparticulates. Filters allow the fabrication of clean rooms, clean benches,etc.,[16] and must be utilized with care in order to maintain a low particlecount.[17]

It should be noted that air filtration does not remove vapor contamination.In the United States, GSA- Federal Standards 209b utilize the number

of particles per cubic foot of volume with a size greater than 0.5 microns as thestandard (no particles larger than 5 microns). Air filtration with proper flowpatterns can provide a Class 100 or better environment (100 particles per ft3).In 1986, a Class 100 clean room cost an estimated $400 - 500 US per ft2 (somesay $1000) to construct and $30 US per ft2 per day to operate. Continuouscare, maintenance and personnel training are necessary for a properlyfunctioning clean room!

Airborne particles larger than 0.5 microns are typically counted by lightscattering. Below 0.5 microns the particles are counted by first condensinga vapor on the surface (like a contrail from a jet) and then using light scattering,or by electrostatically charging the particle then counting it, or a combinationof the two methods.

Particles may be selectively attracted to charged surfaces. It istherefore important to prevent electrostatic charging of critical surfaces.When blowing with an air nozzle, the air should be ionized to prevent

Page 112: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Surface Preparation 115

electrostatic charge buildup. Permanently charged surfaces (electrets)can be used to preferentially attract particulates. (Note: electret materials havea permanent surface charge. They are mainly plastics that have been heatedand stretched in a DC electric field. Electret materials may be used in brushes,filters, or as surfaces.)

Humans, their clothing, and behavior are a major source of contamina-tion. In clean rooms, particulate generation is minimized by using specialbody covering and other techniques. Ultimately robots may be used toeliminate one of the major sources of particles—man.

In order to attain Class 1 and 10 environments and to control particlessmaller than 0.5 microns, it is proposed that substrate handling and process-ing will have to be done in small compartmentalized units where the substrateswill not be exposed to the ambient environment. An example of such a systemis the completely-contained processing for metallizing and assembling quartzcrystal oscillators, where vapor and particulate contamination are eliminatedto prevent frequency shift due to contamination of the crystal surface duringuse. In the future, more use is expected to be made of containers andprocessing equipment that can be mechanically mated so as to only needsmall volumes of Class 1 environments. Clean rooms may be less importantin the future!

Particulate contamination from processing gas supplies may be con-trolled by filtration. Filtration at the point-of-use is often done with 0.2 micronfilters. Teflon filters should be used in oxygen lines. Particulate contaminationin flowing gases may be monitored by the scattering of a laser beam.[18]

Particulates generated in gas piping may be due to: (a) flaking from walls,oxides, fluxes, polymers, (b) wear particles from mechanical equipment, (c)contamination from opening system, (d) leaks; and affected by: (i) wear(valves, pumps), (ii) mechanical vibration, (iii) thermal cycling, and (iv) changesin flow velocity.

Contamination of gas supplies by unwanted reactive gases can be aproblem. In order to prevent gas contamination, one can: (i) use ultrapuregases from tanks, (ii) use vapors from liquid gases (LN2), (iii) purify the gases,and (iv) be careful to have non-contaminating plumbing. Gas purification canbe used to remove some gaseous contaminants from gas supplies. Purifiersuse hot reactive beds (chips) (Ti, U, Cu) for removal of oxygen, or diffusion—Pd for H2, Ag for O2.

Commercial purifiers will purify silane, ammonia, hydrogen and the inertgases to less than 10 ppb of O2, H2O, CO2, and chlorinated compounds.

Page 113: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

116 Deposition Technologies for Films and Coatings

Particulate contamination from fluids can be avoided by filtration.[19]

Care should be taken that the filter does not contaminate the fluid by extracting(leaching) material from the filter (use Teflon™ or ceramic filter material).Particulate contamination in fluids can be measured directly by light scatter-ing.

Overflow tanks should be used in rinsing operations. Particulates fromthe air tend to float on the surface of fluids (like water spiders) and paint on thesubstrate surface as it is withdrawn through the fluid surface giving extensiveparticulate recontamination of a cleaned substrate surface.

One ambient “contaminant” that should be controlled is electrostaticcharging. This is done by controlling the humidity (typically 40 - 45% relativehumidity) and using ground straps, antistatic coatings, and conductiveclothing on personnel who handle sensitive electronic devices. Electrostaticcharging of insulator surfaces contributes to particulate contamination byattracting and holding particles. Electrostatic charging of surfaces can resultfrom blow-off with dry air. The dry air should be ionized before being used forthe blow-off operation.

The humidity in a clean room is normally controlled by dehumidifyingusing cold surfaces (air conditioning, or air compression which is more costly)then re-humidifying using steam or “foggers”. It has been proposed that thehumidifying operation is a major source of fine particulate contamination in theclean room environment since the evaporation of aerosols is a major sourceof fine airborne particulates.

Condensable vapor contamination is generally not controlled in theprocessing environment except by venting and segregation of vapor producingprocesses (soldering, electroplating, etc.) from “clean” areas. Hydrocarbonvapors are the most common vapor contaminants and are controlled in thesmall volumes used for handling and storage by selective absorption (freshlyoxidized aluminum), or by continuous oxidation in a ultraviolet/ozone atmo-sphere (UV/O3—see cleaning section), or by condensation on cold surfaces.

Contaminant pick-up from surfaces is controlled by (control of) surfacematerials, good housekeeping, smooth surfaces, use of coverings (finger cots,lint-free cloth), high molecular weight organics (nylon and Teflon™) or metal forholders and tools, and the use of vacuum tools for handling wherever possible.Vacuum tooling for holding is preferable to other types of handling tools sinceit minimizes abrasive transfer of material.

Special low-contaminant materials have been developed for semicon-ductor processing applications; unplasticized polyethylene seems to be

Page 114: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Surface Preparation 117

best, and gloves of this material—furnished on paper rolls—is the recommend-ed handling material. However abrasive transfer of organic materials from softplastics can be a problem. Woven nylon gloves prevent direct contact betweenskin and surfaces but do allow sweat and body oils to wick through; rubberfinger cots should be worn under the nylon gloves. Alcohol, acetone, and manyother solvents which are used in cleaning processes will leach organics fromvinyl gloves. When using these solvents, unplasticized polyethylene glovesshould be used. Some vinyl (and about all latex) gloves may have powder onthem and, of course, this is a source of particulates.

Processing chemicals may be contaminated when received so ultrapurechemicals (semiconductor grade) should be used. Improperly rinsed surfaceswhich have impure chemicals on them (solvents, etchants) may leave residueson drying. A chemical may become contaminated by being in contact with amaterial which it dissolves or attacks: alcohol in contact with many plastics—vinyl (use polyethylene - no plasticizers); Tygon™ removes phthalate plasti-cizers (use Teflon™). Hydroscopic materials such as anhydrous chemicals(alcohols) will pick up moisture from the atmosphere on exposure. Chlorinatedsolvents may react with water vapor and become contaminated with HCl, thusbecoming corrosive.

If impure fluids are allowed to dry on a surface, they leave residues. Theseresidues are then very difficult to remove. Residue analysis consists ofallowing a volume of the chemical to evaporate and analyzing the residue whichremains (ASTM Method D1353-78), or analyzing the particulate residue froma sprayed droplet (Wen). Often residue can be detected by the “fogging” ofwhat should be a clean glass surface on evaporation of some of the solution.Residues can be minimized by rinsing in copious amounts of ultrapure wateror other appropriate solvent. Wet surfaces should not be allowed to dry withoutrinsing with a low residue solution!

Chemicals can be contaminated by “carry-over” from a previous process.Carry-over can be minimized by good rinsing between cleaning/processingsteps.

Metallic contaminates in electrolytes may result in surface contamina-tion by displacement plating from solution (Zn and Sn)—don’t use galvanizedparts or soldered plumbing for transferring ultrapure chemicals such as water.

Sodium contamination is of major concern in silicon technology. Sodiumcan come from leaching of soft glass, and fingerprints, as well as chemicals,furnace liners, etc.

Page 115: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

118 Deposition Technologies for Films and Coatings

The most common rinsing technique is to use successive rinses(cascading rinses) in ultrapure water until the rinse water has a high resistivity(> 15 megohm). This is called “rinse to resistivity”.

Ultrapure water (18 megohm - cm resistivity) is a widely used chemicalfor cleaning and rinsing since it leaves a minimum of residues. Water purityis typically measured using a conductivity cell that measures the ionicconcentration in the water. The semiconductor industry standards call fordetection of ionic impurities to 5 ppb NaCl equivalent. Specific ion content maybe measured using ion chromatography. Conductivity measurements do notmeasure the organic or biological contamination and some type of residueanalysis should be used to measure these impurities.

Typical industrial specifications of ultrapure water for endpoint use are:

1. Resistivity—18 megohm continuous at 25°C

2. Particle count—less than 500 particles (0.5 microns or larger)per liter

3. Bacteria count—less than one colony (cultured) per cc

4. Organics—less than one part per million

5. Total electrolytes—less than 5 parts per billion

6. Quantity requirements

7. Peak-level usage

The ultrapure water is made by:

1. Pretreatments—pH adjustment, coagulation, filtration

2. Reverse osmosis—semipermeable membrane (pore size 10-3

to-4 microns) rejects salts, dissolved solids (90 - 98%) andorganics (99%)—400 to 600 psi feedwater[20]

3. Degasification—remove dissolved CO2

4. Ion exchange resins (anion & cation)—remove ions by ex-changing H+ for cations and OH- for anions.

5. Absorption materials (activated carbon)—remove organics

6. Filtration—remove particulates and biological matter, 0.2 mi-crons for bacterial, 1.0 microns general

7. Ultraviolet radiation—kills bacteria on filters

8. Endpoint filtration

PVC plumbing should be used with ultrapure water since the pure wateris rather corrosive to metals (particularly to Cu, Zn). A high volume, ultrapurewater facility can be very large and expensive.

Page 116: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Surface Preparation 119

Bacteriological contamination can penetrate porous filters and has beencorrelated to reduced device yields. Ultraviolet radiation or dissolved ozonemay be used to kill the bacteriological contaminate agents (Nebel). End-pointfiltration is often used to make sure that bacteriological contamination doesnot get on a part and leave a residue.

Activated carbon is an amorphous material with a high surface area (500-1500 M2/gram). For use in fluids it has a pore size of about 1000 Å. For usein gases it has a pore size of 12 - 200 Å. Activated carbon has a high affinityfor the absorption of organic molecules (better for non-polar than polar).Catalytic agents (Cu, Ag, Cr) can be added to improve the absorption ofcomplex molecules (e.g., gas masks). Activated carbon filters do not removebiological agents effectively.

An important part of the rinsing operation is the drying of the surface toprevent particle pickup and adherence—see Sec. 5.0 on drying and outgassing.

4.0 CLEANING PROCESSES

“Cleaning” is the reduction of surface contamination to an acceptablelevel. As a practical matter, a “clean” surface is one that contains no significantamounts of undesirable material; thus what constitutes a clean surface(degree of cleaning) depends on the requirements. The requirements rangefrom those concerned with monolayer coverages and atomically cleansurfaces to crude cleaning such as used for fusion welding. The economicsare such that unnecessary cleaning is to be avoided.

Cleaning processes should be as simple and effective as possible inorder to meet the requirements of the processing. Elaborate cleaningprocesses are often expensive and self-defeating. Often there is a tradeoffbetween the various stages of the cleaning process, handling/storage, andsubsequent processing, such that simple changes in one stage makecomplex changes in another step unnecessary.

Effective cleaning generally consists of two or three stages. The firstis removal of gross contamination by fluxes, etchants, or abrasion. In thesecond stage, the cleaning steps are designed to remove specific types ofcontaminates such as particulates and organics, by solvents, saponifiers,emulsifiers, oxidation techniques, etc. Cleaning solutions may have severalactions to attack specific contamination, such as detergents, solvents,wetting agents and mild etchants. Next, the surface is rinsed, dried andoutgassed, (if necessary). Lastly, a final or in situ cleaning step may be used

Page 117: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

120 Deposition Technologies for Films and Coatings

in a very controlled environment such as in a vacuum or plasma depositionchamber or electrochemical solution.

4.1 Particulate Removal

Particulate contamination may be removed by detergent washing, liquidspray (high pressure), blow-off, brush-off (in liquid or air), flow-off (liquid orcondensing vapor), or spin-off (copious fluids) techniques.

The most effective techniques seem to be detergents (with wettingagents) and mechanical rubbing in a fluid. High pressure spray, brush-offunder liquid, and flow-off using condensing vapor are less effective. Whenusing any mechanical rubbing technique, care should be taken to preventcontamination by abrasive transfer from the rubbing media. Use gentlepressures.

Blow-off techniques have the advantage that they can be done after thesubstrates have been placed in fixtures and even in a deposition system. Thebest means of blow-off is to use filtered (0.2 micron) gas from a liquid nitrogentank. The gas is filtered in the nozzle and some nozzles allow ionization ofthe gas with a radioactive source. Ionized gas should be used when blowing-off insulator/organic surfaces to prevent electrostatic charge buildup which willattract particles. When using high velocity gases for blow off one should becareful not to entrain particles in the gas stream which could impinge on thesurface and stick.

An interesting technique studied at the University of Arizona Center forMicrocontamination Control is the use of high purity carbon dioxide “snow”formed and blown from a gaseous carbon dioxide cylinder. Apparently thesnow scrubs the particles from the surface without leaving residuals or harmingthe surface.

Blow-off of particulates is often done with dusters using canned pressur-ized gases. One common duster uses dichlorodifluoromethane (DuPontFreon™ 12—CCl2F2, BP: 30°C) which liquifies under pressure. Residuals fromthe blow-off gases should be checked. Also check for residuals with the spraycan in an inverted position (liquid comes out) while spraying. Caution: whenusing Freon™ dusters, make sure the gas canister is not intended forrecharging air conditioning systems—these canisters contain oil lubricantswhich spray out, particularly when the can is inverted.

In optics, it is common to remove particulates from optical surfaces byapplying a film that is stripped from the surface—leaving hydrocarboncontamination, no doubt.

Page 118: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Surface Preparation 121

4.2 Abrasive Cleaning

The removal of gross contamination by abrasive cleaning involves the useof abrasive pads (sandpaper, emery paper, etc.), impacting particles (glassbeads, alumina/silica grit) in air or fluid streams (vapor honing), or mechanicalrubbing of particles in a fluid suspension. Grit blasting uses grit (fractured castiron, alumina) of varying sizes and shapes, accelerated in a gas stream, todeform and gouge the surface. In addition to removing gross contamination,grit blasting roughens and “activates” the surface, and the surface should becoated as soon as possible after grit blasting (less than 2 hours). The Societyof Automotive Engineers (SAE) has specifications on grit size and type.Particle bombardment places the surface in compressive stress and may giveunacceptable distortion of the part.

Glass bead blasting (dry) is a commonly used cleaning technique[21] butmay leave shards of glass embedded in soft surfaces. Particles may beentrained in a high velocity gas steam by using a siphon system or a pressuresystem (sand blasting equipment). Water soluble particles may be used forabrasive cleaning (example: the Prophy-jet™ dental abrasive unit uses 5micron baking-soda–magnesium-carbonate particles) and allows easy remov-al of embedded particles. Bead blasting in a fluid (honing) is also used to cleansurfaces of gross contamination.

4.3 Etch Cleaning

Chemical etching may be used to remove some of the surface materialalong with the contaminants. This is a very useful technique for getting thesurface into a “known” condition, removing surface layers (oxides), andremoving difficult-to-remove contaminates. Etchants may change the surfacechemistry! Common etchants for glass are sodium or ammonium bifluorideand hydrofluoric acid. Note: when using HF extreme care should be taken toprevent the HF from getting on the skin—bad chemical burns can result. (Firstaid: flush with water then use magnesium sulfate to neutralize. A commercialmagnesium-sulfate/glycerin creme is available as Acid-Aid™.)

Acid “pickling” is a common technique for cleaning metal surfac-es.[22][23] Acid cleaning of metals may have the detrimental effect ofintroducing hydrogen into the surface and embrittling the metal. If hydrogenembrittlement is a problem, either don’t use an acid (best) or give the etched parta high temperature vacuum fire after etching. When using etchants forcleaning, care must be taken to prevent selective removal (leaching) of

Page 119: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

122 Deposition Technologies for Films and Coatings

surface constituents that are important to further processing (e.g., etchingglass bonded Al2O3 in HF results in selective removal of the glass [Ca-Mg-Al-Si-O] which can weaken the surface and give problems with adhesion).[24]

Sometimes chemical etching does not remove some constituents froma surface and leaves a “smut” that must be removed by another etching step.For example, etching aluminum alloys with NaOH leaves a copper smut and/or a silicon smut. These may be removed with HNO3 or HNO3/HF respectively.In some cases an etchant can be devised that will etch all the constituentsuniformly; for instance, in etching aluminum containing silicon (1%) ICmetallization, concentrated nitric acid plus ammonium bifluoride (100 cc:6.8gr) may be used. The etching mechanism is oxidation of the aluminum andthe silicon, then etching of the resulting oxides—the etchant actually etchessilicon more rapidly than the aluminum.

4.4 Fluxing

Fluxes remove oxides by dissolving them or by undercutting and floatingthe surface layers away.[25][26]

4.5 Alkaline Cleaners

Alkaline cleaners are saponifiers which convert organic fats to water-soluble soaps. Saponifiers are alkaline and are often in the form of hotsolutions. Strong alkaline cleaners have a pH of about 11. When usingalkaline cleaners, the surface should be neutralized by an acid prior to thewater rinse since alkali salts adhere strongly to surfaces. Clean oxidesurfaces strongly adsorb hydrocarbons which detergents and solvents nor-mally will not completely remove. These hydrocarbons must be removed byalkaline cleaners or oxidants.

4.6 Detergent Cleaning

In detergent cleaning, the detergent (soap) surrounds the particletaking it into suspension without actually dissolving the material. This action ishelped by wetting agents which loosen the particles. Many detergentscontain phosphates. Liquid dishwasher soap is an excellent detergentfor many applications (also laboratory green soap). Alconox™ is also a widely usedlaboratory cleaning solution though it is somewhat difficult to remove

Page 120: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Surface Preparation 123

from the surface and changes the surface pH. Ajax™ cleaner containsabrasives and care must be taken to eliminate large chunks which can scratchsurfaces. A major problem with soaps is that metal ions such as the calciumand magnesium which are found in hard water (high content of ionic material)make the soaps insoluble and leave a residue. Therefore de-ionized watershould be used for detergent cleaning. There is a tendency for people to usetoo much soap in a solution giving problems with rinsing and residues,particularly if the solution is used cold. About 1 tablespoon of detergent pergallon of water is generally sufficient.

The author has been told that a slurry of carbon black (from burningacetylene) in de-ionized water mechanically abraded on a glass surface is veryeffective in removing absorbed organic contaminants—I have no first handexperience with this technique.

4.7 Chelating Agents

Chelating agents keep the normally insoluble phosphates that areformed in hard water detergent cleaning in solution. Glass cleaning solutionsoften use chelating agents such as ethylene diamine tetra-acetic acid (EDTA).

4.8 Solvent Cleaning

Hydrocarbon contaminants may be removed from surfaces by solventswhich dissolve the contaminants. Solvents may vary greatly as to their abilityto dissolve (solvate) contaminants, and their effectiveness needs to be knownby determining the “solubility parameter” for specific contaminants (if contam-inate is known).[27]

Polar solvents such as water are used to dissolve polar contaminates(ionic material) while non-polar solvents such as the chlorinated hydrocarbonsolvents, are used to remove non-polar contaminates (grease, rosin solderflux, etc.). Often a mixture of solvents is used to solvate both polar and non-polar contaminates.

Chlorinated hydrocarbon solvents are often preferred to hydrocarbon orpetroleum based solvents because of their low flammability (flashpoint),though there is concern with the toxicity and carcinogenic properties of someof these materials.

Chlorinated solvents may react with water to form acids. The acidsreact with metals causing corrosion. Often stabilizers are added to thechlorinated solvents to reduce their tendency to react with water (hydrolyze)

Page 121: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

124 Deposition Technologies for Films and Coatings

and form acids. Examples of such stabilizers in trichloroethylene (TCE) are:(i) 1,2 butylene oxide, (ii) cyclohexene oxide, (iii) para-tert-butyl phenol and (iv)1-propanol. If stabilizers are not used, then the pH of the cleaner should bemonitored to keep a pH of 6 - 7 (IPC Test Method No. 2.2.30; ASTM-D-2989“Acidity/Alkalinity of Halogenated Organic Solvents”). If there is a possibilityof solvent trapping which prevents complete rinsing, particularly in a stressedmetal joints, chlorinated solvents should not be used since residues willenhance stress corrosion in those areas. Cleaners containing chlorine-basedoxidants may present the same problem.

Chlorinated halogen solvents are coming under increasing scrutiny as totheir toxicity. Stringent exposure levels are being imposed by OSHA/EPA andit is anticipated that they will get even more stringent.

Solvent properties to be considered include:

1. Suitability for application technique (spray, vapor degrease,recycling, etc.).

2. Selective solvency (solubility parameter)—ability to solvate thecontaminants of interest.

3. Wetting characteristics—depends on viscosity and surface ten-sion. Allows the solvent to wet surfaces and displace soils 17.2to 21.4 dynes/cm3 for Freon™ solvents.

4. Miscibility with other solvents (to generate solvents for particularapplications)—azeotropes = constant boiling point mixture of twoor more components, i.e., composition of vapor is the same asthe liquid.

5. Safety and environmental concerns—flammability, toxicity(breathing, contact) carcinogenicity, effect on the ozone layer,OSHA and EPA regulations present and future.

6. Stability—thermal and chemical, nonreactive with parts to becleaned (chlorocarbon and alcohol solvents may react with Al,Mg, Be, Zn [white metals] to form inorganic salts which giveresidues etc). Photochemical stability. Solvents may leachmaterials from some container and piping materials.

7. Low energy requirements—low boiling points to give vaporswithout high energy requirements (vapor degreasers), parts maybe handled immediately after cleaning.

8. High density—solvents displace soils and float them to thesurface of the cleaning system (e.g., 9.6 to 13 lb/gal for Freon™

solvents)(ASTM-D- 2111 “Specific Gravity of Halogenated Organ-ic Solvents and their Admixtures”).

Page 122: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Surface Preparation 125

Typical solvent systems are:

1. DuPont Freon™ TF (trichlorotrifluoroethane)

2. Azeotrope mixture of TF with methylene chloride (50%) = Freon™

TMC (metal degreasing)

3. TF with ethanol (4%) and nitromethane (1%) = Freon™ TES (rosinfluxes and ionic contaminates from solvent sensitive assemblies)

4. TF with ethanol (4%) = Freon™ TE (defluxing)

5. TF with acetone (11%) = Freon™ TA (broad range of solvency)

6. Blends of TF with methanol (6%) and nitromethane (0.25%) =Freon™ TMS (deflux)

7. TF with anhydrous isopropanol (35%) + stabilizer = Freon™ T-P35 (cold cleaning )

8. TF with ethanol (35%) = T-E 35 (organic and polar solvents).

This data is taken from DuPont solvent formulation data bulletin no. FST-5. Other equivalent solvents and solvent blends are available. Caution: Freon™

with water (or alcohol which takes up water) will corrode aluminum, zinc, andcadmium (white metals) if left in contact for a period of time; aluminum will takefluorine from the molecule. Aluminum parts should be dried immediately,preferably by vacuum bake, but at least hot-air-dried to minimize corrosion.There is also a safety concern: extended breathing of halogenated solventscan cause liver damage (like glue sniffing). These solvents must be used ina well ventilated area such as a chemical hood.

Elevated temperatures are often used to increase detergent, solvation,and etching activities. This is often done using immersion heaters (materialsmust be compatible) or externally heated tanks.

Abrasives may also be used in conjunction with solvents to loosencontaminants from the surface.

Application methods of solvent and fluid type cleaning techniquesinclude: (i) soaking, (ii) mechanical scrubbing, (iii) mechanical agitation, (iv)spraying (low and high pressure), (v) vapor condensation (vapor degreasing),(vi) hydrosonic agitation 2 Hz - 20 kHz), (vii) ultrasonic (20 - 60 kHz) agitation(cavitation) and (viii) megasonic agitation (850 - 900 kHz) (pressure wave).

In mechanical scrubbing, lint-free, de-sized cloths make good toweling(sizing can be removed by multiple washings). For brushes, there is a varietyof materials including: camel hair, mohair, polypropylene, Teflon™ andnylon. In semiconductor technology, mechanical scrubbing combined

Page 123: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

126 Deposition Technologies for Films and Coatings

with high pressure fluid jets (2000 - 3000 psi) is a standard cleaningprocedures.

Spraying may be performed at low pressure (50 psi) or at high pressure(1000 psi). Spray systems often use copious amounts of material so the liquidis usually recycled. This means that after the fluid becomes contaminatedabove a certain level it must be replaced. With increasing concern aboutsolvent vapors, many of the newer systems are self-contained with condens-ers to trap the vapors and allow them to be recycled. Some systems allow thepurification of the solvents by distillation.

Vapor degreasers operate by putting a cold part in hot vapor above a vapordegreaser “sump”. The solvent condenses on the surface and flows off into thesump. Cleaning action only occurs during the condensation process, andwhen the part reaches a temperature where the solvent doesn’t condense,cleaning stops and the part should be removed. Parts should never beimmersed in the sump fluid. Fluid in the sump should be changed when itbecomes contaminated. Figure 3.2 shows a schematic of a typical old-styleindustrial degreaser for cleaning large parts either by spraying or by vapordegreasing. This type of system allows the escape of vapors and is becomingincreasingly undesirable.

Figure 3.2. Industrial vapor degreaser with spray wand.

Page 124: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Surface Preparation 127

Ultrasonic cleaning[28] relies on the jetting action of collapsing cavitationbubbles to give a high pressure jet of fluid against a surface. The cavitationbubbles are formed by the tension wave portion of an ultrasonic wave in a fluidmedia. The ultrasonic wave is produced by a transducer typically operatingat 20 - 40 kHz at about 100 watts/gal of fluid. The cavitation nature (size ofbubbles) of the fluid depends on its vapor pressure and temperature (e.g., 3microns for water at 60°C at 40 kHz). The jet pressure may be as high as 300psi. The colder the media, the more energetic is the cavitation jetting. Thebubbles nucleate in the fluid or on a surface. With a fixed frequencytransducer, nodes and antinodes are formed (standing waves) which givevariations of cavitation in the fluid. In order to overcome this effect, sweptfrequency generation is used with one system at 40 kHz ± 2 kHz. (Frequencymodulation at full amplitude is best for sweeping frequency). If frequencysweeping is not used, the parts should be moved from one region to anotherin the tank.

Variables in ultrasonic cleaning include:

Nature of the transducer fluid (density, vapor pressure)

Temperature of fluid

Gas content of the fluid (function of degassing of fluid andentrainment with parts)

Energy of cavitation implosion (temperature, pulse height ofultrasonic wave)

Average cavitation density (volume or surface) with time

Average cavitation density with position in tank

Shape of the ultrasonic pulse

Nature of ultrasonic cycle train (“quiet time”, “degas time”, cyclesper train)

Ultrasonic cleaning has to be used with care since the jetting actioncaused by the collapsing gas bubbles on the surface can cause erosionand introduce fractures in the surface of brittle materials, leading to pooradhesion. For example: in high power laser applications it has been shownthat improper ultrasonic cleaning increases the light scattering from thesurface, indicating surface damage or possibly surface roughening. Alsoultrasonic agitation has been shown to create particles by erosion of thecontainer surface, with stainless steel giving 500 times as many particlesas Pyrex™ glass. In all cases studied, particles of the container wereproduced. Resonance effects may also damage some parts in an ultrasonic

Page 125: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

128 Deposition Technologies for Films and Coatings

cleaner.[29] Surface damage can be controlled by adjusting the energydensity of the jets or controlling the time of application.

The ultrasonic cavitation may be generated by magnetostrictive orelectrostrictive transducers. The power may be from 500 watts for a smallmodel (5 gallon) on up to very high powers. Ultrasonic erosion of aluminumfoil (or an aluminum metallized glass surface) may be used as an indicationof the cavitation power to which a surface is exposed in the ultrasonicsolution.[30] A general rule is that ultrasonic cavitation will generate 10 holesin a 1 X 2 inch aluminum foil of 2 mils thickness in 10 sec. The cavitation abilityis dependent on how well the energy is coupled to the fluid.

Fixturing is very important in ultrasonic cleaning to insure that allsurfaces are cleaned. Parts should be held parallel to the stress wavepropagation direction. Energy absorbing containers, such as polyethylene orTeflonTM beakers and fixtures, should not be used since they absorb theultrasonic energy.

Hydrosonic cleaning utilizes hydrodynamic rather than electric genera-tion of the fluid pressure waves.[31][32] The megasonic agitation system isapplicable to smooth surfaces, particularly for removing particles, but doesn’twork on configured surfaces since the pressure wave is easily shadowed.

4.9 Oxidation Cleaning

Oxidation cleaning relies on the formation of volatile or soluble oxidationproducts. If non-soluble products result from oxidation (e.g., silicone to silica)then a residue may be left on the surface. Oxidation cleaning may be usedfor surfaces that are normally oxides (glass, ceramics, metals that formcoherent oxides) or that don’t oxidize (gold).

High temperature oxygen or air fire is an excellent way to clean surfacethat can withstand high temperatures. For instance, to clean Al2O3, air firethe material to 1000°C then remove it while still warm (to prevent moisturecondensation) and place in container. In thermal oxidation, the type ofcontaminate may be determined by monitoring the selective oxidationproducts as a function of temperature.

Oxygen (or air) plasmas are very effective in removing hydrocarbons andabsorbed water vapor from surfaces.[33] However the oxygen plasma mayoxidize materials, which may be undesirable. Where oxidation is a problem,hydrogen plasmas may be used to remove hydrocarbons and adsorbed waterfrom surfaces.

Page 126: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Surface Preparation 129

The use of oxidation by ultraviolet radiation, which generates ozone andcauses bond scission of the hydrocarbon contaminates (UV/O3 cleaning) hasgreatly simplified the production, storage and maintenance of hydrocarbon-free surfaces.[11][12] UV/O3 exposure also allows the controlled thin-layeroxidation of surfaces such as silicon and silicon-germanium alloys. In atypical UV/O3 cleaning/storage chamber, the UV is provided by a mercuryvapor lamp in a quartz envelope so that both the 1849 Å and the 2537 Åradiation is transmitted. The radiation intensity is 1 - 10 milliwatts/cm2 at thesubstrate surface. The chamber is of aluminum with no organic seals, and ina correctly operating system, ozone can be smelled when the chamber isopened (10 ppm ozone). The temperature in the chamber is typically 150°Fduring the cleaning operation. A heater may be used to decrease thepossibility of moisture condensation when the chamber is open. Typicalexposure times for cleaning are from a few minutes to remove a fewmonolayers of hydrocarbon contamination to hours, days, or weeks forstorage of cleaned surfaces. The UV/O3 cleaning technique is also useful forcleaning holes (vias) in surfaces.[34] Caution: when there are corrosive agents(or materials that can decompose into corrosive agents, e.g., Freon™) in theatmosphere, we have found that the UV/O3 greatly enhances the corrosionrate. For instance, a little chlorine in the atmosphere causes stainless steelto rapidly corrode.

Hot (115°F) concentrated sulfuric acid plus ammonium persulfate is anexcellent oxidizing cleaner. The addition of the ammonium persulfate (solid)to the hot sulfuric forms an unstable compound that decomposes releasingozone. The ammonium persulfate should be added just prior to the immersionof the substrate into the solution. This treatment is sometimes followed by abrief dip in a 10:1 solution of water and HF or immersion for 20 minutes in asolution of hydrogen peroxide and ammonium hydroxide.

H2O : H2O2 (30%) : NH4OH (29%) at 80°C

A hot chromic-sulfuric acid cleaning solution prepared from potassiumdichromate and sulfuric acid provides free oxygen for cleaning but has atendency to leave residues unless rinsed very well.

K2Cr2O7 + 4H2SO4 → K2SO4 + Cr2(SO4)3 + H2O + 3O

Boiling hydrogen peroxide (30%) is a good oxidizing solution. Unstabi-lized H2O2 must be used, and it should be stored in a refrigerator to slow

Page 127: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

130 Deposition Technologies for Films and Coatings

decomposition. Hydrogen peroxide is sometimes used with ammonia with aratio of 8 (H2O2) : 1 (NH3) : 1 (H2O). Caution: 30% H2O2 is extremely reactiveso it must not contact oxidizable materials such as organics.

Oxidation cleaning may be performed using chlorine-containing chemi-cals. For example, a slurry of sodium dichloroisocyanurate (pool chlorine—63% available chlorine) in water may be used to scrub an oxide surface toremove hydrocarbon contamination.

4.10 Volatilization Cleaning

Heating volatilizes some surface contaminates such as water. Thistechnique can often give problems because it may pyrolyze hydrocarbons intocarbonaceous forms which are then very difficult to dissolve. The temperaturemay also cause changes in the surface composition and morphology. Thesurface composition may change due to volatilization of a constituent or bysegregation of a bulk constituent to the surface.

Thermally driven surface segregation can be greatly influenced by thenature of the environment (vacuum or reactive gas). Ga from GaAs surfacesmay be thermally etched to give improved electronic properties at the resultingfilm-substrate interface.[35] In the case of some glasses, high temperaturestend to cause particles of oxidized glass constituents to form on the surface.Thermal treatment of silicon to >700°C removes the oxide but the surfacebegins to vaporize and form surface features.[36]

Thermal cleaning is used to clean porous surfaces by increasing thesurface diffusion of the contaminate from the subsurface regions to the surfacewhere it can be removed.

4.11 Hydrogen Reduction Cleaning

Hydrogen reduction of oxide layers may be used to clean surfaces in afurnace environment. Figure 3.3 shows the stability of a number of metaloxides at various temperatures and varying dew points of the hydrogen. Notethat, depending on the dew point and the temperature, a hydrogen furnace canbe either reducing or oxidizing! In some cases forming gas (90% N2, 10% H2)is used instead of hydrogen since it is less explosive. Hydrogen reduction hasbeen used to clean the oxide from silicon surfaces at 900°C.

Page 128: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Surface Preparation 131

4.12 Electrolytic Cleaning

Electroetching may be used to anodically remove metal from a surface(along with contamination) and usually roughens the surface. The higher thecurrent density, the more roughening occurs. For stainless steel, the surfaceis passivated by oxides (hydrated on the surface) at low potentials, while athigher potentials, the surface is etched.[37]

Carbon fibers often have a weak surface layer and this layer may beremoved by anodically electroetching (oxidizing) the surface followed byhydrogen firing. This treatment increases the strength of the carbon fiber andimproves the bond when the fiber is used as part of a composite material.

Figure 3.3. Metal-metal oxide equilibria diagram for hydrogen plus water as afunction of temperature.

Page 129: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

132 Deposition Technologies for Films and Coatings

Electropolishing removes material and smooths the surface.[38][39] Thesmoothing action is due to protection of the flat areas by a deposited material(usually a phosphate) and the preferential erosion of the peaks. Electro-polishing leaves a surface film (phosphate) which has to be removed (hot waterscrub) to obtain a clean surface.

5.0 DRYING AND OUTGASSING

After fluid cleaning and rinsing it is important to dry the surface quicklyin order to prevent the liquid film from collecting particles. Drying may be doneby blowing the surface with filtered gas (from a liquid nitrogen tank) or bydisplacing the water by a high vapor pressure solvent such as anhydrousalcohol which dries rapidly. The best technique is an “alcohol vapor dry” wherethe cold surface is immersed in the vapor above a heated anhydrous alcoholsump. The cold surface condenses the alcohol vapor which flows off into thesump taking water and particulates with it. When the surface becomes hotcondensation ceases and the hot part, when withdrawn, will rapidly dry. Spindrying tends to leave liquid along the outside edges of the substrate which mayresult in contamination of this area. If spin drying is used the part should beflooded with copious amounts of ultrapure water during spinning.

Anhydrous alcohol, which displaces water and dries quickly, is one of thebest materials with which to wipe and flush surfaces—it leaves the leastresidue; however it is not a very good solvent. Alcohol should only be used withpolyethylene gloves. Isopropyl alcohol (IPA) is most commonly used sinceit requires no denaturant. Ethyl alcohol is generally more pure but requires theuse of denaturants. Alcohol is denatured to avoid tax and accountability.Denaturants range from ethyl ether to kerosene (over 200 denaturantsallowed). Low residue denaturants include methanol (5% by vol.) and acetone(10% by vol.). It is best to use pure (undenatured) alcohol if possible.Anhydrous alcohols can take up water from the atmosphere and lose theirability to displace water in the drying operation

Drying and outgassing is especially important for polymers andporous materials which absorb solvents and water. It is often easier to dryand outgas prior to placing the materials in a deposition chamber. Theusual technique is to heat the material (to some temperature that doesn’tdegrade it) in a vacuum (vacuum bake) or desiccated environment. Acommon mistake is to vacuum bake the material for an insufficient time—often many

Page 130: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Surface Preparation 133

hours are necessary. The time-temperature-vacuum conditions necessaryto outgas the material can be determined by weight loss measurements.

Microwave energy may be used to heat polar molecules such as water(also alcohols, aldehydes, ketones, amides, amines, nitrate, cyanides,proteins, unsymmetrical halogenated hydrocarbons, and ionic solutions) aslong as there are no electrical conductors present. Microwave heating anddrying of such materials may be more effective than conventional thermalheating.[40]

6.0 MONITORING OF CLEANING

The best monitoring techniques monitor those elements of the processwhich are critical to providing a surface that can be further processed. Thetesting of surface preparation such as cleaning will invariably result incontamination of the surface, so tested surfaces can not be used forsubsequent processing. In some cases, sample surfaces may be tested forcertain properties in order to determine surface conditions. These testsinclude (i) contact angle of a water drop (wetting angle), (ii) sheeting behaviorof a fluid draining over a surface, (iii) nucleation of moisture on a surface and(iv) friction and adhesion tests.

A common check on the cleaning of a glass surface uses the contactangle of a water drop on the surface of the cleaned glass. If the surface hasno hydrophobic contamination (oil, hydrocarbons, silicones, etc.) the waterwill wet and spread over the surface giving a contact angle of <5° as measuredwith a contact angle goniometer. This technique must be used with some caresince, if a hydrophilic contaminant such as a soap residue is present, thecontact angle will be low even though the surface is contaminated.

If a glass surface is clean, water will sheet over the surface withoutbreaking up to avoid areas of contamination (water break test). Observationof this sheeting during the rinsing operation is a check that an experiencedoperator can use in the cleaning process. If the water film breaks up, then thesurface is not clean. This breaking up into “legs” is how a wine taster judgesthe viscosity of a wine (i.e., they need a dirty glass).

If you breathe on a clean glass surface, the moisture will condenseuniformly over the surface giving the “black breath figure”.[41] You can see thiseffect in your bathroom where condensing moisture shows up the dirt swipeson the mirror.

A clean glass surface has a high coefficient of friction (“squeaky clean”).

Page 131: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

134 Deposition Technologies for Films and Coatings

7.0 IN SITU CLEANING

In situ cleaning is done in the deposition system and is intended to removethe small amount of contamination that has developed since the primarycleaning process. Some of the cleaning processes that have already beendescribed are applicable to in situ processing but others are more desirable.In situ cleaning in an electrolytic environment may be done by etching or “off-plating” by making the surface an anode of an electrolytic cell. In situ cleaningtechniques for vacuum or plasma processing include:

! Oxygen plasma cleaning

! Hydrogen plasma cleaning

! UV/O3 cleaning

! Volatilization

! Ion scrubbing

! Sputter cleaning

! Reactive plasma etching (RPE)

! Reactive ion etching (RIE)

7.1 Ion Scrubbing

Ion scrubbing of a surface occurs when the surface is in contact with aplasma and the plasma sheath potential accelerates low energy ions to thesurface with sufficient energy to desorb absorbed gases. The technique isoften supplemented with a reactive gas to give a version of reactive plasmacleaning. The technique is widely used in the optical coating business forsubstrate preparation in the vacuum deposition system (in situ cleaning) usingan air discharge.[41]

8.0 PLASMAS

Plasmas are gaseous media which contain enough ions and electronsto be electrically conductive and generally volumetrically neutral. Energy isintroduced into the plasma by the acceleration of electrons in a DC, RF ormicrowave field. These electrons then fragment, excite, and ionize particlesby collisions. A processing plasma is one that is used in processing amaterial. In a processing plasma, the volume density of the various gaseous

Page 132: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Surface Preparation 135

species and their energies depend on a number of factors including: methodof generating the plasma, processing parameters, and system geometry. Ina processing system, the local plasma densities and properties may varysignificantly due to electrode configurations, presence of surfaces, and othergeometrical factors.

In cleaning processes, the substrate may be in plasma generationchamber or may be exposed to the plasma in a “downstream” location (remoteplasma processing). In the plasma chamber the substrate may be on a drivenelectrode or placed where it is only exposed to the plasma, hence acts likea wall, though it may be exposed to a variety of plasma-related effects suchas induced bias, electron bombardment, and energetic neutral bombardment.The downstream location avoids many of these plasma-related effects.Plasma discharges may also be used as a source of ion beams where ionsare extracted from the plasma chamber and accelerated to a high energyby using a grid extraction system. Beam intensities are limited by theextraction grid.

8.1 Generation of Plasmas

In plasmas used for plasma processing, the electron energy is increasedby acceleration in electric field gradients. The most typical configurations forgeneration of plasmas are: (i) DC diode discharge, (ii) RF (radio frequency)discharge, (iii) electron emitter sustained discharge, (iv) magnetron enhanceddischarge, (v) microwave discharge, (vi) vacuum arcs, and (vii) plasma arcs.Figure 3.4 shows a schematic of some of these plasma generation configu-rations.

DC Diode Discharge. The DC diode configuration consists of an anodeand a cathode immersed in a low pressure gas. At the cathode, the cathodepotential (-) attracts ions from the edge of the plasma region, and they areaccelerated across the cathode fall region to impinge on the cathode (target).The cathode fall region, which surrounds the cathode, is where most of thepotential drop in a DC discharge is to be found. The region between theedge of the cathode fall region and the anode is the plasma region wherethere is little potential drop. In the DC discharge, energetic particles (ionsand neutrals) impinging on the cathode (target) cause the ejection ofsecondary electrons which are then accelerated across the cathode fallregion and create ions which sustain the discharge process. Thesecondary electron emission coefficient of a surface depends on thechemical nature and morphology of the surface. Oxides typically havehigher electron emission coefficients than do metals. The secondary

Page 133: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

136 Deposition Technologies for Films and Coatings

electrons can be accelerated to high energies and impinge on the anode orother surfaces in the system. This can give rise to extensive heating ofsurfaces (substrates) in the system. The DC discharge requires a relativelyhigh gas pressure (> 10 microns argon).

In the cathode fall region, some of the ions may be neutralized bycharge exchange processes which give rise to energetic neutral particleswhich are not affected by the applied electric field. The result is fluxes of

Figure 3.4. Plasma generation configurations: (a) DC diode, (b) DC diode withpermanent magnets giving a planar magnetron (c) RF plasmas with planarelectrodes immersed in the plasma, electrodes external to a dielectric wall and acoil immersed in the plasma, (e) electron emitter (thermoelectron) with magneticconfinement and (e) microwave cavity.

Page 134: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Surface Preparation 137

energetic ions and neutrals with a spectrum of energies that bombard thecathode.

In order to sustain a discharge, the secondary electrons must createenough ions to compensate for losses. If the anode or ground surface isbrought too close to the cathode, the discharge is extinguished. This effectcan be used to confine the DC discharge to areas of the cathode surface wherebombardment is desired—other areas may have the bombardment preventedby having a ground shield in closed proximity to the surface. The Paschencurve gives the relationship between breakdown voltage and the minimumanode-cathode separation in a gaseous environment. Insulator surfacescannot be used as cathodes in a DC diode configuration since charge buildupon the surface will prevent ion bombardment.

In addition to causing the ejection of secondary electrons, high energyions and neutrals which impinge on the target (or other surfaces) cause thephysical ejection of surface atoms (physical sputtering) by momentumtransfer processes. The sputtered particles leave the surface at higher-than-thermal energies but may be rapidly thermalized by collisions in the gasphase. The sputtered particles may be scattered back to the target surface;this effect is more prominent the higher the gas pressure. Some of theenergetic ions that bombard the cathode may be reflected as high energyneutrals.

The electrical current measured in the DC cathode circuit is the sum ofthe charge due to the ion flux to the target and the secondary electron flux awayfrom the surface. Therefore, the cathode current density and cathode voltagedo not specify the flux and energy of the impinging ions. However thesemeasurements (along with gas pressure and gas flow) are typically used tospecify the plasma parameters in DC diode plasma processing.

Typically a DC diode discharge plasma is “weakly ionized” with manymore neutral particles than ions (104-107: 1). It will also have a low electrontemperature and an even lower ion temperature. If molecular gas species arepresent in the discharge, many radical species will be formed in the plasmaand they will generally greatly outnumber the ions.

Any surface in contact with the plasma will be subjected to a flux of ions,neutrals and electrons. A sheath potential will be developed because of thegreater mobility and energy of the electrons as compared to the ions. This wallpotential (typically 3 - 10 volts) will accelerate ions from the plasmas, givingrise to ion scrubbing of the surface.

In plasma processing, the DC diode configuration has many advantages:(i) a rather uniform plasma can be generated over large areas; (ii) power

Page 135: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

138 Deposition Technologies for Films and Coatings

input (watts/cm2) can be very high; (iii) the power supplies are rather simple,inexpensive and powerful; (iv) process reproducibility can be attained bycontrolling the geometry, gas pressure, and target power (current andvoltage); and (v) sputtering of surfaces may be used as a source of depositingmaterial. It also has some disadvantages: (i) surface geometries can resultin focusing effects giving non-uniform bombardment; (ii) electron heating ofsurfaces can be extensive; and (iii) insulating surfaces cannot be bombarded.

RF Discharge: At high frequencies in a capacitively-coupled discharge,the electrons oscillate in the changing field thus gaining energy, and bycollision with atoms, create ions and more electrons. Typical RF powersupplies operate at 13.56 MHz (USA industrial frequency) with peak-to-peakvoltages of greater than 1000 volts. The plasma acts as a low density electricalconductor and the RF field penetrates quite some distance into the plasma.When the driven RF electrode is a conductor, the surface is bombarded by ionsfrom the plasma during the half-cycle that the electrode is negative.

If the surface of the RF electrode is an insulator (backed by a conductor),the metal-insulator-plasma acts as a capacitor and the surface potential thatappears on the insulator surface alternates between a low positive potential(because the electrons have a high mobility) and a higher negative potential(because the ions have a relatively low mobility). Ions are extracted from theRF plasma during the negative portion of the cycle and bombard the insulatorsurface. The RF potentials in the plasma can be determined using capacitiveprobes. The ion energies bombarding a surface may be determined using asampling orifice, a retarding grid and a mass spectrometer.

In capacitively-coupled RF discharges, the plasma potential, hence thesheath potential at the electrodes, can have a time-varying value of tens tohundreds of volts. When the electrodes have different effective areas, theplasma potential can also have a large DC potential with respect to one or moreof the electrodes. These factors affect the distribution of ion energies incidenton the electrode surfaces in an RF discharge. Small area electrodes will attainhigher voltages than large area electrodes and the electrode potentials can bevaried using external capacitance in the circuit.

The amount of energy that is coupled into the RF discharge depends onthe impedance matching (reflected power) and coupling losses to othersurfaces (stray losses). In RF plasma processing, it may be important todetermine just how much energy is actually being coupled into theplasma.[42] RF power may be coupled to the plasma using metal electrodes

Page 136: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Surface Preparation 139

external to a dielectric wall, or the RF plasma may be excited using immersedelectrodes. Very high plasma densities and ionization can be attained in RFdriven plasmas and the discharge may be established at lower pressures thanthe DC diode discharge.

Surfaces immersed in an RF plasma will assume a self-bias. This biasdepends strongly on the surface areas and configurations. Often the value ofthe self-bias is not known (or controlled) and can vary within the system, givinganisotropic bombardment effects.

In plasma cleaning, the RF discharge has the advantage that insulatingsurfaces or insulating films can be bombarded by applying an RF potential.Disadvantages are: (i) high power inputs (heating) to insulating materialscause cracking; (ii) electrode geometries can cause problems with couplingto the RF power; (iii) there are many sources of RF power loss in systems; (iv)plasma uniformity is difficult to obtain over complex surfaces; and (v) the biasconditions on surfaces in the RF plasma are variable and often difficult tocontrol.

Microwave Discharges. Plasmas can also be excited at muchhigher frequencies, 300 MHz to 10 GHz, where electron cyclotronresonance coupling gives more efficient ionization.[43] Ionization can be ashigh as 20% in a such a microwave discharge. Microwave plasmas aremost often used in the downstream processing configuration sincesubstrates in the microwave cavity can “detune” the system.

Electron Emitter Discharge. In the DC diode and RF plasmaconfigurations, the electrons necessary to sustain the plasma are pro-duced in the plasma. When using electron emitters, the electron sourceis independent of the plasma processes. Common electron emitters arehot thermoelectron emitting cathodic surfaces and hollow cathodes. Forexample: LaB6 surfaces can give an electron emission of >20 A/cm2 at1700°C.[44] Often the electrons are confined by a magnetic field (50 - 500gauss) directed along the anode-cathode axis. The magnetic fieldincreases the electron path length in its movement from the cathode to theanode by causing the electron to spiral in the magnetic field. Thisincreases the ionization efficiency of the electron. The ions in the plasmamay be extracted using an electrode at a DC or RF potential to givebombardment of a surface (triode configuration). The triode configurationsuffers from a nonuniform plasma density along its axis which gives nonuniformbombardment and a density variation in activated species over a large biasedsurface. The thermoelectron emitter system is very amenable to forming denseplasmas and for application to downstream processing. By applying high magnetic

Page 137: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

140 Deposition Technologies for Films and Coatings

fields, the plasma (ions and electrons) may be confined and steered into aprocessing chamber.

In plasma processing, some of the advantages of the electron emitterconfigurations are: (i) the flux of electrons is independent of other plasma andelectrode processes; (ii) very high plasma densities can be attained; iii) theplasma properties can be controlled by controlling the electron emission; and(iv) the electron beam can be used as a source for thermally vaporizingmaterial. Disadvantages are: (i) need for well controlled and long life electronemitting sources, and (ii) plasma non-uniformity over large areas and complexsurfaces.

Low strength (50 - 500 gauss) magnetic fields may be used to confine theelectrons and increase their path length in any plasma system. There are anumber of ways to establish magnetic fields in plasma chambers including:(i) internal permanent magnets, (ii) external permanent magnets, (iii) externalelectromagnets, and (iv) moving magnets. Permanent magnets have theadvantage that they may be placed in such a way as to position the field linesin a desirable manner; however, getting a uniform magnetic field over a largeor complex surface is difficult.

Magnetron enhanced plasma configurations have many advantagesincluding: (i) confining the plasma to a small region, (ii) increased ionizationand plasma density, (iii) may be operated at low pressures where gas phasecollisions are reduced. Disadvantages include: (i) non-uniform magnetic fieldsgive non-uniform plasma generation; (ii) isolation of the plasma to a smallregion of the processing chamber requiring auxiliary plasma sources in someapplications; and (iii) low pressure processing can give rise to a flux of highenergy reflected neutral which may affect the processing in an undesirablemanner.

8.2 Plasma Chemistry

Plasma is a very energetic environment and many chemical processescan occur.[45]-[47] The principal chemical processes are: (i) electron impactionization, (ii) dissociation (fragmentation) of molecules (formation of radi-cals), (iii) Penning ionization (metastable collision), (iv) dissociative electronattachment, (v) electron attachment, (vi) excitation, (vii) momentum transfercollisions, (viii) de-excitation of excited species, and (ix) recombination(neutralization). As an example of the complexity of plasma chemicalprocesses, consider that there can be 24 reactions and 16 species formed bythe decomposition and reaction of CF4 in a plasma.[48]

Page 138: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Surface Preparation 141

As an example of Penning ionization, consider argon which hasmetastable excited states of 11.55 and 11.75 eV and copper which has anionization energy of 7.86 eV. Thus a copper atom colliding with a metastableargon atom is easily ionized. Metastable atoms may be very effective inionizing other species by collision.

Many of these processes are characterized by “cross sections” forcollision processes and threshold energies for attachment processes. Forexample, CF3Cl has a high cross section and low threshold energy (2 - 3 eV)for electron dissociative attachment. CF4 has a low cross section and highthreshold energy (5 - 6 eV) for electron dissociative attachment and CCl4 is notactivated at all by electron attachment. Therefore CF3Cl is much more easilyfragmented and ionized in a plasma than is CF4 or CCl4. The degree ofionization, dissociation and excitation of the species depends strongly on thegaseous species, electron energy, and density in the plasma. Generallythere is much more dissociation than there is ionization of molecular species.

Many of these plasma processes serve to activate gas species, i.e., tomake them more chemically active by dissociation, ionization, or excitation(plasma activation).

Plasma discharges are very effective in desorbing contaminates (e.g.,H2O) from surfaces in a plasma processing chamber. These impurities areactivated in the plasma and may contaminate the depositing material.

A number of techniques may be used to determine plasma properties.[49]

Optical emission is the most common. Actinometry compares the emissioninteractions of the excited states of reference and subject species to obtainthe relative concentrations of the ground states. Optical absorption tech-niques may also be used to characterize the gaseous species and tempera-ture in a gas discharge. Electron and ion densities in a plasma may bemeasured by the use of small area Langmuir probes.

8.3 Bombardment Effects on Surfaces

The physical effects of energetic particle bombardment on surfaces anddepositing films is very dependent on the mass, flux, and energy of thebombarding particles, the flux of non-energetic particles (i.e., depositing orabsorbing species), and the atomic mass and chemical nature of thebombarded surface. In many cases the fluxes of impinging particles are notdetermined or controlled except by the processing parameters.

Figure 3.5 depicts the effects of bombardment by energetic species(not electrons) on the surface and the subsurface region. Surface effects

Page 139: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

142 Deposition Technologies for Films and Coatings

include: (i) desorption of weakly bonded surface species, (ii) ejection ofsecondary electrons, (iii) reflection of the energetic species as high energyneutrals, (iv) sputter ejection (physical sputtering) of surface atoms bymomentum transfer through collision cascades, (v) sputtering and redeposi-tion of sputtered species by collisions in the gas phase, ionization andacceleration back to the surface and by forward sputter deposition due to theejection angle on a rough surface, (vi) enhanced surface mobilities of atomson the surface, and (vii) enhanced chemical reaction of impinging andadsorbed species to produce condensed species (“reactive deposition”) orvolatile species (etching). In the subsurface region: (i) the impinging particlesmay be physically implanted (ii) the collision cascades cause displacementof lattice atoms and the creation of lattice defects, (iii) surface species maybe recoil-implanted into the subsurface lattice, (iv) mobile species may betrapped at lattice defects, and (v) much of the particle kinetic energy isconverted into heat. Lattice channeling processes can carry these effectsdeeply into the surface.

Figure 3.5. Schematic depiction of the energetic particle bombardment effects onsurfaces and growing films.

The desorption of weakly bound surface species is important to plasmacleaning and may be used to reduce the incorporated contaminants indeposited films. The desorption may also be useful in desorbing unreactedspecies in reactive deposition processes giving rise to more stoichiometricand chemically stable deposits.

Page 140: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Surface Preparation 143

The physical sputtering of a surface may lead to surface texturing to givea roughened surface (e.g., Ref. 50, 51). Preferential crystallographicsputtering will result in some crystalline orientations being etched at faster ratethan others (sputter etching). Preferential atomic sputtering can causechanges in the chemical composition of alloy and compound surfaces.[52][53]

If a reactive species is used for bombardment, the surface may be etched ifthe resulting chemical species is volatile (reactive ion etching, chemicalsputtering), or the surface may be converted to a compound if the chemicalspecies is not volatile.

Most of the bombarding energy goes into heating the bombardedsurface.[54]

The incorporation of bombarding species into the surface gives rise to gascharging which increases the chemical potential between this region and theinterior and thus the diffusion of the gas into the material. In hydrogen-bombardment cleaning of a hydrogen-sensitive metal, the hydrogen must bedesorbed while the surface is hot.

8.4 Sputter Cleaning and Etching

Sputter cleaning uses physical sputtering to remove some of the surfacelayer which includes contaminates. Sputter cleaning has been called theuniversal etch since everything can be removed by the sputtering process atapproximately the same rate.

Sputtering from a plasma environment has disadvantages:

! Contaminates in the plasma become activated and can reactwith the surface being cleaned.

! Sputtered species can be returned to the surface by scattering(redeposition).

! Surface species can be recoil implanted into the surface.

! Sputtering may develop undesirable surface features.

! High voltages are used in the process.

! Bombardment from the plasma may electronically damagesemiconductor materials.

! Special equipment and fixturing may be required.

Low energy ion bombardment can be used to clean surfaces withoutelectronic damage.[3][4][55][56] The low energy ion bombardment can beobtained from high pressure plasmas, downstream processing with lowbiases, and with low energy ion beams.

Page 141: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

144 Deposition Technologies for Films and Coatings

Ion beam sputter cleaning may be done in a vacuum environment wherethe sputtered species are not redeposited on the substrate surface.

Reactive plasma cleaning/etching (RPE) uses a reactive species in theplasma which reacts with the surface to form a volatile species which will leavethe surface (no concurrent ion bombardment of the surface).[57] Plasmascontaining reactive species are used in plasma etching (dry etching) and“reactive plasma cleaning”.[58] Fluorine (from CF4, CHF3, C2F6, C3F8 and SF6)and chlorine (from Cl2, CCl4 and BCl3) are the most widely used reactivegases. Oxygen is often added to the fluorine system to promote the formationof atomic fluorine and thus increase the etch rate. One of the most commongas mixtures is 96% CF4 with 4% O2. Helium is often added as a diluent andto increase the thermal conductivity of the plasma hence reducing thetemperature rise of the surface. The reactive plasma technique is typicallyspecific and may be selectively used to take the oxide from the substratematerials and then etch the substrate material at a low rate. Numerous gasesand gas mixtures are available for RPE.[59]-[61]

Examples of plasma etching (cleaning) of aluminum with various gasesare: Al etched with Cl2, BCl3, CCl4 and SiCl4.[62] The BCl3 removes the oxides,others don’t do very well on oxides. (BCl3 is a good scavenger of H2O and O2

in the plasma system; it produces condensible material—B2O3). If Cu ispresent in the aluminum there will be a CuCl2 residue which may be volatilizedby heating above 200°C. Most metals are most easily cleaned using fluorinegas (because the products are more volatile) rather than chlorine. Caution:etching and cleaning with compound gases should be done with caution sincethe decomposition products (B,C,Si) may react with or deposit on the surface,thereby changing the chemical composition or contaminating the surface.

When using a carbon containing chemical (e.g., CCl4, CF3) in theplasma, a residual carbon contaminate remains—using of HCl or SF6 avoidsthis problem. Exposure to reactive plasmas may leave a reacted/chemisorbedlayer of halogen species. This layer may be very important to the sensitizationof the surface to atomic nucleation, or the wettability of organic species to asurface. For instance, the NH4 plasma treatment of Ti gives good adhesionwhen coated with an amine epoxy. Reactive plasma etching of silicon in CCl4plasmas has been reported to give a very thin fluoride layer that passivatessemiconductor surfaces to oxidation.

Oxygen plasmas can be used to reactively remove materials that havevolatile oxidation products (e.g., C, hydrocarbons). Hydrogen plasmas canbe used to remove materials with volatile hydrides (e.g., C, Si, hydrocarbons).

Page 142: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Surface Preparation 145

In reactive ion etching (RIE), ion bombardment of the surface is usedto add energy and secondary electrons to the depositing/etching surfaceenvironment. It has been shown with RIE of silicon that carbon residue limitsthe rate of etching; when etching oxides, the oxygen prevents the formationof the carbon layer and higher etch rates result.[63] In RIE of silicon, the residuethat remains on the surface must be removed by a postdeposition treatmentof low temperature oxygen annealing.[64] Carbon residuals, when usingcarbon-containing etchant gases, have also been found in the reactive plasmacleaning of metals where the problem was avoided by using HCl as the etchinggas.[58] Typically RIE introduces less surface damage in semiconductormaterials than does sputter etching[65] but more than does RPE.

The use of ion bombardment with a molecular beam of the etchant gasin vacuum (bombardment enhanced chemical etching) allows reactive clean-ing to be used in a vacuum environment.[66] It has been shown thatbombardment does increase chemical reactivity at a surface although themechanism is not well defined.[67] For instance the role of absorbed reactivespecies, which are subjected to the bombardment, has not been determinedand this effect will be different in a plasma environment than in a vacuumenvironment. Secondary electron emission may play an important role inchemical reactions on a bombarded surface.

Plasma etching is used for pattern delineation in semiconductor waferfabrication, particularly VLSI fabrication. In RIE, electric fields direct ionsnormal to the surface and etch anisotropy can be obtained. This anisotropycan be used to etch steep-walled features but can be a problem on non-planar surfaces where off-normal surfaces are etched slowly. A major concernin plasma etching is the etch selectivity which determines the ability to stopor significantly slow down the etching process when materials change (SiO2

on Si).Plasma etching was introduced into the semiconductor industry in the

mid-70s in the form of batch reactors, namely barrel reactors (or volume-loading or tubular reactors)[68] and parallel-plate reactors (diode, Reinbergreactors, surface-loading reactors), and more recently, the “HEX” reac-tors.[69] These reactors hold a number of wafers and are available in a largenumber of configurations and plasma generation techniques, ranging fromplanar diodes to triodes to magnetrons. In barrel reactors the etching isdue to the activated species, and electric fields (with their associated bias)are often eliminated by the addition of a conducting etch tunnel around thewafers which confines the plasma generation to the region between the

Page 143: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

146 Deposition Technologies for Films and Coatings

tunnel and the reactor walls. The etching in a barrel reactor with an etch tunneldepends on long-lived activated species and the etching is isotropic.

To achieve high reaction rates, one needs high plasma densities and alarge number of reactive species at reasonable power densities. Thesecharacteristics can be increased by increasing the electron-atom collisionprobability by:

! Short mean free paths (diode)—“high” pressures (<1 torr)

! Auxiliary electron source (triode)—low pressures (0.01- 0.2torr)

! Increased path length (magnetron)—very low pressures(<0.01torr)(Hill)

! Microwave plasma excitation

Flow uniformity is extremely important to etch/cleaning uniformity. Gasis typically introduced through a series of orifices or in some cases porousdiffusers (though these may clog up easily). Large orifices allow high pressureregions and electron trapping that give local high density plasma that affectuniformity.

In high pressure reactors, the electrode spacing is small and plasmauniformity is difficult to obtain. Various techniques are used to hold the plasmaover the driven electrode. The use of guard rings on the edge of the drivenelectrode is the most common technique.

In low pressure plasma reactors, an auxiliary electron (or plasma) sourceis used to sustain the plasma and allow the decoupling of the plasma sourceand the driven electrode. This allows more process variation to be used.Electrode spacing is larger but the non-symmetry of the plasma may makeplasma uniformity difficult to achieve, particularly when varying processparameters. The higher plasma densities may also increase the plasmasheath potential giving rise to increased radiation damage of the wafer, but itprovides more directional ion bombardment therefore better directional etch-ing.

In very low pressure reactors, the use of the magnetic fields at highplasma densities results in a lowered plasma sheath potential so a biasedelectrode may be useful.

The reactive etching/cleaning process gives volatile species which maybe deposited in other parts of the system under different conditions and mayhave a detrimental effect on the gas handling/pumping system, and may bea source of particulates in the etching system.[70]

Page 144: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Surface Preparation 147

9.0 STORAGE AND HANDLING

An integral, and often neglected aspect of surface preparation is that ofhandling and storage before the next processing step or usage. Handling andstorage during processing and after cleaning is a major source of recontam-ination. It is not unusual to see someone put a carefully cleaned substrate intoa plastic bag where it is contaminated by the polymer.

Storage should be in a non-recontaminating (particles, vapors) environ-ment. Non-contaminating environments may be passive or active. Passiveenvironments are those such as carefully cleaned glass containers—possiblyevacuated and desiccated. Active environments are ones where the contam-inants are continually removed by adsorption or oxidation. Adsorption can beon freshly oxidized aluminum or activated carbon (particulate problem).Oxidation may be done using the UV/O3 cleaning cabinet described in Sec.4.9. This is by far the best technique for storing surfaces where surfaceoxidation is not a problem.

The materials used for storage are very important. Storage shouldgenerally not be done in a plastic container since recontamination can occurfrom vapors, physical contact, abrasive transfer or the diffusion of moisturethrough the polymer. If polymer bags are to be used, and moisture permeationis a problem or potential problem, the best ones have a metal foil laminatedbetween two layers of polymer. Most paper products are acidic and can becorrosive. Paper products may have also absorbed corrosive gases from theenvironment. Many polymer sheet products have antistatic coatings on thesurface; these antistatic coatings are often hydrophilic electrolyte materialswhich may be corrosive. Polymers may have plasticizers in them that willvolatilize and contaminate surfaces. Polyvinyl chloride (PVC) can breakdownand form hydrochloric acid. Most adhesives have corrosive components.Cloth has “sizing” on the surface of the fibers to aid in weaving and this maybe transferred to parts wrapped or handled with the cloth. Aluminum foilgenerally has a layer of oil on the surface.

Materials that may be suitable for storage of surfaces that are extremelysensitive to corrosion are:

! Cleaned glass containers

! Cleaned metal containers

! Cleaned aluminum foil

! Desized cloth (desize by multiple washing)

! Acid-free paper products—buffered or non-buffered

Page 145: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

148 Deposition Technologies for Films and Coatings

! Polyester polymers (e.g., Mylar™) (no plasticizers, no antistat-ic coating), Polyester laminate (aluminum foil between plasticsheets - reduces moisture permeation)

! Polypropylene (no plasticizers, no antistatic coating)

! Polyethylene (no plasticizers, no antistatic coating)

! Methyl cellulose glue (neutral pH, high water content)

10.0 ACTIVATION AND SENSITIZATION

Activation of a surface means making the surface more reactive withoutthe addition of material to the surface. For example, activation in electroplatingmay be the removal of oxide layers by chemical or electrolytic treatments justprior to insertion into the electroplating bath. Such activation is used forplating: nickel-on-nickel, chrome-on-chrome, gold-on-nickel, silver-on-nickel,nickel-on-Kovar™. For example: the acid cleaning of nickel by immersion intoan acid bath (20% by volume sulfuric acid) then transferring through the rinseinto the deposition tank, keeping the part wet at all times and minimizing thetransfer time.

Methods for activating polymer surfaces include:[71] corona discharges(air), glow discharges (radiation, ion bombardment) (oxygen, fluorine, ar-gon),[72] x-ray irradiation,[73] electron irradiation,[73] low energy electronbombardment, ion bombardment,[74][75] ultraviolet radiation, and mechanicalabrasion

Plasma activation of a polymer involves taking an existing member of apolymeric chain (atom, molecule etc.) and making it more reactive by breakingbonds and leaving dangling bonds which are capable of reacting withdepositing species. The resulting chemical bonding contributes to theadhesion of the deposited film to the polymeric substrate. The number ofactive sites generated by the plasma treatment determines the nucleationdensity and the strength of the chemical bond contributes to the adhesionstrength. The plasma treatment of polymers is sometimes called CASING(Crosslinking by Activated Species of Inert Gas).[76]-[78] Sometimes plasmaactivation is followed by exposure to ammonia before the surface is used forbonding.

The following are some bond strengths between fluorine (the mostelectronegative element) and common depositing atomic species.

Page 146: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Surface Preparation 149

Bond Dissociation energy

Ag-F 135.5 Kcal/moleAl-F 163.8Fe-F 135.0C-F 116.8Ni-F 148.1Ti-F 145.5Au-F Unstable

The more electronegative the element, the higher the chemical bond strengthone would expect. Active bonding sites may become saturated (“poisoned”)by reacting with molecules in the ambient atmosphere (oxygen, OH, etc.) soactivation of a surface will degrade with time. The activation process may alsopermanently change the polymer surface by increasing crosslinking.

Plasma treatment of polymer surfaces with inert gas species may givesurface texturing, and the improved bond strengths are then attributed tomechanical interlocking.

Mechanical activation of metal surfaces by mechanical brushing justprior to film deposition is a technique that gives improved adhesion of vacuumdeposited coatings on steel.[79][80]

Plasma deposited polymer films (plasma polymerization) have highconcentrations of dangling bonds and are adherent to many surfaces and mayact as good coupling layers (primer) for subsequent metal deposition if usedbefore the unsaturated bonds become saturated.

Activation of ionically bonded solids may be by exposure to radiationwhich creates point defects which may act as bonding sites. Electrons andphoton radiation of insulator and semiconductor surfaces prior to film deposi-tion have been used to enhance the adhesion of the film,[81] probably bychanging the nucleation behavior.

Sensitization of a surface means adding a small amount of material tothe surface to act as nucleation sites for adatom nucleation. This may be lessthan a monolayer of material. In electroplating, the addition of nucleatingagents (Sn - stannate, Zn - zincate) to the surface of difficult-to-plate metalssuch as Ti, Al, Zr, and U[82] allows the deposition of adherent metal coatings(example, zincate process for Al, ASTM -B-253). In electroplating, thesensitization process often involves simultaneous etching and displacementplating.

Page 147: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

150 Deposition Technologies for Films and Coatings

Various materials are used to sensitize polymer surfaces for bonding andelectroplating (Teflon™—napthelenides or alkali metals, Nylon™— iodine). Inplasma processing, polymer sensitization is the addition (chemisorption) of asurface species which acts as a coupling agent to react with the depositingatoms. One sensitization technique is plasma activation with the addition ofa coupling agent (usually oxygen) to the active site; this coupling agent in turnreacts with other organics (amine epoxy treated with oxygen plasma thencoated with urethane) or with depositing metal atoms.

Oxygen plasma treatment of polymer surfaces has been shown to forman oxygen complex with the carbon in the plastic.[83]-[85] The deposition ofcopper allows the copper to react with the oxygen giving improved adhe-sion.[86]

11.0 SURFACE MODIFICATION

Surface modification may involve changing the surface chemical compo-sition by: (i) conversion to a chemical compound (nitride, carbide, oxide); (ii)changing the chemical composition by selective loss, e.g., hydrogen ionbombardment of carbide surfaces results in carbon depletion to a depthcorresponding to the physical penetration of the hydrogen into the surface,[87]

hydrogen firing of a carbide surface results in the decarburization of thesurface;[88] (iii) the addition of a surface layer which is compatible with thesubstrate material and forms a new surface on which to deposit the film. Inthin film metallization this layer is sometimes called the “glue” layer (e.g., Tion oxides under Au, Ni on metals, Cr on polymers,[89]).

Surface modification may be done by changing the physical propertiesof the surface such as roughness or hardness. Surface roughening may beused to give more mechanical bonding. Roughening may be accomplished bygrit blasting, mechanical abrasion, chemical etching (grain boundaries,crystal orientation, phase), oxidation then reduction or etching, electrochem-ical etching, or sputter texturing[51]

Examples of surface roughening are:

! AlN ceramics with NaOH[90]

! Al2O3 ceramics with molten NaOH[91]

! ABS copolymer: etch to remove one phase and give poroussurface

! Chemical etching of Kovar™ by ferric chloride

Page 148: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Surface Preparation 151

! Sputter texturing of copper[92]

! Oxidation and reduction of a molybdenum surface to make itporous for gold deposition

! Sputter texturing of medical implants

! Sputter texturing of polymers

! Anisotropic chemical etching of silicon[93]

12.0 PASSIVATION AND PRESERVATION

Clean surfaces (or those freshly prepared) may be passivated orprotected by the addition of a layer of a material that is easily removed.Examples are:

! Au flash on metallization surface to prevent oxidation and makethe surface solderable

! Strippable organic films on metal surfaces to prevent corrosion

! Strippable coating on optical surfaces to prevent particulatecontamination

! Water-wetted surface after activation (oxide strip) in electro-plating to prevent re-oxidation

! I on HF-cleaned Si to prevent oxidation—desorbed at 500°C invacuum[94]

Cleaned parts may also be stored under liquids to exclude reactivegaseous agents. Metals may be stored in anhydrous liquids such as alcoholor acetone until needed. Storage of material in deoxidized water (boiled) willdecrease the oxidation of the surface compared to air or oxidated (cold) water.

Nitridation of UHV cleaned surfaces minimizes recontamination andmakes subsequent cleaning easier.[13] Coating of UHV surface with goldmakes them less likely to adsorb contamination.

The UV/O3 oxidation of GaAs[95][96] has been used to form a passivating(sacrificial) layer that can subsequently be vaporized as an in situ cleaningtechnique that leaves no residue and doesn’t damage the crystal surface. Thishas been reported to be better than thermal or air oxidation since thermaloxidation selectively oxidizes one constituent and when removed, leaves a poorsurface composition.

Page 149: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

152 Deposition Technologies for Films and Coatings

Some silicon surfaces have been preserved by coating with a metal suchas Ga,[97] In[98] or I[99] onto the clean surface and then evaporating the speciesfrom the surface as an in situ technique.

13.0 SAFETY

Chemical manufacturers and distributors are required to provide “MaterialSafety Data Sheets” (MSDS’s) for hazardous materials with or beforeshipment of the materials. OSHA has mandated that employees must begiven information and training as to the hazards of the materials that they areusing (Hazard Communication Standard 29 CFR 1910.1200)

It should be recognized that chemicals in combination can generate asafety hazard where the separate chemicals may not. Examples are:

! Organics with oxidants = fire, explosion

! Cyanide compounds with acids = poison (hydrogen cyanide)

Various industry organizations have formulated guidelines for using ofindustrial chemicals safely. For instance the Institute for Interconnecting andPackaging Electronic Circuits has issued a guideline entitled “Guidelines forChemical Handling Safety in Printed Board Manufacture” (IPC-CS-70).

REFERENCES

1. Musket, R. G., McLean, W., Colmenares, C. A., Makowiecki, D. M., andSiekhaus, W.J., Appl. of Surf. Sci., 10:143 (1982)

2. Mattox, D. M., Thin Solid Films, 53:81 (1978)

3. Vossen, J. L., Thomas, J. H., III, Maa, J-S., and O’Neill, J. J., J. Vac. Sci.Technol., A2:212 (1984)

4. Vossen, J. L., Thin Solid Films, 126:213 (1985)

5. Jones, W. C., Met Finish, 83(10):13 (1985)

6. Bowling, R. A., J. Electrochem. Soc., 132:2208 (1985)

7. Henrich, V. E., Rep. Prog. Phys., 48:1481 (1985)

8. Cuthrell, R. E. and Tipping, D. W., Rev. Sci. Instrum., 47:595 (1976)

9. Cuthrell, R. E., Surface Contamination, (K. L., Mittal, ed.), 2:831,Plenum Press (1979)

10. Comsa, G., and David, R., Surf. Sci. Repts., 5:145 (1985)

Page 150: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Surface Preparation 153

11. Sowell, R. R., Cuthrell, R. E., Bland, R. D., and Mattox, D. M., J. Vac.Sci. Technol., 11:474 (1974)

12. Vig, J. R., J. Vac. Sci. Technol., A3:1027 (1985)

13. Grunze, M., Strasser, G., and Elshazly, O., J. Vac. Sci. Technol.,A4:2396 (1986)

14. Holland, L., Vacuum, 26:97 (1976)

15. Bouwman, R., van Mechelen, J. B., and Holscher, A. A., J. Vac. Sci.Technol., 5:91 (1978)

16. Newhouse, R. D., Microelectronic Manuf. Test, 9:1 (1986)

17. Meeks, R. F., Contamination Control Training Manual, General Electricreport 74ND-3 (GEPP-121) available from NTIS

18. Malczewski, M. L., Borkman, J. D., and Vardian, G. T., Solid StateTechnol., 29(4):151 (1986)

19. Goldsmith, S. H. and Grundelman, G. P., Solid State Technol., 28:219(1985)

20. Grant, R. D., Mat & Design, 9:22 (1988)

21. Balcar, G. and Woelfel, M., Met Finish, 83(12):13 (1985)

22. Bibliography on Chemical Cleaning of Metals, Vol. 1 (#52135), Vol. 2(#52129), available from NACE (National Association of CorrosionEngineers), PO Box 218340, Houston, TX 77218

23. Cherepnin, N. V., Treatment of Materials for Use in High Vacuum,Ordentlich, Isreal (1976)

24. Sundahl, R. C., J. Vac. Sci. Technol., 9:181 (1972)

25. Manko, H. H., Solders and Soldering, Ch. 2, McGraw-Hill (1981)

26. Brazing Manual, Ch. 4, American Welding Society (1975)

27. Jackson, L. C., Adhesives Age, p. 23 (Dec.1974)

28. Physical Principles of Ultrasonic Cleaning, Vol. 1, (L. D. Rozenberg,ed.), Plenum Press (1973)

29. Cieslak, W. R., Proc. ASM Third Conf. on Electronic Packaging:Materials and Processes & Corrosion in Microelectronics, Minneapolis,MN (April 28-30, 1987)

30. Fredrick, J. R., Ultrasonic Engineering, Wiley (1965)

31. Walker, R., Treatise on Clean Surface Technology, (K. L., Mittal, ed.),1(3) Plenum Press (1987)

32. Walker, R., TSF 119, 223, 84

33. Holland, L., The Properties of Glass Surfaces, Ch. 6, Wiley (1964)

Page 151: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

154 Deposition Technologies for Films and Coatings

34. Norstrom, H., Ostling, M., Buchta, R., and Petersson, C. S., J.Electrochem. Soc., 132:2285 (1985)

35. Saito, J., Ishikawa, T., Nakamura, T., Konagai, M., and Takahashi, K.,Jpn. J. Appl. Phys., 25:1216 (1986)

36. Ishikawa, Y., Ikeda, N., Kenmochi, M., and Ichinokawa, T., Surf. Sci.,159:256 (1985)

37. Olefjord, I., Brox, B., and Jelvestam, J., J. Electrochem. Soc., 132:2854(1985)

38. Faust, C. L., Metal Finish, 82:29 (1984)

39. Shigolev, P. V., Electrolytic and Chemical Polishing of Metals, FreundPub. (1974) from the Russian

40. Smith, F. J., R & D Mag, 30, 54 (1988)

41. Holland, L., The Properties of Glass Surfaces, Ch. 5, Wiley (1964)

42. Horwitz , C. M., J. Vac. Sci. Technol., A1:1795 (1983)

43. Dahimene, M. and Asmussen, J., J. Vac. Sci. Technol., B4:126 (1986)

44. Goebel, D. M., Hirooka, Y., and Sketchy, T. A., Rev. Sci. Instrum.,56:1717 (1985)

45. McDaniel, E. W., Collision Phenomona in Ionized Gases, Wiley (1964)

46. Hollahan, J. R., and Bell, A. T., Techniques and Applications of PlasmaChemistry, J. Wiley (1972)

47. McTaggert, F. K., Plasma Chemistry in Electrical Discharges, Elsevier(1967)

48. Kushner, M. J., J. Appl. Phys., 53:2923 (1982)

49. Thornton, J. A., J. Vac. Sci. Technol., 15:188 (1978)

50. Berg, R. S. and Kominiak, G. J., J. Vac. Sci. Technol., 13:403 (1976)

51. Kowalski, Z. W., J. Mat. Sci. Lett., 6:69 (1987)

52. Betz, G., Surf. Sci., 92:283 (1980)

53. Malherbe, J. B., Hofmann, S., and Sanz, J. M., Appl. Surf. Sci., 27:355(1986)

54. Mathews, A. and Gethin, D. T., Thin Solid Films, 117:261 (1987)

55. Achard, B., Gruzza, B., and Pariset, C., Surf. Sci., 160:L519 (1985)

56. Fonash, S. J., Solid State Technol, 28(4):201 (1985)

57. Sawin, H. H., Solid State Technol, 28(4):211 (1985)

58. Kominiak, G. J. and Mattox, D. M., Thin Solid Films, 40:141 (1977)

Page 152: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Surface Preparation 155

59. Baker, W. A., and Mossman, A. L., The Matheson Gas Data Book,Matheson Co.

60. Webber, J., Microelectronic Manufacturing and Testing, p. 40 (Jan 1985)

61. Boyd, H. and DeBord, D., Microelectronic Manufacturing and Testing,8:1 (April 1985)

62. Choe, D. H. G., Knapp, C., and Jacob, A., Solid State Technol, 28(3):65(1985)

63. Nordstrom, H., Buchta, R., Runovc, F., and Klund, P. W., Vacuum32:737 (1982)

64. Oehrlein, G. S., Clabes, J. G., and Spirto, P., J. Electrochem. Soc.,133:1002 (1986)

65. Pang, S. W., J. Electrochem. Soc., 133:784 (1986)

66. Geis, M. W., Lincoln, G. A., Efremow, N., and Piacentini, W. J., J. Vac.Sci. Technol., 19:1390 (1981)

67. Winters, H. F., Coburn, J. W., and Chuang, T. J., J. Vac. Sci. Technol.,B1:469 (1983)

68. Poulsen, R. G., J. Vac. Sci. Technol., 14:266 (1977)

69. Broydo, S., Solid State Technol, 26(4):159 (1983)

70. Poll, H. U., Meichsner, J., and Steinrucken, A., Thin Solid Films, 112:369 (1984)

71. Kelber, J. A., Plasma Treatment of Polymers for Improved Adhesion Tobe published in Vol 119 of MRS Proceedings (D. M., Mattox, J. E. E.,Baglin, R. Gottschall, and C. D. Batich, eds.)

72. Bodo, P. and Sundgren, J.-E., Thin Solid Films, 136:147 (1986)

73. Wheeler, D. R. and Pepper, S. V., J. Vac. Sci. Technol., 20:442 (1982)

74. Suzuki, K., Christie, A. B., and Howson, R. P., Vacuum, 36:323 (1986)

75. Bodo, P. and Sundgren, J.-E., J. Appl. Phys., 60:1161 (1986)

76. Schonhorn, H., Ryan, F. W., and Hansen, R. H., J. Adhesion, 2:93(1970)

77. Sowell, R. R., DeLollis, N. J., Gregory, H. J., and Montoya, O., RecentAdvances in Adhesion, (Lieng-Huang Lee, ed.), pp. 77–89, Gordon &Breach (1973)

78. Ouellette, R. P., Barbier, M. M., and Cheremisinoff, P. N., Low-temperature Plasma Technology Applications, Technomic Publishing

79. Schiller, S., Foerster, H., Hoetzsch, G., and Reschke, J., Thin SolidFilms, 72:351 (1980)

Page 153: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

156 Deposition Technologies for Films and Coatings

80. Schiller, S., Foerster, H., Hoetzsch, G., and Reschke, J., Thin SolidFilms, 83:7 (1981)

81. Gazecki, J., Sai-Halasz, G. A., Alliman, R. G., Kellock, A., Nyberg, G.L., Williams, J. S., Appl. Surf. Sci., 22/23:1034 (1985)

82. Dini, J. W. and Johnson, H. R., ASTM Spec. Pub. 830, (R. T. Websterand C. S. Young, eds.), p.113-123 (1984)

83. Burkstrand, J. M., J. Vac. Sci. Technol., 16:363 (1979)

84. Burkstrand, J. M., J. Vac. Sci. Technol., 15:223 (1978)

85. Burkstrand, J. M., Appl. Phys. Lett., 33:387 (1978)

86. Hosokawa, T. and Hosokawa, N., J. Vac. Sci. Technol., 16:348 (1979)

87. Sharp, D. J. and Panitz, J. K. G., Surf. Sci., 118:429 (1982)

88. Sproul, W. D. and Richman, M. H., J. Vac. Sci. Technol., 12:842 (1975)

89. Mattox, D. M., Thin Solid Films, 18:173 (1973)

90. Osaka, T., Nagata, H., Nakajima, E., and Koiwa, I., J. Electrochem.Soc., 133:2345 (1986)

91. Elmore, G. V. and Hershberger, R. F., J. Electrochem. Soc., 121:107(1974)

92. Berg, R. S. and Kominiak, G. J., J. Vac. Sci. Technol., 13:403 (1976)

93. Campbell, P. and Green, M. A., J. Appl. Phys., 62:243 (1987)

94. Liberman, R. and Klein, D. L., J. Electrochem. Soc., 113:957 (1966)

95. Ingrey, S., Lau, W. M., McIntyre, N. S., J. Vac. Sci. Technol., A4:984(1986)

96. McClintock, J. A., Wilson, R. A., and Byer, N. E., J. Vac. Sci. Technol.,20:241 (1982)

97. Wright, S. and Kroemer, H., Appl. Phys. Lett., 36:210 (1980)

98. Yang, H. T. and Berry, W. S., J. Vac. Sci. Technol., B(2):206 (1984)

99. Liberman, R. and Klein, D. L., J. Electrochem. Soc., 113:957 (1966)

Page 154: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

157

4

Evaporation: Processes, BulkMicrostructures and MechanicalProperties

Rointan F. Bunshah

1.0 GENERAL INTRODUCTION

Physical Vapor Deposition (PVD) technology consists of the techniquesof evaporation, ion plating and sputtering. It is used to deposit films andcoatings or self-supported shapes such as sheet, foil, tubing, etc. Thethickness of the deposits can vary from angstroms to millimeters. The widevariety of applications of these techniques ranges from decorative toutilitarian over significant segments of the engineering, chemical, nuclear,microelectronics and related industries. Their use has been increasing at avery rapid rate since modern technology demands multiple, and oftenconflicting, sets of properties from engineering materials, e.g., combinationsof two or more of the following: high temperature strength, impact strength,specific optical, electrical or magnetic properties, wear resistance, ability tobe fabricated into complex shapes, biocompatibility, cost, etc. A single ormonolithic material cannot meet such demands in high technologyapplications. The solution is, therefore, a composite material, i.e., a corematerial and a coating each having the requisite properties to fulfill thespecifications.

PVD technology is very versatile, enabling one to deposit virtuallyevery type of inorganic materials—metals, alloys, compounds and mixturesthereof, as well as some organic materials. The deposition rates can bevaried from 10 to 750,000 Å (10-3 to 75 µm) per minute, the higherrates having come about in the last twenty years with the advent of

Page 155: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

158 Deposition Technologies for Films and Coatings

electron beam heated sources. For zinc and aluminum, deposition ratesas high as 25 µm per second have been reported using electron beamevaporation sources.

The thickness limits for thin and thick films are somewhat arbitrary. Athickness of 10,000 Å (1 µm) is often accepted as the boundary between thinand thick films. A recent viewpoint is that a film can be considered thin or thickdepending on whether it exhibits surface-like or bulk-like properties.

Historically the first evaporated thin films were probably prepared byFaraday[1] in 1857 when he exploded metal wires in a vacuum. Thedeposition of thin metal films in vacuum by Joule heating was discovered in1887 by Nahrwold[2] and was used by Kundt[3] in 1888 to measure refractiveindices of such films. In the ensuing period, the work was primarily ofacademic interest concerned with optical phenomena associated with thinlayers of metals, researches into kinetics and diffusion of gases, and gas-metal reactions.[4][5] The application of these technologies on an industrialscale had to await the development of vacuum techniques and thereforedates to the post World War II era, i.e., 1946 and onwards. This proceededat an exponential pace in thin films and is covered in an excellent review byGlang[6] on evaporated films and in other chapters of the Handbook of ThinFilm Technology[7] as well as in the classic text by Holland.[8] A more recentreference on the Science and Technology of Surface Coatings[9] includesmaterial on PVD techniques as well as the other techniques for surfacecoatings. The work on mechanical properties of thin films has been reportedin several review articles.[10]-[15]

The work on the production of full-density coatings or self-supportedshapes by high deposition rate PVD processes started around 1961independently at two places in the U.S.A. Bunshah and Juntz at theLawrence Livermore Laboratories of the University of California producedvery high purity beryllium foil,[16]-[21] titanium sheets,[22] and studied thevariation of impurity content, microstructure and mechanical properties withdeposition conditions, thus demonstrating that the microstructure andproperties of PVD deposits can be varied and controlled. At about the sametime, Smith and Hunt were working at Temescal Metallurgical Corporation inBerkeley on the deposition of a number of metals, alloys and compounds andreported their findings in 1964.[23][24] The development of evaporationprocesses in the U.S.S.R is described in the Appendix kindly supplied to theauthor by Dr. A. V. Demchishin of the Paton Electric Welding Institute, Kiev.

In the years between 1962 and 1969, there was considerable effort onthe part of various steel companies to produce Al and Zn coatings on steelusing HRPVD techniques on a production scale.[25][26] In 1969, Airco

Page 156: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 159

Temescal Corp. decided to manufacture Ti-6Al-4V alloy foil in pilot productionquantities for use in honeycomb structures on the SST aircraft. The projectwas eminently successful but the patient, the supersonic transport aircraft“SST,” died. The results of this work were published in 1970.[122a] To givesome idea of the production capability, 1,200 ft/run of Ti-6Al-4V foil, 12" wide,0.002" thick was produced at the rate of 2 to 3 ft/min. The stated cost at thattime was about one-fifth of the cost for similar material produced by rolling(i.e., $60/lb for HRPVD vs. $300/lb for rolled material). It is very difficult to rollthis alloy because it work-hardens very rapidly and therefore needs manyannealing cycles to be reduced to thin gauge (A. B. Sauvegot, TMCA Tech.Report AFML-TR-67-386, Dec. 1967).

The work on thick films and bulk deposits has matured later than thework on thin films and reviews on it have been given by Bunshah[114][116] andby Paton, Movchan and Demchishin[122] who summarized the work done atthe Paton Electric Welding Institute up to 1973. In addition, the Sovietliterature in the 1960s has numerous references to the extensive work on thinand thick films by Palatnick and coworkers of the Kharkov PolytechnicInstitute (see Appendix). Note should also be made of a recent book inGerman on electron beam technology by Schiller, Heisig, and Panzer inwhich many of the PVD aspects are treated.[27]

2.0 SCOPE

The scope of this chapter will be to review the evaporation technologies,theory and mechanisms, processes, deposition of various types of materials,the evolution of the microstructure and its relationship to the properties of thedeposits, preparation of high purity metals, current and future applications,and finally cost analysis as far as possible.

3.0 PVD PROCESSES

3.1 Preamble

In general, deposition processes may principally be divided into twotypes: (i) those involving droplet transfer such as plasma spraying, arcspraying, wire-explosion spraying, detonation gun coating, and (ii) thoseinvolving an atom by atom transfer mode such as the physical vapor

Page 157: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

160 Deposition Technologies for Films and Coatings

deposition processes of evaporation, ion plating and sputtering, chemicalvapor deposition, and electrodeposition. The chief disadvantage of thedroplet transfer process is the porosity in the final deposit which effects theproperties.

There are three steps in the formation of any deposit:

1. Synthesis of the material to be deposited:a. Transition from a condensed phase (solid or liquid) to

the vapor phase.b. For deposition of compounds, a reaction between the

components of the compound, some of which may beintroduced into the chamber as a gas or vapor.

2. Transport of the vapors between the source and substrate.

3. Condensation of vapors (and gases) followed by film nucleationand growth.

There are significant differences between the various atom transferprocesses. In chemical vapor deposition and electrodeposition processes,all of the three steps mentioned above take place simultaneously at thesubstrate and cannot be independently controlled. Thus, if a choice is madefor a process parameter such as substrate temperature (which governsdeposition rate in CVD), one is stuck with the resultant microstructure andproperties. On the other hand, in the PVD processes, these steps (particularlysteps 1 and 3 can be independently controlled and one can therefore havea much greater degree of flexibility in controlling the structure and properties,and deposition rate. This is a very important consideration.

3.2 PVD Processes

There are three physical vapor deposition processes, namelyevaporation, ion plating, and sputtering. Ion plating is a hybrid process.

In the evaporation process, vapors are produced from a materiallocated in a source which is heated by direct resistance, radiation, eddycurrents, electron beam, laser beam or an arc discharge. The process isusually carried out in vacuum (typically 10-5 to 10-6 torr) so that theevaporated atoms undergo an essentially collisionless line-of-sight transportprior to condensation on the substrate. The substrate is usually at groundpotential (i.e., not biased).

Figure 4.1 is a schematic of a vacuum evaporation system illustratingelectron beam heating. It may be noticed that the deposit thickness is greatestdirectly above the center-line of the source and decreases away from

Page 158: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 161

it.[28] This problem is overcome by imparting a complex motion to substrates(e.g., in a planetary or rotating substrate holder) so as to even out the vaporflux on all parts of the substrate; or by introducing a gas at a pressure of 5to 200 µm into the chamber so that the vapor species undergo multiplecollisions during transport from the source to substrate, thus producing areasonably uniform (±10%) thickness of coating on the substrate. The lattertechnique is called gas-scattering evaporation or pressure plating.[29][30]

Figure 4.1. Vacuum-evaporation process using electron beam heating.

Page 159: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

162 Deposition Technologies for Films and Coatings

In the ion-plating process, the material is vaporized in a manner similarto that in the evaporation process but passes through a gaseous glowdischarge on its way to the substrate, thus ionizing some of the vaporizedatoms (see Fig. 4.2). The glow discharge is produced by biasing thesubstrate to a high negative potential (-2 to -5 kV) and admitting a gas,usually argon, at a pressure of 5 to 200 mTorr into the chamber. In thissimple mode, which is known as diode ion-plating, the substrate is

Figure 4.2. Ion-plating process.

Page 160: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 163

bombarded by high-energy gas ions which sputter off the material presenton the surface. This results in a constant cleaning of the substrate (i.e., aremoval of surface impurities by sputtering) which is desirable for producingbetter adhesion and lower impurity content. This ion bombardment alsocauses a modification in the microstructure and residual stresses in thedeposit. On the other hand, it produces the undesirable effects of decreasingthe deposition rates since some of the deposit is sputtered off, as well ascausing a considerable (and often undesired for microelectronic applications)heating of the substrate by the intense gas ion bombardment. The latterproblem can be alleviated by using the supported discharge ion-platingprocess[31a,b] where the substrate is no longer at the high negative potential;the electrons necessary for supporting the discharge come from an auxiliaryheating tungsten filament. The high gas pressure during deposition causesa reasonably uniform deposition of all surfaces due to gas-scattering asdiscussed above.

In the sputtering process, illustrated schematically in Fig. 4.3, positivegas ions (usually argon ions) produced in a glow discharge (gas pressure:20 to 150 mTorr) bombard the target material (also called the cathode)dislodging groups of atoms which then pass into the vapor phase and depositonto the substrate. Alternate geometries of importance in various processingapplications are shown in Fig. 4.4. For example, hollow cathode sputteringwould be the ideal geometry for coating the outer surface of a wire.Sputtering is an inefficient way to induce a solid-to-vapor transition. Typicalyields (atoms sputtered per incident ion) for a 50 eV argon ion incident on ametal surface are unity. Thus the phase change energy cost is from 3 to 10times larger than evaporation.[32] Thornton[32] has provided an excellentreview on sputtering as applied to deposition technology. The reader is alsoreferred to the proceedings of a special conference on "Sputtering and Ion-Plating."[33]

The deposition rates for the various processes are indicated in Table4.1. The deposition rates of the evaporation and ion-plating processes aremuch higher than those of the sputtering process. Recently, Schiller andJasch,[228] reported on large scale industrial applications of deposition of Alon strip steel continuously at a deposition rate of 20 µ/min. It should be notedthat sputter deposition rates at the high side (approximately 10,000 Å/min)with diode sputtering can only be obtained for target materials of high thermalconductivity like copper, since heat extraction from the target is the limitingparameter. For most materials, it is much lower, i.e., 50 to 1,000 Å/min. Withmagnetron sputtering, much higher deposition rates are obtained (see Ch.5 in this volume).

Page 161: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

164 Deposition Technologies for Films and Coatings

Figure 4.4. Cylindrically symmetric sputter-coating systems.

Figure 4.3. Basic sputtering process.

Page 162: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 165

Table 4.1. Deposition Rates for Various PVD Processes

Evaporation, Å/min 100 - 250,000*Ion Plating, Å/min 100 - 250,000Sputtering, Å/min 25 - 10,000

*In special cases to 500,000 Å/sec

3.3 Advantages and Limitations

There are several advantages of PVD processes over competitiveprocesses such as electrodeposition, CVD, and plasma spraying. They are:

1. Extreme versatility in composition of deposit. Virtually anymetal, alloy, refractory or intermetallic compound, somepolymeric type materials and their mixtures can be easilydeposited. In this regard, they are superior to any otherdeposition process.

2. The ability to produce unusual microstructures and newcrystallographic modifications, e.g., amorphous deposits.

3. The substrate temperature can be varied within very widelimits from subzero to high temperatures.

4. Ability to produce coatings or self-supported shapes at highdeposition rates.

5. Deposits can have very high purity.

6. Excellent bonding to the substrate.

7. Excellent surface finish which can be equal to that of thesubstrate.

8. Elimination of pollutants and effluents from the process whichis a very important ecological factor.

The present limitations of PVD processes are:

1. Inability to deposit polymeric materials with certain exceptions.

2. Higher degree of sophistication of the processing equipmentand hence a higher initial cost.

Page 163: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

166 Deposition Technologies for Films and Coatings

4.0 THEORY AND MECHANISMS

4.1 Vacuum Evaporation

Reference to the various steps in the formation of a deposit enumeratedin the previous section shows that the theory of vacuum evaporation involvesthermodynamic considerations, i.e., phase transitions from which theequilibrium vapor phase pressure of materials can be derived, as well as thekinetic aspects of nucleation and growth. Both of these are of obviousimportance in the evolution of the microstructure of the deposit.

The transition of solids or liquids into the gaseous state can beconsidered to be a macroscopic or an atomistic phenomenon. The formeris based on thermodynamics and results in an understanding of evaporationrates, source-container reactions and the accompanying effect of impurityintroduction into the vapor state, changes in composition during alloyevaporation, and stability of compounds. An excellent detailed treatment ofthe thermodynamic and kinetic bases of evaporation processes is given byGlang.[6] He points out that the application of kinetic gas theory to interpretevaporation phenomena resulted in a specialized evaporation theory. Suchwell known scientists as Hertz, Knudsen and Langnuir were the earlyworkers in evaporation theory. They observed deviations from ideal behaviorwhich led to refinements in the theory to include concepts of reaction kinetics,thermodynamics, and solid state theory. From the kinetic theory of gases,the relationship between the impingement rate of gas molecules and theirpartial pressure, p, is given by

Eq. (1)dN

A dtmkT pi

e= ( )−2π ½

where Ni is the number of molecules striking a unit area of surface, and Ae

is the area of the surface.Hertz,[34] in 1882, first measured the evaporation rate of mercury in

high vacuum and found that the evaporation rate was proportional to thedifference between the equilibrium vapor pressure of mercury, p*, at theevaporant surface and the hydrostatic pressure, p, acting on the surface,resulting from the evaporant atoms or molecules in the gas phase. Thus, theevaporation rate based on the concept of the equilibrium vapor pressure,(i.e., the number of atoms leaving the evaporant surface is equal to thenumber returning to the surface) is given by:

Page 164: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 167

Eq. (1a)dNA dt

mkT p p cme

e= ( ) −− −2 2 1π ½( * ) sec

such that dNe, the number of molecules evaporating from a surface areaAe in time dt, is equal to the impingement rate of gas molecules based onthe kinetic theory of gases with the value of p* inserted therein, minus thereturn flux corresponding to the hydrostatic pressure p of the evaporantin the gas phase. In the above equations, m is the molecular weight, kis Boltzmann’s constant, and T is the temperature in °K. The maximumpossible evaporation rate corresponds to the condition p = 0. Hertzmeasured evaporation rates only about one-tenth as high as the theoreticalmaximum rates. The latter were subsequently measured by Knudsen[35]

in 1915. Knudsen postulated that some of the molecules impinging onthe surface were reflecting back into the gas phase rather than becomingincorporated into the liquid. As a result, there is a certain fraction (1 - αν)of vapor molecules which contribute to the evaporant pressure but not tothe net molecular flux from the condensed phase into the vapor phase.To this end, he postulated the evaporation coefficient, αν, which isdefined as the ratio of the real evaporation rate in vacuum to thetheoretically possible value defined by Eq. (1a). This then results in thewell-known Hertz-Knudsen equation

Eq. (2)dNA dt

mkT p pe

e= ( ) −−α πν 2 ½( * )

The value of αν is very dependent on the cleanliness of the evaporantsurface and can range from very low values for dirty surfaces to unity forclean surfaces. In very high rate evaporation with a clean evaporantsurface, it has been found that the maximum evaporation given by Eq. (2)has been exceeded by a factor of 2 to 3 for the evaporation of a light metalsuch as beryllium[21] using electron beam heating. The reason for this isthat the high power input results in considerable agitation of the liquidevaporant pool resulting in a real surface area much larger than theapparent surface area.

The directionality of evaporating molecules from an evaporationsource is given by the well-known cosine law. Figure 4.5 shows a smallsurface element dAr receiving deposit from a small area source Ar. The massdeposited per unit area

Page 165: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

168 Deposition Technologies for Films and Coatings

Eq. (3)dM

dAMr

r

r

eσ θπ

φ θ,cos cos

( ) =2

where Me is total mass evaporated.

For a point source, Eq. (3) reduces to:

Eq. (4)dMdA

Mr

r

r

e=π

φ2

cos

For a uniform deposit thickness, the point source must be located at the centerof the spherical receiving surface such that r is a constant and cosθ = 1.

In high rate evaporation conditions, e.g., using a high power electronbeam heated source, the thickness distribution is steeper than with a pointor small area source discussed above. This has been attributed by some

Figure 4.5. Surface element dAr receiving deposit from a small-area source dAe.(From Handbook of Thin Film Technology. © 1970, McGraw-Hill. Used withpermission of McGraw-Hill Book Company.)

Page 166: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 169

authors[28][36] to the existence of a virtual source of vapor located above themolten pool. On the other hand, at high power, the electron beam impactarea on the surface of the molten pool is not flat but pushed down into anapproximate concave spherical segment which as Riley shows[37] canequally well account for the steeper thickness distribution.

The above discussion points out one of the problems with evaporationtechnology, i.e., the variation in thickness of the deposit on a flat substrate.Numerous solutions are possible which involve either moving the substratein a manner so as to randomly sample the vapor flux, the use of multiplesources, or sources of special shapes. These have been discussed in somedetail by Holland[8] as well as by Bunshah and Juntz.[38]

Models have also been presented for calculating the deposittemperature[39] and thickness distribution[40] during high-rate evaporationand verified against experimental data. In a more recent paper, Szekely andPoveromo[41] have given a more general formulation describing the net rateof vapor deposition from a molten source onto an initial cold surface, makingallowance for both molecular transport and diffusion effects.

5.0 EVAPORATION PROCESS AND APPARATUS

5.1 The System

A schematic of the evaporation apparatus has been illustrated in Fig.4.1. It consists of the following: chamber, vacuum pumps, vacuum gages,including total and partial pressure gages on sophisticated systems,evaporation sources, substrate holders, rate monitors, process controller,etc.

Vacuum Chamber: This ranges from a simple bell jar or rectangularbox for experimental or batch type production to more complex gear forproduction applications. The latter may consist of a deposition chamber withloading and unloading chambers attached to the deposition chambers bymanifolds with isolation high vacuum valves. These are called fast cyclecoaters. Alternate approaches are semi-continuous in-line systems wherea strip substrate stored in the vacuum chamber can be fed continuously overthe source (Fig. 4.6) or a continuous system where the strip or sheetsubstrate is inserted and removed from the deposition chamber through air-to-air seals[4][42] as shown in Figs. 4.7 and 4.8.

Page 167: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

170 Deposition Technologies for Films and Coatings

Figure 4.7. A three-high roll seal arrangement for stripline.

Figure 4.6. A schematic representation of a 24 inch continuous high vacuum stripprocessing line.

Page 168: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 171

Vacuum Pumping System: The gas loads in evaporation processesare fairly high due to outgassing from chamber walls promoted by the heatload from the evaporation source and substrate heaters, particularly for highdeposition rate conditions. Therefore the pumping system is usually basedon a diffusion pump with a liquid nitrogen cooled anti-creep type baffle-backed with a mechanical pump or a Roots blower/mechanical pumpcombination for large systems. For very high purity, low deposition rate, lowheat flux conditions, ion pumped systems backed with cryosorption roughpumping are used, since a base pressure of 10-9 to 10-10 torr is needed. Morerecently, turbomolecular and cryogenic pumps are used instead of diffusionpumps where desired (e.g., oil-free systems). This is particularly true formolecular beam epitaxy where extreme control over composition and layerthickness are essential and deposition rates can be quite low. In such cases,the chamber and pumps are to be baked as with any other ultra-high vacuumoperation.

Pressure Measurement: The vacuum gages used depend again onspecific applications. A combination of high pressure gages (such as thePirani or Thermocouple Gage) for monitoring the roughing of the system in

Figure 4.8. Vacuum seal using steam jet or curtain.

Page 169: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

172 Deposition Technologies for Films and Coatings

combination with high vacuum gages (such as the hot cathode ionizationgage and/or capacitance manometer). A partial pressure gage is highlydesirable particularly for ultra-clean applications as well as for leak hunting.

Evaporation Sources: These are discussed separately in Sec. 6).Substrate Holders and Heaters: Substrate holders may be very

simple for stationary flat substrates or can incorporate quite complex motionsas illustrated by planetary or rotating devices. The reason for this is to ensuredeposition thickness uniformity and control over a large number of smallparts such as lenses or silicon wafers. Substrate heating can be accomplishedby radiant heaters with refractory wires or quartz lamps acting as the heatsource. Occasionally, substrates are directly heated by a scanning or diffuseelectron beam.

Deposition Rate Monitors: These are discussed in Sec. 8.

6.0 EVAPORATION SOURCES

6.1 General Considerations

Evaporation sources are classified by the mode of heating used toconvert the solid or liquid evaporant to the vapor phase. Thus one talks ofresistance, arc, induction, electron beam, arc imaging, lasers, and explodingwire types of sources. A very important fact to be noted is that we cannotevaporate every material from any of the types of sources listed above for thefollowing reasons.

1. Chemical interaction between the source material and theevaporant which would lead to impurities in the deposit. Forexample, evaporation of titanium from a MgO source wouldcause oxygen and magnesium contamination of the deposit;the titanium would reduce the MgO. Therefore, for theevaporation of reactive metals like titanium, zirconium, etc.,we use water cooled copper crucibles.

2. Reaction between metallic source (such as a W or Ta boat)and evaporant (Ti) could occur. In many cases at hightemperatures two metals can mutually dissolve in each otherleading to a destruction of the source.

3. The power density (i.e., watts per sq. cm) varies greatlybetween the various heat sources.

Table 4.2 from Ref. 6 from the article by Glang lists the temperatureand support materials to be used in the evaporation of elements. Similartables are found in the literature of many of the manufacturers.

Evaporation of alloys and compounds pose additional problems andthey are considered in Sec. 9.

Page 170: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 173

Table 4.2. Temperatures and Support Materials Used in the Evaporation ofthe Elements

Page 171: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

174 Deposition Technologies for Films and Coatings

Table 4.2. (Cont'd)

Page 172: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 175

6.2 Resistance Heated Sources

The simplest vapor sources are resistance heated wires and metalfoilsof various types shown in Fig. 4.9.

They are available in a variety of sizes and shapes and at sufficientlylow prices so that they can be discarded after one experiment if necessary.They are usually made from the refractory metals, tungsten, molybdenum,and tantalum which have high melting points and low vapor pressure so asnot to contaminate the deposit. Their properties are given in Table 4.3.

Platinum, iron or nickel are sometimes used for materials whichevaporate below 1000°C. The capacity (total amount of evaporant) of suchsources is small. The hairpin and wire helix sources are used by attachingthe evaporant to the source in the form of small wire segments. Uponmelting, the evaporant must wet the filament and be held there by

Figure 4.9. Wire and metal-foil sources. (A) Hairpin source. (B) Wire helix. (C) Wirebasket. (D) Dimpled foil. (E) Dimpled foil with alumina coating (F) Canoe type.(From Handbook of Thin Film Technology. © 1970, McGraw-Hill. Used withpermission of McGraw-Hill Book Co.)

Page 173: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

176 Deposition Technologies for Films and Coatings

surface tension. This is desirable to increase the evaporation surface areaand thermal contact. Multistrand filament wire is preferred because itincreases the surface area. Maximum amount held is about 1 gram. Dimpledsources and basket boats may hold up to a few grams.

Table 4.3. Properties of Refractory Metals

Since the electrical resistance of the source is small, low voltage powersupplies, 1 to 3 kW, are recommended. The current in the source may rangefrom 20 to 500 amps. In some cases, the evaporant is electroplated onto thewire source.

The principal use of wire baskets is for the evaporation of pellets orchips of dielectric materials which either sublime or do not wet the wire onmelting. In such cases, if wetting occurs, the turns of the baskets are shortedand the temperature of the source drops.

The rate of evaporation from such sources may vary considerably dueto localized conditions of temperature variation, wetting, hot spots, etc.Therefore, for a given thickness of film, the procedure is to load the sourcewith a fixed weight of evaporant and evaporate to completion or use a ratemonitor and/or thickness monitor to obtain the desired evaporation rate andthickness.

6.3 Sublimation Sources

For materials evaporating above 1000°C, the problem of non-reactivesupports may be circumvented for materials such as Cr, Mo, Pd, V, Fe and

Page 174: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 177

Si which reach a vapor pressure of 10-2 torr before melting. Hence, they cansublime and produce a sufficiently high vapor density. The contact areabetween the evaporant and the source crucible is held to a minimum. Figure4.10 shows such a source designed by Roberts and Via.

Figure 4.10. Chromium sublimation source after Roberts and Via. The electriccurrent flows through the tantalum cylinder (heavy lines). (From Handbook of ThinFilm Technology. © 1970, McGraw-Hill. Used with permission of McGraw-Hill BookCo.)

A different type of sublimation source is used for the vaporization ofthermally stable compounds such as SiO which are commonly obtained aspowders or loose chunks. Such source material would release largequantities of gases upon heating thus causing ejection of particles of theevaporant which may get incorporated into the film. Figure 4.11 shows twosources which solve this problem by reflection of the vaporized material.

Page 175: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

178 Deposition Technologies for Films and Coatings

6.4 Evaporation Source Materials

We have already discussed the potential problems concerned with thereaction between metal sources and evaporants. Oxides and othercompounds are more stable than metals. Table 4.4 gives the thermal stabilityof refractory oxides in contact with metals. There are many metals not listedin Table 4.4 which can be evaporated from refractory oxide sources. Notethat there is no such thing as an absolutely stable oxide, nitride or othercompound. Reaction is controlled by kinetics, i.e., temperature and time.

Oxide crucibles have to be heated by radiation from metal filaments ortheir contents can be heated by induction heating. This is illustrated in Fig.4.12 and 4.13 for resistance heated sources.

Other source materials are nitrides such as boron nitride. A 50% BN-50% TiB2 is also well established as a crucible material. This material (HDAcomposite, Union Carbide) is a fairly good electrical conductor and hencecan be directly heated to evaporate materials. It can be readily machined toshape.

Pyrolytic BN and carbon are also used.

Figure 4.11. Optically dense SiO sources. (A) The Drumheller source. (B)Compartmentalized source. (After Vergara, Greenhouse and Nicholas.) (FromHandbook of Thin film Technology. © 1970, McGraw-Hill. Used with permission ofMcGraw-Hill Book Co.)

Page 176: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 179

Table 4.4. Thermal Stability of Refractory Oxides in Contact with Metals*

Figure 4.12. Oxide crucible with wire-coil heater. (From Handbook of Thin Film Technology. C 1970, McGraw-Hill. Used with permissionof McGraw-Hill Book Co.)

Page 177: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

180 Deposition Technologies for Films and Coatings

6.5 Induction Heated Sources

Figure 4.14 shows the induction heated sources using a BN-TiB2

crucible. Figure 4.15 shows an induction heated evaporation sublimationsource using a water cooled copper crucible.[19] This is suited to theevaporation of reactive metals such as Ti, Be, etc., which will react with allthe refractory oxides, nitrides, etc.

Figure 4.14. RF heated aluminum source with boron-nitride/titanium-diboridecrucible. (After Ames, Kaplan and Roland). (From Handbook of Thin FilmTechnology. © 1970, McGraw-Hill. Used with permission of McGraw-Hill Book Co.)

Figure 4.13. DaSilva crucible source. (From Handbook of Thin Film Technology.© 1970, McGraw-Hill. Used with permission of McGraw-Hill Book Co.)

Page 178: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 181

6.6 Electron Beam Heated Sources

Electron beam heated sources have two major benefits. One is a veryhigh power density and hence a wide range of control over evaporation ratesfrom very low to very high. Two, the evaporant is contained in a water-cooledcopper hearth thus eliminating the problem of crucible contamination.

The evaporation rate for pure metals like Al, Au, Ag, which are goodthermal conductors, from water-cooled copper crucibles decreases due toheat loss to the crucible walls. In such cases, crucible liners of carbon andother refractory materials are used.

Any gun system must consist of at least two elements—a cathode andanode. In addition, it is necessary to contain these in a vacuum chamber inorder to produce and control the flow of electrons, since they are easily

Figure 4.15. Schematic representation of the distillation setup.

Page 179: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

182 Deposition Technologies for Films and Coatings

scattered by gas molecules. A potential difference is maintained betweenthe cathode and the anode. This varies from as little as a few kilovolts tohundreds of kilovolts. In melting systems, a normal operational range is ofthe order of 10 - 40 kV. In the simple diode system, the cathode emitselectrons, which are then accelerated to the anode across the potential drop.Where the anode is the workpiece to be heated, this is termed a work-accelerated gun. It is shown schematically in Fig. 4.16a. In a self-accelerated gun structure, an anode is located fairly close to the cathode,electrons leave the cathode surface, are accelerated by the potentialdifference between the cathode and anode, pass through the hole in theanode and continue onward to strike the workpiece. Self-accelerated gunshave become the more common type in use and offer more flexibility than thework-accelerated gun.

Figure 4.16. Simple electron beam guns. (a) Work-accelerated gun. (b) Self-accelerated gun.

Page 180: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 183

Electron beam guns may be further subdivided into two types dependingon the source of electrons: (i) thermionic gun and (ii) plasma gun.

Thermionic Gun: In thermionic guns, the source of electrons is aheated wire or disc of a high temperature metal or alloy, usually tungsten ortantalum. Such guns have the limitation of a minimum operating gaspressure of about 1 x 10-3 torr. Higher pressures cause scattering of theelectron beam as well as a pronounced shortening of the cathode life (if it isa wire or filament) due to erosion by ion bombardment. Figure 4.17 showsexamples of thermionic electron beam heated work-accelerated sources.The close cathode gun shown in Fig. 4.17A is not a desirable configurationsince molten droplet ejection from the pool impinging on the cathode willterminate the life of the cathode due to low melting alloy formation. Thuscathodes are hidden from direct line-of-sight of the molten pool and theelectron beam is bent by electrostatic fields (Figs. 4.17B and 4.17C) ormagnetic field (Figs. 4.18 and 4.19) generated by electromagnets. The latteris a preferred arrangement since variation of the X and Y components of themagnetic field can be used to scan the position of the beam on the moltenpool surface.

Figure 4.17. Work-accelerated electron-bombardment sources. (A) Pendant-dropmethod. (B) Shielded filament (Unvala). (C) Shielded filament (Chopra andRandlett). (From Handbook of Thin Film Technology. © 1970, McGraw-Hill. Usedwith permission of McGraw-Hill Book Co.)

Page 181: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

184 Deposition Technologies for Films and Coatings

Figure 4.19. Transverse electron beam gun.

Figure 4.18. Bent-beam electron gun with water-cooled evaporant support. (Withpermission of Temescal Metallurgical Co., Berkeley, CA). (From Handbook of ThinFilm Technology. © 1970, McGraw-Hill. Used with permission of McGraw-Hill BookCo.)

Page 182: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 185

Figures 4.17, 4.18 and 4.19 show linear cathodes (i.e., wires or rods)and are referred to as transverse linear cathode guns. Figure 4.20 shows adisc cathode which is characteristic of a high power Pierce type electronbeam gun. Low power Pierce type guns may have a hair pin filament or a wireloop as the cathode. In either case the beam geometry of the Pierce gun isdifferent than that of the transverse linear cathode guns. In some instances,the electron emitter assembly is located at a distance from the crucible in aseparately pumped chamber to keep the pressure below 1 x 10-3 torr, witha small orifice between the emitter chamber and the crucible chamber for thepassage of electrons.

Figure 4.20. Schematic representation of a Pierce gun.

Page 183: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

186 Deposition Technologies for Films and Coatings

Plasma Electron Beam Gun: A plasma is defined as a region of high-temperature gas containing large numbers of free electrons and ions. By aproper application of electrical potential, electrons can be extracted from theplasma to provide a useful energy beam similar to that obtained fromthermionic guns. There are two types of plasma e-beam guns:

(a) The Cold Cathode Plasma Electron Beam—The plasmaelectron beam gun has a cylindrical cathode cavity made froma metal mesh or sheet (Fig. 4.21) containing the ionizedplasma from which electrons are extracted through a smallaperture in one end. The cathode is maintained at a negativepotential, e.g., -5 to -20 kV, relative to the workpiece andremainder of the system, which are at ground potential. Afterevacuation of the system, a low pressure of ionizable gasin the range of 10-3 to 10-1 torr is introduced. Dependingupon the high voltage level, a long path discharge between thecathode and other parts of the system will occur in the gas ata particular pressure. Ionizing collisions in the gas thenproduce positive ions which are accelerated to the cathode,causing electrons to be released from the cathode surface.Although the cathode may heat up slightly due to ionbombardment, no heating is required for electron emission.Upon proper adjustment of cathode voltage and gas pressure,a beam mode of operation is established, since interactionbetween the plasma inside and outside of the cathode and theelectric fields between cathode surface and plasma boundarywill largely confine electron emission to the end of the cathodeand its interior. In argon, a beam mode is supported at about10-2 torr with 5 - 10 kV. Beam currents range up to 3 A for a3 inch diameter cathode in argon at 20 kV. With lighter gases,e.g., helium, higher pressure to about 10-1 torr will yield abeam mode in this same voltage range. Beam current willvary with voltage and pressure control, also. More specificinformation is given by Cocca and Stauffer.[44] The beamis self-collimating because of the focusing effect of positiveions in the beam path and the electrostatic lensing action ofthe aperture since it separates regions of different potentialgradient. The beam is well collimated, having a cross sectionequal to that of the cathode aperture. Adjustment of focuscan be achieved to some extent by varying pressure and voltage,

Page 184: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 187

but external focusing may also be used if desired, withmagnetic or electrostatic lenses, as with conventional electionbeams.

(b) The Hot Hollow Cathode Discharge Beam—The hollow cathodedischarge beam applied to vacuum processing has been reportedby Morley[45] and differs in a number of respects from the plasmabeam. A schematic of the hollow cathode discharge beam isshown in Fig. 4.22. Here the cathode must be constructed of arefractory metal since it operates at elevated temperature. Anionizable gas, usually argon, is introduced into the systemthrough the tubular-shaped cathode. A pressure drop across theorifice in the cathode provides a sufficient amount of gas insidethe cathode to sustain the plasma, which generates the beam.

Figure 4.21. Cold cathode plasma electron beam gun.

Page 185: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

188 Deposition Technologies for Films and Coatings

A low voltage, high amperage DC power source is utilized.When RF power from a commercial welding starter is coupledto the gas, it becomes ionized and the plasma is formed.Continued ion bombardment of the cathode results in heatingof the cathode and increased electron emission. Ultimately, ahigh current “glow discharge” will occur, analogous to thatexperienced in vacuum arc melting at higher pressures. At thispoint, the discharge appears as a low power density beam“flowing” from the cathode aperture and fanning out in conicalshape into the chamber. However, a parallel axial magneticfield is imposed on the beam (as seen in Fig. 4.22) which thenforms a high power density, well-collimated beam. The hollowcathode discharge beam is operationally stable and efficientover the pressure range from 10-4 to 10-1 torr. A more detailed

description of physical aspects, operational characteristics,and cathode design has been given by Morley.[45]

Figure 4.22. Schematic of the hot hollow cathode electron beam gun.

Page 186: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 189

Comparisons: Thermionic as well as the plasma e-beam guns can beused equally well for evaporation. Focusing of the beam spot is easier for thethermionic guns. The plasma guns have the advantage of being able tooperate at higher pressures which can be important for gas scatteringevaporation, reactive evaporation, and ion plating.

6.7 Arc Evaporation

The definitions of arcs are:Karl T. Compton, Princeton University: “A discharge in a gas or vapor

that has a voltage drop at the cathode of the order of the minimum ionizingor exciting potential of the gas or vapor.” Lafferty: “The arc is a self sustaineddischarge capable of supporting large currents by providing its own mechanismof electron emission from the cathode.”

Berghaus[46] describes the use of arcs to form refractory compoundsby reactive evaporation. Since 1940, consumable and nonconsumablevacuum arc melting processes have been developed to melt and refinevarious reactive metals such as Ti, Hf, Zr, etc. More recently, arc techniqueshave been used to deposit metals[47][48] and refractory compounds, andeven for extraction of ions from the vacuum arc plasma for the deposition ofmetal films.[49]

Wroe[50] in 1958 and Gilmour et al.[51] suggested vacuum arcs as asource for metallic coatings. The US patents to Snaper[52][53] in 1971 and theRussian patents to Sablev[54][55] in 1974 set the stage for the commercialproduction of arc coatings which were achieved in the USSR around 1977- 1978. The first commercial use of the arc evaporation-deposition methodwas for TiN coatings deposited at low temperatures, particularly for highspeed steel cutting tools by arc evaporation of titanium in a nitrogen plasma.This follows on the heels of the Activated Reactive Evaporation (ARE)process developed in 1971 for deposition of refractory compounds such asTiN using electron beam evaporation techniques and discussed in Sec. 9.6.There is very extensive Russian literature on vacuum arc coating technologyand the reader can find a convenient source in recent reviews by Sanders[56]

and by Martin.[57]

There are two types of cathodic arc systems—pulsed and continuous.In the pulsed devices, the arc is repeatedly ignited and extinguished usinga capacitor blank to supply the arc power.[51] Pulsed arcs have theadvantage of letting the target cool between the pulses. The disadvantageis the decrease in steady state coating rates.

Page 187: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

190 Deposition Technologies for Films and Coatings

The continuous cathodic arc can be random in nature or controlled. Bythe use of an insulating ring, a random arc source can be constrained at theedge of the target, but allowed random motion within that constraint. Randomarc sources have the advantage of simplicity and excellent target utilizationbecause the entire target (except near the very edge) is utilized in the arc ofvery large parts. The main disadvantage of random arcs is the formation ofmacroparticles which may cause the resulting coating to be unsuitable insome applications. Figure 4.23 shows that macroparticles are ejected atsmall angles with respect to the target surface, and can therefore beminimized using appropriate shielding. Such a strategy has made possiblearc-produced decorative coatings where surface finish and optical specularityare of concern.

Figure 4.23. Phenomena occurring at a discrete cathodic arc spot.

Page 188: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 191

Magnetic fields can be used to control the trajectories of the arcs.These fields can be used to discourage the arc from leaving the desiredportion of the target surface or can actually be used to define a well controlledpath for the arc to follow in the so called “steered arc” devices. While themechanism is still the subject of some debate, it is clear, at least in the caseof ceramic coatings based on refractory metals, that steered arcs canproduce coatings having extremely low or no measurable macroparticlecomponent.

Macroparticles can also be removed by the use of suitable filters asdiscussed by Sanders[56] and by Martin.[58] This is the so-called “filtered arcevaporation process.“ Other strategies for macroparticles involve theproduction of diffuse arcs. In one case, the cathode is contained in a cruciblewhich is allowed to heat up to a temperature where the target material hasa substantial vapor pressure.[59] This causes a decrease in the arc voltageand current density, the discharge becomes diffuse and macroparticles nolonger form. The other approach is the so-called "anodic arc,“[60]-[62] (seeFig. 4.24). In this process the cathode initially supplies electrons as well asions until the anode heats up. At this point, with sufficient electron emission,a diffuse arc forms on the hot anode target material which supplies the ionsnecessary to sustain the discharge. The cathode material is not evaporatedand the coating material now emanates from the anode. There are nomacroparticles formed. High deposition rates (several µm per minute) areobtained for a variety of metals including Al, Ti, V, Ca, Mn, Fe, Ni, Cu, Pd, Ag,Au and Pt.[60] Since the substrate is left relatively cool, the process makesit possible to produce adherent coatings on plastics at temperatures lessthan 70°C which makes this relatively new process a competitor for sputterdeposition. Alloy coatings such as stainless steel can be readily depositedwith good stoichiometric transfer. For example, Ni, Al, and stainless steelcoatings less than 1 µm thickness impart excellent corrosion protection toiron.[63]

One of the main advantages of arc deposition processes is therelatively high level of ionizing atoms in the plasma. This makes it convenientto extract ion beams from the plasma and deposit macroparticle free coatingsentirely from the ion beam.[56][58]

Page 189: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

192 Deposition Technologies for Films and Coatings

Figure 4.24. Schematic of the anodic arc evaporation process.

7.0 LASER INDUCED EVAPORATION/LASER ABLATION/PULSEDLASER DEPOSITION (PLD)

This technique with many names was first used by Smith and Turner[64]

in 1965 to deposit thin films in a vacuum chamber using a pulsed ruby laser.Systematic studies in the 1970’s were performed to provide a betterunderstanding of the physics of laser-solid interactions and the relatedissues of deposition mechanisms and film quality. More recently the processhas been extensively used for growing highly crystalline dielectric films,[65]

compound semiconductor epitaxial layers, layers for band-gapengineering,[66][67] and very extensively for high Tc superconductingfilms.[68][69] The reader is referred to an excellent review by Cheung andSankur.[70]

Page 190: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 193

In this technique, material is vaporized and ejected from the surfaceof a target as it irradiated by a laser beam. Films are formed by condensingthe material ablated from the target onto a solid substrate. Absorptioncharacteristics of the material to be evaporated determine the laser wavelengthto be used. To obtain the high power density required in many cases, pulsedlaser beams are generally employed. Pulse width, repetition rate, and pulseintensity are selected for specific applications.

In some studies on YBCO film deposition, the laser version of aplasma-assisted reactive evaporation process was used. Oxygen was bledinto the system and a plasma was created in the target-substrate space bythe use of a positively biased electrode placed some distance above thetarget. This is the ARE process geometry developed earlier and describedin Sec. 9.6

Although laser evaporation is an attractive approach for synthesis ofhigh purity metal alloys and compound films, it suffers from the followinglimitations:

1. Complex transmitting and focusing systems need to beemployed to direct the beam from the laser located outside thevacuum system onto the evaporant placed inside the system.This involves special optical path designs and increases thecost of the set-up. Also, a window material which efficientlytransmits the wavelength band of the laser must be found andmounted in such a way that it is not rapidly covered up by theevaporant flux.

2. It is not always possible to find a laser with wavelengthcompatible with the absorption characteristics of the materialto be evaporated.

3. Energy conversion efficiency is very low—usually around 1 to2%.

4. The size of the deposited film is small (10 to 20 mm, or 0.4 to0.8 in., diameter), resulting from the small size of the laserimpact spot.

5. The “splashing effect,”[69] which involves the production ofmicroparticles between 0.1 and 10 µm in size, diminishes filmquality.

The main advantages of this technique are:

1. the production of high-energy species which enhances filmquality.

Page 191: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

194 Deposition Technologies for Films and Coatings

2. Excellent transfer of stoichiometry between the target and thefilm, e.g., the deposition of hydroxyl apatite thin films forbiomedical applications such as implants.

The macroparticle density can be decreased by lowering the powerlevel at the expense of deposition rate. The latter may not be important formany thin film applications.

The question of large area deposition has been recently addressed byGreer.[71] He has constructed a vacuum deposition system in which the laserbeam is scanned on a rotating YBCO target and the substrate is itself rotated.This rather complex apparatus is capable of depositing YBCO films onto twoor three inch diameter substrates.

8.0 DEPOSITION RATE MONITORS AND PROCESS CONTROL

The properties of deposits are dependent on the control exercisedduring the process. The thinner the deposit, the more critical is the controlof the operation.

8.1 Monitoring of the Vapor Stream

Ionization Gauge Rate Monitor: This device is very similar to a hotcathode ionization gauge and monitors the atom density in the vapor phaseby ionizing the vapors, collecting and measuring the ion current. Severalarrangements are shown in Fig. 4.25.

Particle Impingement Rate Monitors: The gauge which is a cylindersuspended by a wire or riding on a bearing is imparted a momentum by theimpinging particles which can be measured by the torsional forces. They areillustrated in Fig. 4.26.

Ion Current Monitor for Electron Beam Heated Source: An electronbeam heated molten pool has a plasma sheath above it. Positive ions fromthe plasma follow a very similar trajectory as the electrons with a slightlylarger radius of curvature, due to their higher mass, and are beamed awayfrom the molten pool by the same magnetic field which bends the electronstowards the pool. Therefore an ion collector can be placed so as to interceptthis ion beam and the resultant ion current can be used in a feed-back loopto control the evaporation rate. Two manufacturers of electron beam gunshave offered this option.

Page 192: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 195

Spectroscopic Methods: Monitoring and control of the depositionrate can be done on the basis of mass spectrometry, atomic absorptionspectrometry and electron emission impact spectrometry. Each of theminvolves the choice of an appropriate materials-selective sensor. Theprinciples, advantages and limitations of each of these are presented in agood review paper by Lu in Thin Solid Films 45:487 (1977). The reader isreferred to this paper and the references cited therein.

Figure 4.25. Ionization rate monitor designs and arrangements. (A) After Schwarz.(B) After Giedd and Perkins. (C) After Perkins. (D) After Dufour and Zega. (FromHandbook of Thin Film Technology. © 1970, McGraw-Hill, Used with permissionof McGraw-Hill Book Co.)

Page 193: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

196 Deposition Technologies for Films and Coatings

8.2 Monitoring of Deposited Mass

Microbalances: There are various types of devices which measure achange in mass due to condensed atoms based on elongation of a thinquartz-fiber helix, the tension of a wire or the deflection of a pivot-mountedbeam. Examples are shown in Figs. 4.27 and 4.28.

Crystal Oscillators: The crystal oscillator monitor utilizes thepiezoelectric properties of quartz. The resonance frequency induced by anAC field is inversely proportional to crystal thickness. In practice, the changein frequency of a crystal exposed to the vapor beam is compared to that ofreference crystal. An example is shown in Fig. 4.29.

8.3 Monitoring of Specific Film Properties

In preparing thin films, often only one property is of interest, e.g.,optical or electrical.

Optical Monitors: They measure phenomena such as lightabsorbence, transmittance, reflectance or related interference effects duringfilm deposition. An example is shown in Fig. 4.30.

Resistance Monitors: The film thickness can be continuouslymonitored using in situ resistance measurements as shown in Fig. 4.31.

Figure 4.26. Particle-impingement-rate monitors. (A) Torsion-wire device. (AfterNeugebaur.) (B) Pivot-supported device. (After Beavitt.) (From Handbook of ThinFilm Technology. © 1970, McGraw-Hill. Used with permission of McGraw-Hill BookCo.)

Page 194: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 197

Figure 4.28. Microbalance with torsion-fiber suspension and electromagneticforce compensation at beam end (Mayer et al.) (From Handbook of Thin FilmTechnology. © 1970, McGraw-Hill. Used with permission of McGraw-Hill Book Co.)

Figure 4.27. (A) Schematic drawing and (B) circuit diagram of a microbalanceconstructed from a micro-ammeter movement. (Hayes and Roberts.) (FromHandbook of Thin Film Technology. © 1970, McGraw-Hill. Used with permissionof McGraw-Hill Book Co.)

Page 195: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

198 Deposition Technologies for Films and Coatings

Figure 4.29. Oscillator crystal holders for deposition monitoring. (A) After Behrndtand Love. (B) After Pulker. (From Handbook of Thin Film Technology. © 1970,McGraw-Hill. Used with permission of McGraw-Hill Book Co.)

Figure 4.30. Schematic of an RF sputtering system (after Davidse and Maissel) withoptical-thickness monitor. (From Handbook of Thin Film Technology. © 1970,McGraw-Hill. Used with permission of McGraw-Hill Book Co.)

Page 196: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 199

8.4 Evaporation Process Control

Thickness Control: Usually monitoring of an evaporation process iscombined with means to control film deposition. Frequently, the onlyrequirement is to terminate the process when the thickness or a thickness-related property has reached a certain value. The simplest way is toevaporate a weighed amount of source material to completion. Knowing theemission characteristics of the source will allow the film thickness to becalculated. Alternately, monitoring devices discussed earlier can be calibratedto measure thickness directly.

Rate Control: Rate control is a more complex task and involvesmeasuring the signal from a rate monitor and using it in a feedback loop tocontrol the power to the source and hence its temperature and evaporationrate. Table 4.5 illustrates the pros and cons of various evaporation processcontrol methods.

Figure 4.31. Wheatstone-bridge circuit for resistance monitoring. (From Handbookof Thin Film Technology. © 1970, McGraw-Hill. Used with permission of McGraw-Hill Book Co.)

Page 197: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

200D

epo

sition

Tech

no

log

ies for F

ilms an

d C

oatin

gs

Table 4.5. Evaporation Process Control

From Handbook of Thin Film Technology. Copyright © 1970, McGraw-Hill. Used with permission of McGraw-Hill Book Company.

Page 198: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 201

9.0 DEPOSITION OF VARIOUS MATERIALS

The family of materials which are deposited by evaporation includemetals, semiconductors, alloys, intermetallic compounds, refractorycompounds (i.e., oxides, carbides, nitrides, borides, etc.) and mixturesthereof. An important point is that the source material should be pure and freeof gases and/or inclusions to forestall the problem of molten droplet ejectionfrom the pool commonly called spitting.

Let us consider each of the materials.

9.1 Deposition of Metals and Elemental Semiconductors

Evaporation of single elements can be carried out from a variety ofevaporation sources subject to the restrictions discussed above dealing withmelting point, reactions with container, deposition rate, etc. A typicalarrangement is shown in Fig. 4.1 for electron beam heating. As discussedabove, either heating method can also be used. These are the simplestmaterials to evaporate. Fortunately, at this time, it is estimated that 90% ofall the material evaporated is aluminum!

9.2 Deposition of Alloys

Alloys consist of two or more components, which have different vaporpressures and hence different evaporation rates. As a result, the compositionof the vapor phase, and therefore the deposit, has a constantly varyingcomposition. There are two solutions to this problem—multiple sources andsingle rod-fed or wire-fed electron beam sources.

Multiple Sources: This is the more versatile system. The number ofsources evaporating simultaneously is equal to or less than the number ofconstituents in the alloy. The material evaporated from each source can bea metal, alloy or compound. Thus, it is possible to synthesize a dispersion-strengthened alloy, e.g., Ni-ThO2. On the other hand, the process is complexbecause the evaporation rate from each source has to be monitored andcontrolled separately. The source-to-substrate distance has to be sufficientlylarge (15 inches for 2 inch diameter sources) to have complete blending ofthe vapor streams prior to deposition, which decreases the deposition rate(See Fig. 4.32). Moreover, with gross difference in density of two vapors, itmay be difficult to obtain a uniform composition across the width of thesubstrate due to scattering of the lighter vapor atoms. Some examples aregiven in Table 4.6.

Page 199: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

202 Deposition Technologies for Films and Coatings

It is possible to evaporate each component sequentially, thus producinga multi-layered deposit which is then homogenized by annealing afterdeposition. This procedure makes it even more difficult to get highdeposition rates. A multiple source arrangement for production of alloydeposits at high rates is not known.

Single Rod-Fed Electron Beam Source: The disadvantages ofmultiple sources for alloy deposition can be avoided by using a singlesource.[72][73] It can be a wire-fed or rod-fed source; the latter is shown inFig. 4.33. There is a molten pool of limited depth above the solid rod. If thecomponents of an alloy, A1B10, have different equilibrium vapor pressures,then the steady-state composition of the molten pool will differ from the feedrod, e.g., A1B10. Under steady-state conditions, the composition of thevapor is the same as that of the solid being fed into the molten pool. Onehas the choice of starting with a button of appropriate composition A1B10 ontop of a rod A1B1 to form the molten pool initially or one can start with a rodof alloy A1B1 and evaporate until the molten pool reaches compositionsA1B10. Precautions to be observed are that the temperature and volume ofthe molten pool have to be constant to obtain a constant vapor composition.A theoretical model has been developed and confirmed by experiment. Ni-20Cr, Ti-6Al, Ag-5Cu, Ag-10Cu, Ag-20Cu, Ag-30Cu, Ni-xCr-yAl-xY alloydeposits have been successfully prepared. To date, experimental resultsindicate that this method can be used with vapor pressure differences of afactor of 5,000 between the components. This method cannot be usedwhere one of the alloy constituents is a compound, e.g., Ni-ThO2.

Figure 4.32. Two-source evaporation arrangement yielding variable film composition.(From Handbook of Thin Film Technology. © 1970, McGraw-Hill. Used withpermission of McGraw-Hill Book Co.)

Page 200: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evap

oratio

n203

Table 4.6. Two-Source Evaporation, Experimental Conditions, and Types of Films Obtained

Page 201: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

204D

epo

sition

Tech

no

log

ies for F

ilms an

d C

oatin

gs

Table 4.6. (Cont'd)

Page 202: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 205

In a recent paper, Shevakin et al.[74] investigated the relationshipbetween the composition of the evaporant material and the condensates foralloy evaporation using electron beam evaporation techniques. They usedthis method to determine thermodynamic activities of the components ofbinary alloys at temperatures above the melting point of the alloy.

9.3 Deposition of Intermetallic Compounds

Intermetallic compounds which are generally deposited such asGaAs, PbTe, InSb, etc. have as their constituents elements with low meltingpoints and high vapor pressures. These compound semiconductors need tohave a carefully controlled stoichiometry, i.e., cation:anion ratio. Therefore,they can best be prepared by flash evaporation or sputtering.

Figure 4.33. Schematic of direct evaporation of an alloy from a single rod-fedsource.

Page 203: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

206 Deposition Technologies for Films and Coatings

In flash evaporation, powder or chips of the two components aresprinkled onto a superheated sheet to produce complete evaporation of bothcomponents. Various possible arrangements are shown in Fig. 4.34. Table4.7 gives examples of the use of this technique.

Figure 4.34. Flash-evaporation mechanisms. (A) Belt feeder. (Harris and Siegel.)(B) Worm-drive feeder with mechanical vibrator. (Himes, Stout, and Thun, Braunand Lood.) (C) Disk feeder (Beam and Takahashi.) (D) Disk magazine feeder.(Marshall, Atlas, and Putner.) (E) Mechanically vibrated trough and cylinder source.(Richards.) (F) Electromagnetically vibrated powder dispenser. (Campbell andHendry.) (From Handbook of Thin Film Technology. © 1970, McGraw-Hill. Usedwith permission of McGraw-Hill Book Co.)

Page 204: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evap

oratio

n207

Table 4.7. Flash Evaporation of Materials

Page 205: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

208D

epo

sition

Tech

no

log

ies for F

ilms an

d C

oatin

gs

Table 4.7. (Cont'd)

Page 206: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 209

9.4 Deposition of Refractory Compounds

Refractory compounds are substances like oxides, carbides, nitrides,borides, and sulfides which characteristically have a very high melting point(with some exceptions). In some cases, they form extensive defect structure,i.e., exist over a wide stoichiometric range. For example, in TiC, the C:Ti ratiocan vary from 0.5 to 1.0, demonstrating vacant carbon lattice sites. In othercompounds, the stoichiometric range is not so wide.

Evaporation processes for the deposition of refractory compounds arefurther subdivided into two types: (i) Direction Evaporation[75] where theevaporant is the refractory compound itself; and (ii) Reactive Evaporation[76]

or Activated Reactive Evaporation (ARE)[77] where the evaporant is a metalor a low-valence compound, e.g., where Ti is evaporated in the presence ofN2 to form TiN or where Si or SiO is evaporated in the presence of O2 to formSiO2.

Direct Evaporation: Table 4.8 gives the experimental conditions forthe direct evaporation of refractory compounds. Evaporation can occur withor without dissociation of the compound into fragments. As seen from Table4.8, the observed vapor specie show that very few compounds evaporatewithout dissociation. Examples are SiO, MgF2, B2O3, CaF2 and other GroupIV divalent oxides (SiO homologs like GeO and SnO).

In the more general case, when a compound is evaporated orsputtered, the material is not transformed to the vapor state as compoundmolecules but as fragments thereof. Subsequently, the fragments have torecombine, most probably on the substrate, to reconstitute the compound.Therefore, the stoichiometry (anion:cation ratio) of the deposit depends onseveral factors including the deposition rate and the ratios of the variousmolecular fragments, the impingement of other gases present in theenvironment, the surface mobility of the fragments (which in turn depends ontheir kinetic energy and substrate temperature), the mean residence time ofthe fragments of the substrate, the reaction rate of the fragments on thesubstrate to reconstitute the compound, and the impurities present on thesubstrate. For example, it was found that direct evaporation of Al2O3 resultedin a deposit which was deficient in oxygen, i.e., which had the composition[78]

Al2O3-x. This O2 deficiency could be made up by introducing O2 at a lowpartial pressure into the environment. In other cases, for example the directevaporation of TiB2 and ZrB2, the deposit contains both the monoboride anddiboride phases.[79]

Page 207: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

210 Deposition Technologies for Films and Coatings

Table 4.8. Direct Evaporation of Inorganic Compounds

Page 208: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 211

Table 4.8. (Cont'd)

Page 209: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

212 Deposition Technologies for Films and Coatings

Table 4.8. (Cont'd)

Page 210: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 213

9.5 Reactive Evaporation Process

The difficulties involved in direct evaporation processes due tofragmentation of the vaporized compounds are overcome in reactiveevaporation where a metal is evaporated in the presence of the reactive gas;the compound is formed by reaction of the evaporated metal species with themolecules of the reactive gas. Though this technique has been extensivelyused to deposit a variety of oxide films for optical applications, it is generallyobserved that the films are deficient in oxygen. It is also observed in somecases, especially in the synthesis of carbide films, that the deposition ratebecomes a limiting factor governing the growth of the films. In such cases,stoichiometric TiC films could only be deposited at very low rates (~1.5 Å/secmax).[80] This limitation of deposition rate in the case of the reactiveevaporation process is due to the reaction kinetics of the compoundformation by this process. The presence of a “plasma” in the ARE processinfluences the reaction kinetics by providing activation energy to the reactivespecies, thereby making it possible to synthesize compound films atconsiderably higher rates[82]-[84] and lower temperatures.

9.6 Activated Reactive Evaporation (ARE)

The ARE process generally involves evaporation of a metal or an alloyin the presence of the plasma of a reactive gas.[81][82] For example, TiC andTiN coatings are deposited by this process by evaporating Ti in the presenceof C2H2 and N2 plasma respectively. The two basic variants of the AREprocess are shown in Figs. 4.35, 4.36. For more information on the AREprocess, please refer to a review by Bunshah and Deshpandey.[83] The roleof the plasma in this process is two-fold:

1. To enhance the reactions that are necessary for deposition ofcompound films.

2. To modify the growth kinetics and hence the structure/morphology of the deposits.

In the following section we discuss the above two aspects.Thermodynamic and Kinetic Considerations In Plasma Assisted

Deposition Processes. For the formation of a compound by any chemicalreaction, the corresponding thermodynamic and kinetic constraints must besatisfied which also apply to the deposition of refractory compound films byreactive evaporation. In order to understand the role of plasma in enhancingthe chemical reactions essential for the formation of a particular compound,one has therefore to consider the kinetics of these reactions.

Page 211: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

214 Deposition Technologies for Films and Coatings

Let us consider the reactions involved in the synthesis of some oxides,carbides, and nitrides by reactive evaporation. Given below are the reactionsfor forming Al2O3, TiC, and TiN.

2Al + 3/2O2 → Al2O3 ∆G° = -250 kcal (mol O2)-1 at 298 K

2Ti + C2H2 → 2TiC + H2 ∆G° = -7.65 kcal (mol C2H2)-1 at 298 K

2Ti + N2 → 2TiN ∆G° = -73.5 kcal (mol N2)-1at 298 K

Figure 4.35. Schematic of the Activated Reactive Evaporation Process.

Page 212: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 215

As can be seen from the above reactions, the thermodynamic criterionof free energy of formation is satisfied for the respective compounds.

The reaction kinetics in reactive evaporation process can be treatedin exactly the same manner as for reactions occurring in heterogeneoussystems of condensed phases. The model for heterogeneous metallurgicalkinetics involves: (i) transport of reactant to the reaction interface; (ii)transport of reaction products away from the reaction interface; (iii) thechemical reaction at the chemical interface; (iv) the nucleation of new phase;and (v) heat transfer to or away from the reaction interface.

For reactive evaporation, this model may be depicted as follows (e.g.,for TiC formation):

Figure 4.36. The Activated Reactive Evaporation (ARE) Process[182] usingresistance-heated evaporation source.

Page 213: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

216 Deposition Technologies for Films and Coatings

Reactants Products

Ti (metal atoms) TiC (deposit)C2H2 (gas) H2 (gas)

ReactionInterface

On the basis of the above model, the rate-controlling steps in thereactive evaporation process are: (i) adequate supply of reactants; (ii)adequate collision frequency; (iii) the rate of chemical reactions at theinterface; and (iv) the rate of removal of the reaction products from theinterface.

It is easy to satisfy (i), (ii), and (iv) above for a reactive evaporationprocess. However, condition (iii), i.e., the rate of reaction, becomes the rategoverning step. The “plasma” in the ARE process influences this step, i.e.,the rate of reaction, by providing the necessary activation energy to thereactive species. The effect of plasma on rate of reaction can be clearlydemonstrated by considering the results of Abe et al.[80] and Bunshah andRaghuram[84] on deposition of TiC coatings. Abe et al. found that titaniumcarbide with a carbon-to-titanium ratio of 1 could be formed by a reactionbetween Ti and C2H2 or C2H4 molecules on a substrate at 300 - 500°C onlyif the deposition rate is 1 to 1.5 Å/sec. At higher deposition rates, no TiC wasformed. Clearly the activation barrier could not be overcome at the higherdeposition rates. Bunshah and Raghuram[84][85] have similarly reported thatthe deposition of TiC by reactive evaporation at higher deposition rates (150- 200 Å/sec) required a very high substrate temperature, exceeding 1000°C.However, in the presence of plasma, these authors reported that it waspossible to deposit TiC at a high rate at a relatively low substrate temperature.The plasma imparts sufficient energy to the reacting species to overcome theactivation energy barrier, and hence condition (iii), i.e., the rate of reaction,no longer remains the rate-governing step.

Basic Variants of the ARE Process. The two basic variants of theARE process are activated reactive evaporation with an electron-beamevaporation source[82] and the ARE processes with a resistance-heatedsource.[86]

1. ARE processes with an electron-beam-heated evaporationsource are illustrated in Fig. 4.35. In this process, the metal isheated and melted by a high-acceleration-voltage electronbeam that produces a thin plasma sheath on top of the melt.The low energy secondary electrons from the plasma sheath

Page 214: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 217

are pulled upwards into the reaction zone by an electrodeplaced above the pool biased to a low positive DC or ACpotential (20 to 100 V), thus creating a plasma-filled regionbetween the electrode and the electron-beam gun. The low-energy electrons have a high ionization cross section, thusionizing or activating the metal and gas atoms and increasingthe reaction probability on collision. Charge-exchangeprocesses between positive ions and neutral atoms take placein the plasma. In addition, as suggested by Yee,[87] transienthighly excited compound species are formed. The formationof the compound is completed most probably on the substratefrom these energetic and excited transient species. Thesynthesis of TiC by reaction of Ti metal vapor and C2H2 gasatoms with a carbon-to-metal ratio approaching unity wasachieved with this process.[82][84] Moreover, by varying thepartial pressure of either reactant, the carbon-to-metal ratio ofcarbides could be varied[84] at will. The ARE process has alsobeen applied to the synthesis of all five different Ti-O oxides.[88]

These authors noted that in the ARE process (i.e., with aplasma) as compared to the RE process (i.e., without aplasma), a higher oxide is formed for the same partial pressureof O2, thus demonstrating a better utilization of the gas in thepresence of a plasma. The same observation was noted byBunshah and Raghuram,[84] as well as by Granier andBesson,[89] for the deposition of nitrides.

2. A variation of the ARE process uses a resistance-heatedevaporation source. The basic ARE process uses electron-beam-heated sources, which are expensive and inconvenientfor the evaporation of low-melting-point high-vapor-pressurematerials. Nath and Bunshah[86] modified the ARE process forresistance-heated sources, as shown in Fig. 4.36. The metalvapors are generated from the chamber; the reaction isenhanced by a plasma generated by injecting low energyelectrons from a heated thoriated tungsten emitter towards alow-voltage anode assembly. A transverse magnetic field isapplied to cause the electrons to go into a spiral path, thusincreasing the probability of electron/atom collision andsubsequent ionization.

Page 215: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

218 Deposition Technologies for Films and Coatings

Modifications of the Basic ARE Process. The ARE process hassubstantial versatility since the substrate can be grounded, positively ornegatively biased, or it can be allowed to float electrically. There are severalmodifications of the basic ARE process, as illustrated in Fig. 4.37.

1. The Enhanced ARE Process.[90] This is the conventional AREprocess using electron-beam heating with the addition of athermionic electron emitter (e.g., a tungsten filament) for thedeposition of refractory compounds at lower deposition ratesas compared to the basic ARE process. The low-energyelectrons from the emitter sustain the discharge, which wouldotherwise be extinguished since the primary electron beam(used to melt the metal) is so weak that it does not generate anadequate plasma sheath above the molten pool from which lowenergy electrons can be extracted by positively biasedinterspace electrode. The substrate may be biased, groundedor floating.

2. Low-Pressure Plasma Deposition (LPPD) Process. Usingelectron-beam evaporation sources, the electric field may begenerated by biasing the substrate positively instead of usinga positively biased interspace electrode. In this case, it is calledlow-pressure plasma deposition (LPPD) by Nakamura et al.[91]

However, this version has a disadvantage over the basic AREprocess since one does not have the freedom of choice toground the substrate, let it float, or bias it negatively (the BAREprocess—see #4 below).

3. ARE Using Plasma Electron-Beam Guns. The plasma electron-beam gun, instead of the thermionic electron-beam gun, canbe used to carry out the ARE process. The hot hollow cathodegun has been used by Komiya et al.[92] to deposit TiC films,whereas Zega et al.[93] used a cold cathode discharge electron-beam gun to deposit titanium nitride films. The plasma e-beamsources produce an abundant supply of low-energy electronsfor the ARE-type process.

4. Reactive Ion Plating (RIP) Processes. If the substrate is biasedin the ARE process, it is called biased activated reactiveevaporation (BARE). This bias is usually negative to attract thepositive ions in the plasma. The BARE process has beenreinvented and called reactive ion plating by Kobayashi

Page 216: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 219

and Doi.[94] Reactive ion plating (RIP) is very similar to thereactive evaporation process in that metal atoms and reactivegases react to form a compound aided by the presence of aplasma. Since the partial pressure of the gases in reactive ionplating are much higher (> 10-2 torr) than in the ARE process(> 10-4 torr), the deposits can become porous or sooty. Theplasma cannot be supported by lower pressure in the simplediode ion plating process; therefore, Kobayashi and Doi[94]

introduced an auxiliary electrode biased to a positive low

Figure 4.37. Basic “ARE” process and later variations.

Page 217: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

220 Deposition Technologies for Films and Coatings

voltage (as originally conceived for the ARE process) toinitiate and sustain the plasma at low pressure (~10-3 torr).This is no different than the ARE process with a negative biason the substrate reported[81] much earlier by Bunshah, whichwas designated by him as the biased ARE (or BARE) process.

5. Another variation of reactive ion plating using a triodeconfiguration[95] involves injection of electrons into the reactionzone between the electron-beam-heated evaporation sourceand the negatively biased substrate from a heated tungstenfilament transversely to the metal vapor path. These low-energy electrons are pulled across the reaction zone by apositively biased anode located opposite to the cathode. Thearrangement is very similar to that shown in Fig. 4.27 except forthe use of an electron-beam-heated evaporation source, andis also very similar to the triode sputtering. This adds versatilityas well as complexity to the process through the addition ofanother process variable.

6. Murayama[96] uses an electron-beam-heated source with anegatively biased substrate and RF activation of the reactantsby means of a coil electrode of aluminum wire in the reactionzone to deposit oxide and nitride films.

7. ARE Process Using an Arc Evaporation Source. Evaporationof metals using a low-voltage arc in the presence of a plasmaand a negatively biased substrate is used by Snaper[52][53] andDorodnov[97] to deposit nitride and carbide films, with N2 andhydrocarbon reactive gases, respectively.

Recent Developments in the ARE Process. In the last few years,new techniques based on ARE are being developed for synthesis of noveland unique materials. The emphasis of such developments is generally ontwo aspects: i) new approaches to produce the vapor species, and ii) newplasma excitation and confinement techniques and development of modifiedplasma excitation geometries.

New Approaches to Produce the Various Species. The basicprocess involves evaporation of the constituent metal alloy or compoundusing e-beam or resistance/induction heated sources. However, it isdifficult to use this approach with certain materials such as boron andcarbon. Two possible solutions can be used to overcome these difficulties:i) use a low melting point compound of the respective element, and ii) use

Page 218: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 221

a pulsed laser beam where the pulse rate and pulse width can be appropriatelyadjusted to control the rate of material generation and fragmentation.Moreover, in many cases, the energy of the laser beam can also be used assource for plasma excitation.

Both of these approaches have been explored. A process developedby Bunshah et. al.[98] for the synthesis of cubic boron nitride involves boricacid as an reactant, which can be easily evaporated from a resistance-heated tungsten boat. In addition to the ease of evaporation, this processalso excludes the toxicity problems associated with fine boron particleswhich can be produced during e-beam evaporation of boron. A similarapproach can be extended to evaporation of carbon using a low melting pointcarbon compound such as adamantine. It is likely that many new materialshitherto difficult to synthesize may possibly be deposited using this routine.Moreover, this novel approach may contribute to further development inreactive MBE processes and other vapor deposition processes involvingorganometallic compound reactants.

The use of pulsed laser beams in an ARE type of process has beendemonstrated in recent literature on high Tc superconducting films. Filmswith high Tc (90 K) and high critical current density (0.7 x l06A·cm-2 at 77 K)have been produced.[99] It is claimed that pulsing of the laser beam avoidsfractionation of the compound and hence good control of film stoichiometryis achieved. It is also suggested that the photon energy is sufficient toactivate the reactive gas/metal species thereby increasing their reactivity,leading to increase in oxygen concentration in the deposited films.

New Plasma Excitation Modes and Geometries. As discussedearlier, the attributes of the ARE processes are due to the possibility ofcontrolling the plasma parameters independently of the deposition process.However, improvement in excitation and confinement of the plasma, as wellas control and optimization of plasma parameters in the ARE processes, arelikely to enhance the process capabilities. Recent developments include (i)the use of inductively coupled RF with parallel plate RF geometries, and (ii)the use of multiple filaments and anodes with magnetic confinement. Theseenhancements have led to substantial improvements in film properties aswell as process control. Examples are high rate deposition of a-Si-Hfilms,[100] transparent conducting films on polymeric substrates(101) and TiSx

and MoSx[102][103] films with variable x values.

Two additional modes of ionization are being explored. Currently anauxiliary RF excitation source similar to that reported by Oeschner[104] isbeing developed for use in ARE. It is believed that the high electron density

Page 219: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

222 Deposition Technologies for Films and Coatings

and energy selectivity offered by this source is likely to enhance advantagesof the ARE processes for compound synthesis. Also, work is underway tointegrate Electron Cyclotron Resonance (ECR) excitation at microwavefrequencies with the ARE process. ECR plasmas are characterized by avery high level of ionization and excitation, and may greatly enhance the useof ARE for the deposition and synthesis of films.

Mechanism of the ARE Process. A reactive evaporation processcan be simply written as a reaction between the reactants giving rise to theproducts. Illustrating this for the deposition of TiC films, one may write

xTi (vapor) + CxHy (gas) → xTiC (solid) + yH (gas)

In a plasma-assisted deposition process, the reactants dissociate intofractions/radicals and ionic species are produced. Therefore a multiplicity ofreaction paths are possible and the overall reaction becomes more complex.

Deshpandey, O’Brien, Doerr, and Bunshah[105][106] studied thesynthesis of TiC and TiN films, evaporating Ti in a plasma of CxHy gases forthe synthesis of TiC films and N2 or NH3 with Ti for the synthesis of TiN films.Several spectroscopies were used to carry out diagnostics on the plasma inthe source-substrate volume to determine the species present and thepotential reaction paths leading to film formation. Neutral mass spectrometry(MS), plasma mass spectrometry (PMS), and optical emission spectroscopy(OES) were used to examine the nature and relative concentrations ofneutral, excited and ionized species present in the process.

The main results of these investigations are as follows:1. Polymerizing reactions producing higher molecular weight

hydrocarbon species are dominant in the case of methane.Polymerization increases with increasing flow rate of CH4 fora given electron beam current. The above reactions lead to theformation of relatively soft films containing TiC and graphiticphases.

2. Hard, single-phase TiC films are formed at flow rates of about50 standard cm3 min-1 C2H2 for beam currents in the range of0.2 - 0.3 A. Polymerization reactions do not take place whenC2H2 is used as a reactive gas. Species such as carbon, CH,and CH2 formed in the plasma from the dissociation of C2H2

react with titanium to form TiC. The PMS and MS data indicatethe following possible routes for formation of TiC:

Page 220: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 223

a. formation of TiC in the plasma volume through reactionssuch as:

Ti + C → TiC Ti + CH → TiC + HTi + CH2 → TiC + 2H Ti + CH3 → TiC + 3H

followed by condensation of TiC molecules on the substrate;or

b. formation of TixCy or Ti2Cy or Ti2CyHz complexes in theplasma volume followed by condensation on the substrateto form TiC according to:

TixCy → TiC + C TixCyHz → TiC + CyHz

Present data are not sufficient to determine which of these twoschemes is dominant in the formation of TiC. PMS and MSsampling of the arriving flux on the substrate as well as studieswith a biased substrate are necessary to resolve this issue.

Similar studies on the deposition of TiN films revealed the following:

1. Evaporation of Ti in a N2 plasma showed that the predominantspecies leading to hard stoichiometric TiN films is 2Ti+ + N2

+ →2TiN. The ratio of Ti+/N2

+ in the plasma was 1.05, i.e., close tounity. When this ratio was increased to 1.5, soft films withexcess Ti in the deposit were produced. Yee[87] also proposedthe same reaction path based on his optical emissionspectrographic studies.

2. Evaporation of Ti in an NH3 plasma showed similar results.Under conditions where the Ti+/N2

+ ratio was high, the filmswere soft and titanium rich. With a higher flow rate of NH3, theN2

+ concentration in the plasma was higher and the films werehard.

9.7 Materials Synthesized by Evaporation-based Processes

A variety of metals, alloys, and compounds (oxides, nitrides, carbides,sulfides) have been deposited using evaporation and related processes. Inparticular, the plasma-assisted variant of the evaporation process, such asactivated reactive evaporation, has been successfully used for deposition ofa variety of compounds for tribological as well as opto-electronic applications.Recently, a modified process based on the ARE technique has also proved

Page 221: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

224 Deposition Technologies for Films and Coatings

to be successful in synthesizing c-BN.[98][107] A representative list of thecompounds synthesized by the ARE process is given below. In a very recentdevelopment, the ARE process has been able to deposit Al2O3 films at veryhigh deposition rates (8 to 12 µm/hr); these rates are 10 to 30 times higherthan those by sputter deposition.[108]

The compounds synthesized by the ARE process include:Carbides: TiC, HfC, ZrC, VC, W2C, TaCCarbonitride: Ti (C,N)Nitrides: TiN, HfN, ZrNOxides: TiO2, ZrO2, Al2O3, SiO2

Sulfides: TiS2, MoS2, MoS3

Superconductors: Low Tc: Nb3Ge, CuMo6S8

High Tc: YBa2CU3O7-8

Photovoltaic Materials: a-SiH, CuInS2

Opto-electric Materials: In(Sn)O2, ZnONovel Materials: c-BN, Diamond, i-C, a-C

10.0 MICROSTRUCTURE OF PVD CONDENSATES

10.1 Microstructure Evolution

PVD condensates deposit as single crystal films on certain crystalplanes of single crystal substrates, i.e., by epitaxial growth,[109] or in the moregeneral case, the deposits are polycrystalline. In the case of films depositedby evaporation techniques, the main variables are: (i) the nature of thesubstrate; (ii) the temperature of the substrate during deposition; (iii) the rateof deposition; (iv) the deposit thickness; (v) the angle of incidence of thevapor stream; and (vi) the pressure and nature of the ambient gas phase.Contrary to what might be intuitively expected, the deposit does not start outas a continuous film one monolayer thick and grow. Instead, three-dimensional nuclei are formed on favored sites on the substrates, e.g.,cleavage steps on a single crystal substrate; these nuclei grow laterally andin thickness (the so-called island growth stage) ultimately impinging on eachother to form a continuous film. Figure 4.38 shows the growth of gold film onrock-salt. The average thickness at which a continuous film formsdepends on the deposition temperature and the deposition rate (bothof which influence the surface mobility of the adatom) and varies from10 Å for Ni condensed at 15°K to 1000 Å for Au condensed at 600°K.

Page 222: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 225

This familiar model of island growth of a polycrystalline film during theinitial stages of deposition illustrates the case where there is limited interactionbetween depositing atoms and the substrate. This is not always the case.

Important differences have been observed. Namba and Mori[237]

found that by converting a significant fraction (~ 10%) of the vapor flux ofAg to positive ions, epitaxial growth of a single crystal Ag film on a single

Figure 4.38. Sequence of micrographs illustrating the effect of increasing depositthickness of gold on rock salt (x 8000). (After Pashley,[101] with permission.)

Page 223: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

226 Deposition Technologies for Films and Coatings

crystal Ag film on a single crystal NaCl substrate biased to -3,000 V wasobserved, whereas with vacuum evaporation, the Ag film was polycrystalline.No clear explanation is possible except to note that the mobility of thedeposited species is much greater when partially ionized than for neutralvapor specie. The effective surface temperature of the growing film is muchhigher due to ion bombardment, thus permitting greater surface mobility andresulting in epitaxial growth. Taylor(238) used low energy electron diffraction(LEED) techniques to study the epitaxial deposition of Cu onto a singlecrystal[196] face of tungsten under ultra-high vacuum conditions. Thisrepresents the case where there is appreciable bonding between depositingatoms and the substrate. The deposit on a clean tungsten surface was auniformly thin[166] Cu film, i.e., no island growth prior to the formation of acontinuous film even at thicknesses of 1½ atomic layers. He furtherobserved that chemisorption of even a half monolayer of oxygen severelyinhibited epitaxial growth.

Sherman, Bunshah, and Beale[119] studied the deposition of thick Mofilms onto a rolled Mo sheet substrate as a function of deposition temperature.They observed polycrystalline deposits at all temperatures except in therange of 973° to 1188°K, where the surface oxide MoO3 is unstable andevaporates rapidly, thereby leaving behind a “clean” Mo surface on whichepitaxial growth can readily occur aided by the high surface mobility at theelevated deposition temperature.

Once a continuous film has formed, the subsequent evolution to thefinal structure of the thin film is poorly understood at present. It undoubtedlydepends on the factors mentioned above which in turn influence the primaryvariables of nucleation rate, growth rate, and surface mobility of the adatom.The problem has been tackled by Van der Drift[110] and is also the subject ofa paper by Thornton.[111]

The microstructure and morphology of thick single phase films havebeen extensively studied for a wide variety of metals, alloys and refractorycompounds. The structural model was first proposed by Movchan andDemchishin,[75] Fig. 4.39, and was subsequently modified by Thornton asshown in Fig. 4.40. Movchan and Demchishin’s diagram was arrived at fromtheir studies on deposits of pure metals and did not include the transition zoneof Thornton’s model, Zone T, which is not prominent in pure metals or singlephase alloy deposits, but becomes quite pronounced in deposits of refractorycompounds or complex alloys produced by evaporation, and in all types ofdeposits produced in the presence of a partial pressure of inert or reactivegas, as in sputtering or ion plating processes.

Page 224: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 227

The evolution of the structural morphology is as follows:At low temperatures, the surface mobility of the adatoms is reduced

and the structure grows as tapered crystallites from a limited number ofnuclei. It is not a full density structure but contains longitudinal porosity of

Figure 4.39. Structural zones in condensates. (Movchan and Demchishan.)

Figure 4.40. Structural zones in condensates. (Thornton.)

Page 225: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

228 Deposition Technologies for Films and Coatings

the order of a few hundred angstroms width between the tapered crystallites.It also contains a high dislocation density and has a high level of residualstress. Such a structure has also been called “Botryoidal” and correspondsto Zone 1 in Figs. 4.39 and 4.40.

As the substrate temperature increases, the surface mobility increasesand the structural morphology first transforms to that of Zone T, i.e., tightlypacked fibrous grains with weak grain boundaries, and then to a full densitycolumnar morphology corresponding to Zone 2 (Fig. 4.40).

The size of the columnar grains increases as the condensationtemperature increases. Finally, at still higher temperatures, the structureshows an equiaxed grain morphology, Zone 3. For pure metals and singlephase alloys, T1 is the transition temperature between Zone 1 and Zone 2and T2 is the transition temperature between Zone 2 and Zone 3. Accordingto Movchan and Demchishin’s original model,[75] T1 is 0.3 Tm for metals, and0.22 - 0.26 Tm for oxides, whereas T2 is 0.45 - 0.4 (Tm is the melting point in°K).

Thornton’s modification shows that the transition temperatures mayvary significantly from those stated above and, in general, shift to highertemperatures as the gas pressure in the synthesis process increases.

It should be emphasized that:

1. The transition from one zone to the next is not abrupt butsmooth. Hence the transition temperatures should not beconsidered as absolute, but as guidelines.

2. All zones are not found in all deposits. For example, Zone T isnot prominent in pure metals, but becomes more pronouncedin complex alloys, compounds, or in deposits produced athigher gas pressures. Zone 3 is not seen very often inmaterials with high melting points.

The reader is referred to a more extensive description given by Greenein this book in Ch. 13, which includes a discussion of the effects of substratesurface roughness and pressures.

Most thick deposits exhibit a strong preferred orientation (fiber texture)at low deposition temperatures and tend towards a more random orientationwith increasing deposition temperature. Figure 4.41 shows the evolution ofa large-grained columnar morphology in a Be deposit from a much largernumber of fine grains which were originally nucleated on the substrate. Asgrowth proceeds, only those grains with a preferred growth direction survive,presumably due to considerations of the minimization of surface energy.

Page 226: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 229

Elegant proof of the importance of surface mobility was also providedby Movchan and Demchishin.[75] Plots of the log of the grain diameter versusthe inverse of deposition temperature in Zones 2 and 3 yield straight linesfrom which activation energies can be computed. It was found that theactivation energy for Zone 2 growth corresponded to that for surface self-diffusion and for Zone 3 growth to volume self-diffusion.

The morphological results reported by Movchan and Demchishin fornickel, titanium, tungsten, Al2O3 and ZrO2 have been confirmed for severalmetals and compounds. The data are given in Table 4.9.[114][124][115][116]

Bunshah and Juntz[117] studied the influence of condensationtemperature on the deposition of titanium. Their microstructures, shown inFig. 4.42, agree substantially with those of Movchan and Demchishin forZones 1 and 2 and T1, the transition temperature between Zones 1 and 2.However, they failed to observe Zone 3 at the temperatures above 700°Cfound by Movchan and Demchishin.[75] The structure was columnar up to833°C, which is the α:β phase transformation temperature for titanium. Atdeposition temperatures above 833°C, the deposit crystallizes as the βphase and on cooling to room temperature, should transform to the α phase,resulting in the typical “transformed-beta” microstructure shown in Fig. 4.42(900°C deposit), which could be mistaken for an equiaxed microstructure.Hence, the claim of such a transition in structure from Zone 2 to 3 by Movchanand Demchishin for titanium deposits is confusing.

Figure 4.41. Photomicrograph of a Be deposit showing the evolution of largecolumnar grains.

Page 227: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

230 Deposition Technologies for Films and Coatings

Kane and Bunshah[118] observed the change in morphology in depositednickel sheet. At 425°C deposition temperature, the deposit showed a Zone2 morphology, whereas, at 554°C, the deposit showed a Zone 3 morphology.

Chambers and Bower[123] studied the deposition of magnesium,copper, gold, iridium, tungsten, and stainless steel. Of the photomicrographspresented, gold and magnesium showed Zone 2 columnar morphology at theappropriate substrate temperatures.

Figure 4.43 shows surface and cross section photomicrographs of aNi-20Cr sheet deposited by Agarwal, Kane and Bunshah.[124] At 950°C,760°C, 650°C, and 427°C deposition temperatures, the surface and crosssection showed an equiaxed Zone 3 morphology.

Mah and Nordin[121] found that the Movchan-Demchishin model wasobeyed by beryllium also. They observed structures corresponding to allthree zones with transition temperatures as predicted by the model.

Table 4.9. Transition Temperatures between Various Structural Zones

Page 228: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evap

oratio

n231Figure 4.42. Structure of titanium deposits at various substrate temperatures (Bunshah and Juntz).

Page 229: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

232 Deposition Technologies for Films and Coatings

Figure 4.43. Photomicrographs of typical Ni-20Cr deposits at various substratetemperatures. (Agarwal, Kane, and Bunshah.)[124]

Page 230: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 233

Neirynck, Samaey and Van Poucke[125] studied the influence ofdeposition rate and substrate temperature on the microstructure, adhesion,texture, and condensation mechanism of aluminum and zirconium coatingson steel substrates and wires in batch and continuous-coating methods.

Kennedy[120] showed a change in morphology from columnar toequiaxed in Fe and Fe-10Ni alloy with higher deposition temperature.Deposits of Fe-1%Y which is a two phase alloy, showed columnar morphologyonly, the structure becoming coarser at higher deposition temperature. Thesecond phase appears to nucleate new grains so that the grain size in Fe-1%Y alloys is much finer than that of iron.

The microstructure of copper-nickel alloys[122] produced by co-deposition from two sources showed a single phase, as might be expectedfor this system, which shows a complete solid solubility. On the other hand,sequential deposition of Cu and Ni from two sources shielded from eachother onto a rotating substrate produced a microlaminate structure in thedeposit where the laminate size can be varied from 0.01 to 40 µm by adjustingthe deposition parameters.[239] Similar structures were also developed in theFe-Cu[239] and in the Ti-B4C system.[239]

ln alloy systems showing the presence of several phases, e.g., Ni-Band Cr-Si, the deposits showed the phases present corresponded to thoseexpected from the diagram.[122]

Smith, Kennedy, and Boericke[122a] studied the deposition of the twophase (α+β) type Ti-6Al-4V alloy deposited from a single rod-fed source. Themicrostructure was very similar to wrought material with the samecharacteristic α+β morphology present on a finer scale in the depositedmaterial.

Dispersion-strengthened alloys produced by co-deposition from multiplesources have also been produced. Paton et al.[122] produced Ni-TiC, Ni-NbCand Ni-ZrO2 alloys. The particle size increases from 100 to 1000 Å bychanging the deposition temperature from 350° to 1000°C. The size of thedispersed carbide phase particles increased on annealing at 1000° to1100°C due to their slight solubility in nickel. On the other hand, the size anddistribution of ZrO2 dispersion remained constant even after exposure at1300°C for 5 hours as shown in Fig. 4.44.

Movchan, Demchishin, and Kooluck[126] produced Fe-NbC and Fe-Ni-NbC dispersion strengthened alloys by co-evaporation. The microstructureexhibited columnar morphology, with the inclusion of a fine dispersion of NbCparticles.

Page 231: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

234 Deposition Technologies for Films and Coatings

Figure 4.44. Microstructure of dispersion strengthened Ni-ZrO2 alloy before andafter exposure at 1300°C for 5 hours (Paton, Movchan, and Demchishin).[122]

Page 232: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 235

Raghuram and Bunshah[127] studied the microstructure of TiC depositsfrom 500° to 1450°C shown in Fig. 4.45. They observed the transition fromthe tapered crystallite (Zone 1) to columnar structure at 973°K, or 700°C (0.3Tm). The highest deposition temperature (1450°C) used by these investigatorswas not sufficient to produce an equiaxed structure although this temperaturecorresponds to 0.51 Tm.

Figure 4.45. Structure of TiC deposits at various substrate temperatures (Raghuramand Bunshah).[127]

Page 233: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

236 Deposition Technologies for Films and Coatings

The energy of the depositing beam of atoms can be increased if someof them are ionized. It has been shown by Smith[23] that a small fraction ofthe vaporized species from an electron beam heated source is ionized dueto collisions with electrons in the plasma sheath above the molten pool.Bunshah and Juntz[128] biased the substrate to -5,000 V during the depositionof beryllium at 570°C and found that the columnar grain size was markedlyrefined by the ion bombardment as compared to the grain size producedwithout biasing the substrate at the same deposition temperature. It may bepostulated that the ion bombardment causes a localized increase intemperature at the surface where deposition is occurring, thus causing ahigher nucleation rate and a finer grain size. Similar results have beenreported for tantalum.[129] The use of hollow cathode gun intensifies thedegree of ionization of the vapor species, resulting in a marked increase inkinetic energy of the vaporized atoms.[130] The effects of substrate bias are,therefore, easier to observe. Increasing the substrate bias results in achange in morphology from columnar to fine, equiaxed grains for silverdeposited on beryllium and stainless steel,[131] and for silver and copperdeposited on stainless steel.[132]

On the other hand, the presence of a gas at high pressures (5 to 20 µm)results in a net decrease in kinetic energy of the vaporized atoms due tomultiple collisions during the transverse from source to substrate. Thisdegrades the microstructure to loose columnar grains[132] and eventually toan agglomerate of particles. (This, in fact, is a way to produce fine powdersby evaporation and subsequent gas-phase nucleation and condensation.)The negative effects of the presence of a high gas density on the kineticenergy and the mobility of adatoms on the deposit surface can be overcomeby either biasing the substrate[132][133] and/or heating the substrate to ahigher temperature.[134]

10.2 Texture

The texture of evaporated deposits is, in general, dependent ondeposition temperature. At low deposition temperatures, a strong preferredorientation is generally observed: 211 in iron,[120] 220 in TiC,[127] and0002 in Ti.[135] As deposition temperature increases, the texture tends tobecome more random. In the case of beryllium,[114] the texture changed toa 110 orientation at high deposition temperatures. The presence of a gastends to shift the preferred orientation to higher index planes.[136] For silver,increasing the substrate bias changes the preferred orientation from 111 to200 and back to 111.[121]

Page 234: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 237

10.3 Residual Stresses

Residual stresses in deposits are of two types. The first kind arisesfrom the imperfections built in during growth (the so-called growth stresses).An increase in deposition temperature produces a marked decrease in themagnitude of this stress.[127][137] The other source of residual stress is dueto the mismatch in the coefficient of thermal expansion between the substrateand the deposit. Its magnitude and size depend on the values of the thermalexpansion coefficients as well as the thickness and size of the substrate anddeposit. The influence of a negative bias on the substrate produces acompressive stress in the deposit, which reaches a maximum value at -200to -300 V DC bias and then decreases.[133]

High residual stresses can cause plastic deformation (buckling orbending), cracking in the deposit or the substrate, or cracking at thesubstrate-deposit interface. The latter can be minimized by grading theinterface, i.e., producing the change in material over a finite distance insteadof producing it abruptly at a sharp interface. A graded interface can beproduced by gradually changing the deposition conditions or by interdiffusion,which is enhanced by higher substrate temperature or increased kineticenergy of the vapor species.

10.4 Defects

Let us next consider the “defects” found in vapor-deposited materials.The first one is classified as a spit, or small droplet ejected from the moltenpool, which lands on the substrate and is incorporated into the coating.[138]

An example is shown in Fig. 4.46. The composition of the droplet is differentfrom that of the coating in the case of an alloy and can therefore be the siteof corrosion initiation. The bond between the droplet and the surroundingmaterial is usually poor. Hence, corrosion attack can proceed down theboundary to the substrate or undermine the coating. The spit may also fallout, leaving a pinhole behind which can act as a stress concentrator and limitthe ductility or the uniform elongation of a sheet material. Spits or pinholesdo not affect the yield strength or reduction of area in a ductile material, butthey can be stress raisers and sites for fatigue-crack initiation. Both spits andforeign particles on the substrate surface induce preferential growth of thedeposit in that area because of higher exposure to the vapor flux than thegeneral growing interface. This region of preferential growth is termed aflake; typical flakes are shown in Fig. 4.47. There is marginal bondingbetween the flake and the deposit, which can lead to formation of a pit orcrack, or to nucleation of corrosive attack.

Page 235: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

238D

epo

sition

Tech

no

log

ies for F

ilms an

d C

oatin

gs

Figure 4.46. Vapor source droplet (spit) defect in M-Cr-Al-Y coatings. (a) and (b) show defects overcoated with additional material.(c) fatigue crack initiated at spit (Boone et al.). (Courtesy of Amer. Inst. of Physics.)

Page 236: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 239

Spits can be suppressed by eliminating porosity, oxide inclusions andcompositional inhomogeneities in the evaporant source material, sincespitting can be caused by included-gas release or by the release of boundgas through thermal decomposition. In electron-beam evaporation, thebeam of electrons dissipates energy over a path extending as much as a mil(25 µm) or more into the melt. If this energy is delivered at a rate faster thanthe coating material can accommodate by evaporation, conduction, orradiation, a pocket of vapor forms and spitting occurs. Spits are also causedby gas pockets included in the evaporant rod that suddenly expand whenrapidly heated by the beam. Nonmetallic inclusions also can trappockets of superheated vapor below them, which can erupt in ashower of molten droplets. Spits can be avoided by using a highpurity vacuum melted rod as the evaporant. Flake formation can beavoided by avoiding the presence or impingement of foreign particles on the

Figure 4.47. Flake defects in (a) and (b) produced by accelerated coatingdeposition on foreign particles. Glass bead peening incorporates flake into thecoating (c) or knocks it out and forms a pit (d) (Boone et al.). (Courtesy of Amer. Inst.of Physics.)

Page 237: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

240 Deposition Technologies for Films and Coatings

substrate (primarily by substrate surface cleaning and good housekeepingof the deposition apparatus). Deep grooves or ridges on the substrate canalso produce flake-type defects by shadowing adjacent regions of thespecimen surface.

Another type of defect occurs in complex alloys[138] such as M-Cr-Al-Y (where M can be nickel, cobalt or iron), where even at depositiontemperatures of 955°C, the deposit morphology corresponds to the fibroustransition zone between Zone 1 and Zone 2. The grain boundaries in thismorphology are weak, causing intergranular corrosive attack (see Fig. 4.48).The problem can be obviated by increasing the adatom mobility through theuse of a higher substrate temperature or specimen bias of about -200 V, orby using a post-coating process that consists of a room temperature highintensity glass bead peening followed by a high-temperature anneal inhydrogen. Compound rotation of the specimen, which exposes highersurface irregularities to varying angles of impingement of incoming vaporatoms, produces a significant decrease in the number and size of open,columnar defects.

Figure 4.48. SEM photomicrograph of impact fracture surface of as-depositedoverlay coating. Fracture is intercolumnar indicating weak boundaries (Boone etal.). (Courtesy of Amer. Inst. of Physics.)

Another problem in deposits of complex alloys is due to the variationin deposit chemistry attributable to segregation in the ingot and large pooltemperature variations caused by the finite size of the electron beam.[138][139]

Improved ingot quality, development of improved electron beam sources,

Page 238: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 241

and decrease in the temperature gradient at the crucible walls by usingcrucible liners or coolant of lower heat capacity, such as NaK, instead ofwater would minimize this problem.

In a more recent investigation on the origin of defects and continuingon the above,[140] it was found that spits in M-Cr-Al-Y type alloys consist ofejected pool material exhibiting enrichment in impurity elements of low vaporpressure as a result of superheating of non-metallic particles (carbides oroxides) in the melt initiating the ejection of pool material. Flakes, generallycone shaped, were found to originate at non-metallic particles looselyattached to the surface. Leader formation was found to be weakly dependenton the angle of incidence of the arriving vapor flux. Both flakes and leadersseem to be enhanced by preferential growth and shadowing phenomena.

11.0 PHYSICAL PROPERTIES OF THIN FILMS

The Handbook of Thin Film Technology[7] contains an extensivesection on the electrical and electronic conduction, piezoelectric andpiezoresistive, dielectric and ferromagnetic properties of thin films. Thereader is referred to it.

12.0 MECHANICAL AND RELATED PROPERTIES

12.1 Mechanical Properties

Mechanical Property Determination: A number of testing techniqueshave been used to determine the strength properties of thin films. Theyinclude the high speed rotor test,[141] the bulge test,[142]-[146] microtensiletesting machines of the soft[147]-[150] and the hard categories[142]-[146] andeven fixtures which can be operated in the electron microscope.[155][156]

Hoffman[157][158] has reviewed the test techniques and the reader could dono better than to read Hoffman’s article or the original references. The basichandling problem encountered with the preparation and mechanical propertytesting of thin film specimens is much less severe with thick films for whichmany of the standard test specimens, machines, and techniques can bereadily used. Therefore, the spectrum of mechanical properties measuredon thick films is much broader than with thin films.

Page 239: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

242 Deposition Technologies for Films and Coatings

Tensile Properties of Thin Films: The tensile properties of thin filmshave been reviewed.[154][157]-[159] As Hoffman concludes,[157] the datareported are not very consistent even on the same material. The reader isadvised to consult the references for details.

In general, the observed strength of vapor-deposited metal filmsconsists of three parts:

σOBS = σBulk + σImperfections + σThickness

where σBulk is the inherent strength level of bulk polycrystalline material in theannealed state, σImperfections is the contribution due to point defects in excessof those normally found in the bulk annealed state and σThickness is thecontribution arising from the smallest dimension of the film and its limitingeffect on grain size such that dislocation multiplication and migration areimpeded.[149]

Table 4.10 gives the strength properties of thin films of some metalsand compares them to bulk values.[158] In many cases, the strengths areabout 200 times those of annealed bulk samples and 3 to 10 times those ofhard drawn samples. The tensile strength values are given numerically aswell as by fractions of the shear modulus. The ductility of the high strengthfilms is very limited, which is similar to the behavior of high strength fibers orwhiskers. A principal point of contention is whether the ultimate tensilestrength is a function of the film thickness or not. The discrepancy alsoappears to be dependent on the test method used, i.e., between the bulgetest and tensile test. In many cases, it appears that the strength decreasesas the film thickness increases from approximately the 200 - 300 Å range toabout 2000 - 4000 Å range. At the greater thickness, the strength is aboutthe same as that of heavily worked bulk material. There are several papersrelating the strength properties of thin films to the “crystallite size” and “blockstructure” as influenced by the deposition temperature, stress, recovery, andrecrystallization process.[160]-[169] One manifestation of this is the phenomenonof creep or plasticity in room temperature tensile tests as exhibited by anirreversible initial loading curve but almost reversible unloading and reloadingcurves as long as the previous stress level is not exceeded. An example ofthis is shown in Fig. 4.49 from Neugebauer[148] as the change in slope of thestress-strain curve. The possibility that this change in slope is related to anelastically soft measurement or to creep in the cementation of the gripscannot altogether be discarded.

Page 240: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 243

Table 4.10. Strength of Properties of Thin Films

Figure 4.49. Typical stress-strain curve for thin film.

Page 241: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

244 Deposition Technologies for Films and Coatings

Long term creep rates have been measured and for gold they varyfrom 10-7 to 10-4 min-1 depending on load, dimensions and the amount ofprestrain.[148] The estimates of the relative elastic and plastic extension atfracture vary from completely elastic to an almost even mixture of elastic andplastic deformation.

Fracture in ductile gold single crystal films[154] results from a localizedplastic deformation with resultant thinning of the film and a rise in stress level.Eventually the smaller cracks formed in this manner join to cause fracture.The dislocations—necessary for the deformation—are not the grown-indislocations but those which nucleate and multiply in discontinuous regions.Most observations show no necking prior to fracture. The maximum stressappears to correspond to that needed to propagate cracks from flawsexisting in the specimen. In polycrystalline nickel, the fracture is the “clean-cleavage” type.[149]

Mechanical Properties of Thick Condensates and Bulk Deposits:Table 4.11 lists the mechanical properties of thick deposits of metals, alloys,refractory compounds, and laminated structures. In many cases, themechanical test data are quite extensive showing yield strength, ultimatetensile strength, hardness, and ductility as a function of grain size, depositiontemperature, and test temperature. One of the features of the data is that theproperties of thick deposits of metals and alloys are very similar to those ofwrought materials which are produced by the conventional processes ofmelting, casting, mechanical working, and heat treatment.

We consider each type of material separately since the behavior ofmetals and alloys is vastly different from that of refractory compounds.

The early work in this area was that of Bunshah,[17][18] Bunshah andJuntz,[22] and Smith[23] who deposited thick films of Be, Ti, and Cu, respectively,and measured mechanical properties. In 1965, Palatnik and coworkerspublished a paper on mechanical properties of Al condensates.[160] It isimpossible to review in detail all the papers. The pertinent data are shownin Table 4.11 and the discussion below concentrates on the highlights.

Tensile Properties and Hardness of Metal and Alloy Deposits.Movchan and Demchishin studied the tensile properties and microhardnessof Ni, Ti, and W condensates produced at various deposition temperatures.No tensile tests were performed on specimens deposited in Zone 1 (Fig.4.49). Tests on specimens deposited in Zone 2 showed high strength andlow ductility at low deposition temperature. The strength decreased and theductility increased with deposition temperature. The strength and ductilityvalues of specimens deposited in Zone 3 showed approximately the same

Page 242: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evap

oratio

n245

Table 4.11. Mechanical Properties of Thick Films or Bulk Condensates

Page 243: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

246D

epo

sition

Tech

no

log

ies for F

ilms an

d C

oatin

gs

Table 4.11. (Cont'd)

Page 244: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evap

oratio

n247

Table 4.11. (Cont'd)

Page 245: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

248D

epo

sition

Tech

no

log

ies for F

ilms an

d C

oatin

gs

Table 4.11. (Cont'd)

Page 246: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 249

values as for recrystallized specimens produced from wrought material. Themicrohardness variation with deposition temperature for Ni, Ti, and W isshown in Fig. 4.50. The tapered crystallite morphology in Zone 1 showed ahigh hardness much greater than that of annealed metal. The hardnessdecreased rapidly with increasing deposition temperature to a fairly constantvalue for Zone 3 morphology which corresponds to the hardness ofrecrystallized metals.

Bunshah and coworkers studied the effect of deposition temperatureon the grain size, tensile properties, and hardness of Ti,[22][117] Ni,[118] Nb, V,Mo,[119] and Ni-20Cr[124] alloys for deposits made in Zones 2 and 3. Theyfound that increasing deposition temperature produced larger grain size,lower strength, higher ductility, and lower hardness. Even at the lowestdeposition temperature in Zone 2, the ductility was good (>20% RA for 1 µmgrain diameter Ti at a yield strength of 56,000 psi). Moreover, they found thatboth the yield strength and hardness varied as the inverse square root ofgrain diameter, i.e., followed the Hall-Petch relationship[192][193] which is

σys = σo + kd-½

where σys is the yield strength, d is the grain diameter, and σo and k areconstants. Figure 4.51 shows an example of this relationship for Ni-20Cralloy.

Figure 4.50. Variation of microhardness with deposition temperature of metals.

Page 247: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

250 Deposition Technologies for Films and Coatings

For all these metals and alloys, the yield strength, ductility andhardness values correspond to those of the same materials produced bycasting, mechanical working, and recrystallization. The variation of yield-strength and hardness with grain size, i.e., Hall-Petch type relationships,were also very similar between the deposited and wrought materials, smallvariations being ascribable to differences in grain morphology and preferredorientations. The Ni-20Cr alloy showed good strength at 1000°C and alsoobeyed the Hall-Petch relationship.

The Hall-Petch relationship is also obeyed by thick films of Cu and Agto grain-sizes as small as 0.05 µm as shown by Nenioto, Jumbou andSuto.[194] Thus, these thick deposits behave as true engineering materials.

Chambers and Bower[195] studied the mechanical properties of 18-8stainless steel, gold, and magnesium, and showed that their tensile propertieswere very similar to their wrought counterparts.

Smith, Kennedy, and Boericke[122a] studied the (α + β) type Ti-6Al-4Valloy. They showed that the tensile properties are very similar to the wroughtmaterial except for a much smaller value in percent elongation due to

Figure 4.51. Yield stress vs. inverse square root of average diameter for Ni-20Cralloy at 25°C. ∆ - wrought; O - deposited; # - Wilcox et al.; $ - Webster. (J. Vac.Sci. Technol., Vol. 12, No. 2:662 (1975), Refs. 12 and 13).

Page 248: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 251

premature onset of plastic instability in a tensile test at pinholes in thedeposited samples. The bend ductility was, however, superior to the wroughtmaterial.

Shevakin et al.[74] studied the strength and hardness of aluminum andcopper condensates as a function of the deposition parameters. They foundthat the mechanical properties varied widely with changes in processparameters. The deposited materials also showed higher strength andplasticity than the same materials conventionally fabricated, i.e., castingfollowed by the neo-mechanical treatments. They also found that thehardness values obeyed the Hall-Petch relationships.

Paton, Movchan, and Demchishin[122] showed that it is possible toproduce thick deposits of all the alloys across the Cu-Ni system and that themechanical properties vary systematically with composition as would beexpected.

Dispersion-Strengthened Alloy Deposits. The first data ondispersion-strengthened alloys produced by evaporation methods wasreported by Paton, Movchan, and Demchishin[122] who showed that Ni-ZrO2

alloys produced by co-evaporation from two sources contained ZrO2 particlesin the size range of 150 - 3000 Å by changing the deposition temperature from650° to 1100°C. They also showed that the creep strength at 1000°Cincreased with volume fraction of zirconia. These alloys showed remarkablestability in the microstructure and mechanical properties even after creepexposures of 5 hours at 1300°C. Subsequently, Movchan and coworkersstudied the structure and properties of Ni-ZrO2 alloys,[196] and Fe with Al2O3,ZrO2, ZrB2, TiB2, NbC, or TiC second phases.[197] The alloys were producedby co-evaporation of the constituents from electron-beam heated evaporationsources.

One of the very striking effects of the incorporation of a dispersedphase in an evaporated metallic coating is a very pronounced refinement ingrain size, often by a factor of 10 to 100 or more, and the inhibition of graingrowth at elevated temperatures. This was first reported by Kennedy[120] forthe incorporation of Y2O3 dispersions in Fe condensates. It was alsoobserved by Majumder[204] for Cu-Al2O3 deposits and by Jacobson et al.[224]

in Ni-Al2O3 deposits. In a very recent paper, Movchan et al.[75] show the grainsize reduction in the Ni-Al2O3, Fe-ZrO2, Fe-ZrB2, and Fe-NbC deposits. Themost intense grain refining effect is observed at low volume fractions (0.5vol.%) of the second phase.

Of particular interest to this topic is a subsequent paper by Majumder[205]

showing the strong effect of alumina content in increasing creep strength,

Page 249: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

252 Deposition Technologies for Films and Coatings

which confirms the model proposed by Mott[225] who suggested that the idealcreep-resistant material is one with a fine grain size in which the grainboundaries are filled with some substance, say a refractory oxide, to inhibitthe motion of grain boundaries.

Perhaps the most interesting result from Movchan’s work[196][197][226]

is that the dispersed phase alloys show a maximum in room temperatureductility in the W-ZrO2 system at 1 vol.% ZrO2, in the Fe-Al2O3 system at 03 vol.% Al2O3, and in the Fe-NbC system at 0.1 vol.% NbC. The yieldstrength and tensile strength do not show such a maximum but monotonicallyincrease with volume fraction of the oxide phase. The significance of thisobservation lies in the possibility of increasing the ductility of MCrAlYcoatings which, in turn, would result in increased resistance to spalling,thermal shock and fracture, thus improving the performance of the coating.One might speculate on reasons for this effect including strain-relaxationsites at particle matrix interface, or at grain boundaries due to the greatlyincreased grain boundary area, favorable changes in residual stressdistribution in the coating possibly due to changes in elastic modulus orstrength, increased toughness or crack propagation resistance conferred bythe dispersed phase particles, change in crystallographic texture, etc.

Movchan, Badilenko, and Demchishin[227] have recently presented avery detailed treatment on the regulation of microstructure and mechanicalproperties of thick vacuum condensates with the help of dispersed phases.They give a detailed theoretical model of (i) the influence of dispersed phaseson grain size; (ii) the size and shape of dispersed particles as affected bydeposition parameters; (iii) strength and ductility of two phase condensatesas influenced by the grain size, particle size, mean free path, nature of theparticle (deformable vs. nondeformable) and particle-matrix adhesionenergies; (iv) steady-state creep behavior. The model is then confirmedby the experimental results. As a good illustration of one of these points,Fig. 4.5 shows the difference in strength and ductility vs. volume fractionof second phase when the latter is deformable or nondeformable. Forboth types of particles, there is a ductility maximum at a particular Dg / lratio, but the strength behavior is diametrically opposite showing a monotonicincrease for a nondeformable particle and a minimum for the deformableparticle. Dg is the grain size in the plane perpendicular to the vapor fluxdirection and λ is the interparticle spacing. This model forms an excellentbasis for design of experiments to study the effect of dispersed phases onthe structure and properties of MCrAlY alloys.

Page 250: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 253

Another fascinating observation by Movchan et al.[227] applies to twophase alloys with deformable particles having a high adhesion to the matrix.The ductility of the alloys exceeds that of the pure matrix material at roomtemperature by a factor of 1.5 to 2 at a strain rate of 1.67 x 10-3 sec-1 (0.1 min-

1). At high temperatures, the elongation at fracture exceeds 100%, i.e.,superplasticity is developed.

Laminate Composites. Laminate composites are attractive andpreferable over fibrous composites because of their uniform properties in theplane of the sheet. In comparison to mechanical methods of producinglaminate composites, e.g., bonding of sheets or foil, physical vapor depositiontechniques are very suited to the production of such composites, particularlyif each lamellae is to be very thin (0.01 to 1 µm thickness) in order to improvethe strength and toughness of the composite.

From theoretical considerations, it may be expected that the mechanicalproperties of microlaminate composites would follow an adaptation of thewell known Hall-Petch relationship.[192][193] (Yield strength or hardness = αd-

½ where d is a characteristic microstructural parameter such as graindiameter, sub-grain diameter, laminae thickness, etc.). This correlation willbe explored later.

In another approach, Koehler[241] proposed that a laminate structurewhich is formed of thin layers of two metals, A and B, where one metal, A, hasa high dislocation-line energy and the other metal, B, has a low dislocation-line energy, should exhibit a resistance to plastic deformation and brittlefracture well in excess of that for homogeneous alloys. If the dislocation-lineenergies are so mismatched, the termination of the motion of dislocations inmetal B is energetically favored over dislocation propagation across thelayer interface into metal A. In the case of thick layers, the dislocationsgenerated in either of the layers will pile-up in B at the A-B interface andthereby provide the stress concentrations needed for premature yield.Therefore, to suppress the generation of new dislocations in the layers, thethicknesses of A and B must be small. Thus, there is a critical minimum layerthickness required for the generation of dislocations.

This model does not take into account a high imperfection content inthe laminate layers but assumes that their mechanical properties are similarto bulk annealed materials.

Most of the prior work on microlayer condensates was investigated incondensates produced at low deposition temperatures[240][242]-[249] (T <0.3Tm) thus resulting in a high imperfection content. Moreover, the depositswere very thin (<25 µm in thickness), which makes it very difficult to measurethe mechanical properties (particularly ductility) and draw good

Page 251: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

254 Deposition Technologies for Films and Coatings

correlations with theory. The systems investigated were Ge/GaAg, Al/Mg,Be/Al, Al/Cu, Al/Ag, Ni/Cu, Mg/Cu, Al/Al2O3.

Recently Lehoczky[249] studied the layer thickness dependence of theyield strength of Al-Cu and Al-Ag laminates of thin specimens prepared byalternate vapor-deposition. Below the critical layer-thicknesses required fordislocation generation in the layers, the experimental results are in goodagreement with Koehler’s predictions. For layer thicknesses greater thanthose required for dislocation generation, he has extended the theoreticalmodel to include dislocation pile-up groups.

A very recent investigation, on the other hand, by Bunshah et al.[239]

used high deposition temperatures (T ≅ 0.4 - 0.45 Tm) where equilibriumstructures are formed, and thick specimens (200 to 1,000 µm thickness)containing a very large number of microlayers were produced such thatmechanical properties can be easily measured on standard test specimens.Fe-Cu and Ni-Cu microlaminate composites were prepared by sequentialdeposition from two evaporation sources. Very marked increases in strengthwere observed, by as much as a factor of 10 as compared to the pure metalsand a factor of 5 as compared to the solid solution Cu-Ni alloy of the samecomposition. The ductility decreased somewhat but was still appreciable(5% elongation) for the highest strength alloys. The strength and hardnessvalues followed the Hall-Petch relationship. Superplastic behavior wasobserved in Fe-Cu microlaminates when the average grain size of the metalequals the interlammellar spacing (approximately 0.45 - 0.50 µm) at a testtemperature of 600°C at a strain rate of 0.005 min-1.

High temperature creep properties of thick Fe/Cu and Ni/Cumicrolaminate condensates were studied at 600°C as a function of layerthickness. Steady state creep rate has been found to increase with adecrease in microlayer thickness. Microstructural study of the specimensafter creep tests revealed the disintegration of iron and nickel layers in Fe/Cu and Ni/Cu condensates respectively with the formation of separateinclusions of an oval shape. The creep rate variation in the microlayercondensates is explained with the help of a structural model of hightemperature creep.

Refractory Compounds. Deposits of refractory compounds, oxides,nitrides, and carbides are very important for wear resistant applications inindustry. Their structure and properties are strongly dependent on thedeposition process. Their behavior is very different from metals andalloys. It is also very hard to measure the mechanical properties ofceramics by tensile tests similar to those used for metals and alloysbecause of their brittle nature. A very good test to measure the fracture

Page 252: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 255

stress of such brittle coatings is the Hertzian fracture test which measuresthe fracture stress and the surface energy at the fracture surface.[198] Colenand Bunshah[182] used this test to measure the fracture behavior of Y2O3

deposits of various grain sizes.Figure 4.52 shows the variation in microhardness with deposition

temperature for Al2O3 and ZrO2 from the work of Movchan and Demchishin,(75)

showing that the behavior of these oxide deposits is quite different in onerespect from that of metals (Fig. 4.47). The hardness falls when the structurechanges from tapered crystallites (Zone 1) to columnar grains (Zone 2) aswith metals. However, unlike metals, the hardness increases markedly asthe deposition temperature rises from 0.3 Tm to 0.5 Tm. The authors attributethis to a more “perfect” material produced at the higher deposition temperaturedue to volume processes of sintering. A similar hardness curve was obtainedfor Y2O3 deposits.[182]

Figure 4.53 from the work of Raghuram and Bunshah[127] also showsa very marked increase in microhardness of TiC deposits on going from 0.15Tm (500°C) to 0.3 Tm (1000°C). The hardness increases for the oxides andTiC with increasing deposition temperature. Both sets of results may beexplained by the following concept. Since the strength of ceramics is veryadversely effected by growth defects and at the higher deposition temperature,the occurrence of these defects is markedly reduced, the hardness (orstrength) increased very significantly. However, it should be noted thatthe absolute value of the hardness of the oxides is much lower than that ofthe carbides. Thus the possibility of a different explanation for the “similar”

Figure 4.52. Variation of microhardness with deposition temperature for Al2O3and ZrO2.

Page 253: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

256 Deposition Technologies for Films and Coatings

behavior of these materials, i.e., the hardness increase with the depositiontemperature needs to be investigated.

The hardness data on sputtered TiC and TiN coatings are quite similarto those produced by evaporation techniques.[186]

13.0 PURIFICATION OF METALS BY EVAPORATION

Impurities in the deposit can be classified into two types, metallic andnonmetallic. Knowing the composition of the evaporant, the experimentalconditions (temperature and time), certain thermodynamic data (vaporpressure and activities in solution), the composition of the vacuum environmentduring the experiment, and the types of melt-crucible reactions, if any, it ispossible to estimate the impurity content of the distillate. The amount ofimpurity transfer to the vapor phase and hence in the deposit (assuming asticking coefficient of unity) depends directly on the partial pressures of theimpurity and the basis metal. For metallic impurities, one assumes that eachimpurity behaves independently of the other and, using Rayleigh’s equation,the metallic impurity content of the distillate may be estimated. Experimentalverification has been demonstrated by Bunshah for beryllium.[20]

The amount of nonmetallic impurity (C, O2, N2, and H2) is estimatedas follows: for example, for oxygen,

Figure 4.53. Variation of microhardness with deposition temperature for TiC.

Page 254: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 257

ppm (atomic) O2 = υ

υG

M

where ∑υG = sum of the impingement frequencies (number of atoms/cm2/s) of the various gases and vapors present in the vacuum environmentcontaining oxygen, such as H2, CO, CO2 and MO (metal suboxide), on thesubstrate, and υM = impingement frequency of metal atoms on the substrate,an experimentally determined parameter.

Implicit in this treatment is the assumption that the sticking coefficientfor all the species is unity. This assumption is good for reactive gases suchas CO, CO2 and H2O but poor for gases such as H2, as has been shown byBunshah and Juntz[21] for beryllium; they also demonstrated a satisfactoryagreement between computed and experimentally observed values for thenonmetallic impurities. Table 4.12 shows the production of very high purityberyllium in sheet form by vacuum melting followed by vacuum distillation.The oxygen content of the distillate is due to suboxide vaporization (Be2O)from the melt and consequent contamination of the substrate, since thesuboxide has a higher vapor pressure than the evaporating species. Theoxygen content of refractory metal deposits produced by vacuum evaporationcan also be substantially increased by suboxide vaporization from the melt.The suboxide can be that of the deposit itself, e.g., MoO in the case ofmolybdenum deposition; or that associated with an impurity in the evaporant,e.g., MoO in the evaporation of vanadium.

Table 4.12. Purification of Beryllium by Vacuum Melting and Distillation (inparts per million atomic)

Page 255: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

258 Deposition Technologies for Films and Coatings

APPENDIX

On Progress in Scientific Investigations in the Field of VacuumEvaporation in the Soviet Union

A. V. DemchishinE. O. Paton Electric Welding InstituteKiev, Ukraine, U.S.S.R

The first investigations dealing with the problems of evaporation andcondensation were carried out by Soviet scientists as early as the twenties.Y. I. Frenkel[A1] found theoretically that there exists a critical temperature ofreflection of metal atoms from a substrate.[A1] Y. B. Kharitonov and N. N.Semenov have shown experimentally that this phenomenon actually tookplace.[A2] The problem of formation of chemical compound with a simulta-neous condensation of molecular beams of cadmium and sulfur was studiedby A. I. Shal’nikov and N. N. Semenov.[A3] Structural studies of condensatesof gold-copper alloys by electron and x-ray diffraction were carried out by M.M. Umanskii and V. A. Krylov.[A4]

At the beginning of the forties, S. A. Vekshinskii and his colleaguesperformed a lot of work on a development of methods for production ofspecimens of condensates, on experimental verification of condensatedistribution law, on studying physical and chemical properties of condensedmetal films of pure metals and binary alloys.[A5] S. A. Vekshinskii suggestedthe use of a method of co-condensation of vapor mixtures of severalcomponents for producing the films of variable composition thus enabling thestructure and properties of an entire n-component system or its part to bestudied at once without recourse to production of a great number of separatesamples of constant composition alloys.

In the middle of the fifties, investigation of condensates was conductedby L. S. Palatnik and his collaborators at the Kharkov Polytechnic Institutetowards the following trends:

• structure and substructure of thin and massive condensed films;

• mechanism of formation and kinetics of growth of continuous andisland films;

• physical properties of films (mechanical, electrical, semiconductive,magnetic, thermal and other properties);

Page 256: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 259

• studying the correlation between structure (substructure) andphysical properties of films;

• the effect of physical and technological variables of evaporationprocesses and vacuum condensation on structure (substructure)and physical properties of continuous (thin and massive) and islandfilms.

The main results of these investigations are published in Refs. A6, A7,and A8.

In addition to the said studies, in the sixties and seventies, thecharacteristics of macro-, micro- and submicroporosity of condensed filmsdepending on substrate temperature, angle of incidence of molecular flow,condensation rate, film thickness, pressure and composition of residual gasatmosphere were investigated. Mechanisms of porosity formation processeswere established and relationships between the porosity characteristics andphysical-mechanical properties of films have been studied.[A9][A10]

In the middle of the sixties, B. A. Movchan and his collaboratorsdeveloped an electron-beam technology for production of preparations ofcondensed systems and commenced the study of thick (up to 1 mm)condensates. In the sixties-seventies the effect of condensation conditionson structure and physical-mechanical properties of thick condensates ofpure metals, refractory oxides, carbides, borides and their mixtures, ceramic-metallic materials and dispersion strengthened compositions wereinvestigated. Their main results were published in Refs. A11 to A15.

REFERENCES (for Appendix)

A1. Frenkel, J. I., Zeitschr. f. Physik, 26:117 (1924)

A2. Chariton, J. B. and Semenoff, N. N., Zeitschr. f. Physik, 25:287 (1924)

A3. Shal’nikov, A. I., Semenov, N. N., The Journal of Russian Physical andChemical Society, 60:33 (1928)

A4. Umanskii, M. M., Krylov, V. A., The Journal of Exp. and Theor. Physics,6:691 (1936)

A5. Vekshinskii, S. A., A New Method of Metallographic Studies of Alloys,Bostechizdat, Moscow-Leningrad (1944)

A6. Palatnik, L. S., Papirov, I. I., Epitaxial Films, Nauka, Moscow (1971)

A7. Palatnik, L. S., Fux, M. Y., Kosevich, V. M., Mechanism of Formationand Substructure of Condensed Films, Nauka, Moscow (1972)

Page 257: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

260 Deposition Technologies for Films and Coatings

A8. Palatnik, L. S., Sorokin, V. K., Fundamentals of Film SemiconductiveMaterials Technology, Energia, Moscow (1973)

A9. Palatnik, L. S., Fux, M. Y., Cheremskoi, P. G., Transactions of theAcademy of Sciences of the U.S.S.R., 203(5):1058 (1972)

A10. Fux, M. Y., Palatnik, L. S., Cheremskoi, P. G. Toptygin, A. L., Physicsof Metals and Physical Metallurgy, 46(1):114 (1978)

A11. Movchan, B. A., Demchishin, A. V., Physics of Metals and PhysicalMetallurgy, 28, No. 4:653 (1969)

A12. Paton, B. E., Movchan, B. A., Demchishin, A. V., Proceedings of theFourth Int'l. Conf. on Vac. Metallurgy, p. 251, Tokyo, (June 4-8, 1973).Published by the Iron and Steel Institute of Japan, Tokyo (1974).

A13. Movchan, B. A., Demchishin, A. V., Kooluck, L. D., Thin Solid Films,44:285 (1977)

A14. Movchan, B. A., Demchishin, A. V., Badilenko, G. F., Strength Problems,No. 2:61 (1978)

A15. Movchan, B. A., Malashenko, I. S., Pap, P. A., Problems of SpecialElectro Metallurgy, Naukova Dumka, Kiev, No. 8:78 (1978)

Page 258: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 261

REFERENCES

1. Faraday, M., Phil. Trans., 147:145 (1857)

2. Nahrwold, R., Ann. Physik, 31:467 (1887)

3. Kundt, A., Ann. Physik, 34:473 (1888)

4. Soddy, F, Proc. Roy. Soc. London, 78:429 (1967)

5. Langmeir, I., J. Am. Chem. Soc., 35:931 (1913)

6. Glang, R., Handbook of Thin Film Technology, (L. I. Maissel and R.Glang, eds.), pp. 1-7, McGraw-Hill (1970)

7. Handbook of Thin Film Technology, (L. I. Maissel and R. Glang, eds.),McGraw Hill, (1970)

8. Holland, L. Vacuum Deposition of Thin Films, Chapman & Hall (1956)

9. Science and Technology of Surface Coatings, (B. N. Chapman and J.C. Anderson, eds.), Academic Press (1974)

10. Allen, J. A., Rev. Pure Appl. Chem., 4:133 (1954)

11. Bassett, G. A. and Pashley, D. W., J. Inst Metals, 87:449 (1958)

12. Hoffman, R. W., Thin Films, p.99, Am. Soc. for Metals, (1964)

13. Hoffman, R. W., Physics of Thin Films, 3:246, Academic Press, NewYork (1966)

14. Buckel, W., J. Vac. Sci. Technol., 6:606 (1969)

15. Kinosita, W., Thin Solid Films, 12:17 (1972)

16. Bunshah, R. F., Physical Metallurgy of Beryllium, Conf. No. 170, OakRidge National Laboratory (April 1963)

17. Bunshah, R. F., Materials Science and Technology for AdvancedApplications, 2:31, Am. Soc. for Metals (1964)

18. Bunshah, R. F., Metals Engineering Quarterly, p. 8, (Nov. 1964)

19. Bunshah, R. F. and Juntz, R. S., Beryllium Technology, 1:1 Gordonand Breach Science Publishers, (1966)

20. Bunshah, R. F., Proc. Int’l. Conf. on Beryllium, p. 63, Press Universitairesde France, Grenoble, France, (1965)

21. Bunshah, R. F. and Juntz, R. S., Trans. Vac. Met. Conf, p. 209, Am.Vac. Soc. (1966)

22. Bunshah, R. F. and Juntz, R. S., Trans. Vac. Met. Conf., p. 200, Am.Vac. Soc. (1965)

Page 259: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

262 Deposition Technologies for Films and Coatings

23. Smith, H. R., Materials Science and Technology for AdvancedApplications, 2:569, Am. Soc. for Metals (1964)

24. Smith, H. F., Jr. and Hunt, C. D’A., Trans Vac. Met. Conf., p. 227, Am.Vac. Soc. (1964)

25. Meyers, R. F. and Morgan, R. P., Trans. Vac. Met Conf., p. 271, Am.Vac. Soc. (1966)

26. Butler, J. F., J. Vac. Sci. Tech. 7:S-52 (1970)

27. Schiller, J. and Heisig, U., “Evaporation Techniques” (in German), VebVerlag Technik, Berlin, (1975)

28. Graper, E. P., J. Vac. Sci Tech. 8:333 (1971); J. Vac. Sci. Tech. 10:100(1973)

29. Kennedy, K. D., Schevermann, G. R., Smith, H. R., Jr., Res. Dev.Mag., 22:40 (1971)

30. Beale, H. A., Bunshah, R. F., Proc. 4th Int'l. Conf. on Vac. Met, p. 238,Iron and Steel Institute of Japan, Tokyo, Jpn (June 1973)

31a. Wan, C. T., Chambers, D. L., Carmichael, D. C., ibid, p. 231

31b. Baum, G. A., Report No. RFP-686, Dow Chemical Co., Golden, CO.(Feb. 6, 1967)

32. Thornton, J. A., SAE Transactions, (1973)

33. “Sputtering and Ion Plating,” NASA SP-511 (1972)

34. Hertz, H., Ann. Physik, 17:177 (1882)

35. Knudsen, M., Ann. Physik, 47:697 (1915)

36. Smith, H. R., Proc. 12th Ann. Tech. Conf., pp. 50-54, Soc. of Vac.Coaters, Detroit, MI (1969)

37. Riley, T. C., “The Structure and Mechanical Properties of PhysicalVapor Deposited Chromium”, Ph.D. Thesis, Stanford University (Nov.1974)

38. Bunshah, R. F. and Juntz, R. S., Trans. Vac. Met Conf., p. 799, Am.Vac. Soc. (1967)

39. Chow, R. and Bunshah, R. F., J. Vac. Sci. Tech. 8, VM 73 (1971)

40. Nimmagadda, R., and Bunshah, R. F., J. Vac, Sci. Tech. 8, VM 85(1971)

41. Szekely, J. and Poveromo, J. J., Met. Trans. 5:289 (1974)

Page 260: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 263

42. Smith, H. R. and Hunt, C. D’A., Trans. Vac. Met. Conf., p. 227, Am.Vac. Soc. (1965)

43. Hayashi, C. and Oku, Y., Trans. Vac. Met Conf., p. 257, Am. Vac. Soc.(1966)

44. Cocca, M. A. and Stauffer, L. H., Trans. Vac. Met. Conf., p. 203, Am.Vac. Soc. (1963)

45. Morley, J. R., ibid, p. 186

46. Berghaus, B., German Patent No. 683,414 (1939)

47. Sabalev, L. P., et al., US. Patent 3,783,231 (Jan. 1, 1974); 3,793,179(Feb. 19, 1974)

48. Dorodnov, A. M., Soviet Phys. Tech. Phys., 23:1058 (1978)

49. Osipov, V. A., et al., Soviet Rev. Sci. Inst., 21:1651 (1978)

50. Wroe, H., Br. J. Appl. Phys., 9:488-491 (1958)

51. Gilmour, A. S., Jr., Lockwood, D. L., Proc. IEEE, 60:No. 8:977-991(1972)

52. Snaper, A. A., “Arc Deposition and Apparatus,” US. Patent No.3,625,848 (1971)

53. Snaper, A. A., “Arc Deposition and Apparatus,” US. Patent No.3,836,451 (1974)

54. Sablev, L. P., “Apparatus for Vacuum Evaporation of Metals under theAction of an Electric Arc,” US. Patent No. 3,783,231 (1974)

55. Sablev, L. P., “Apparatus for Metal Evaporation Coating,” US. PatentNo. 3,793,179 (1974)

56. Sanders, D. M., Handbook of Plasma Processing Technology, (S.Rosnagel, J. J. Cuomo, and W. D. Westwood, eds.), p. 419, NoyesPublications (1990)

57. Martin, P. J., et al., Thin Solid Films, 153:91 (1987)

58. Martin, P. J., Netterfield, R. P., and Kinder, T. J., Thin Solid Films, 193/194:77 (1990)

59. Vasin, A. I., Dorodnov, A. M., et al., Sov. Tech. Phys, Lett., (Engl.Trans. of Pis’ma Zh. T Fiz.), 5: No. 23-24, (1979)

60. Ehrich, H., Hasse, B., et al., Proc. 8th Intl. Conf. Discharge Appl., 591-592, 596, Essen Univ. (1985)

Page 261: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

264 Deposition Technologies for Films and Coatings

61. Dorodnov, A. M., Kunetsov, A. N., et al., Sov. Tech. Phys. Lett., Engl.Trans. of Pis’ma Zh. T Fiz., 5: No. 418-419 (1979)

62. Ehrich, H., J. Vac. Sci. Technol., A6: 134-138 (1988)

63. Meassick, S., Chan, C., and Allen, R., “Thin Film Deposition Techniquesusing the Anodic Arc,” to be published

64. Smith, H. M. and Turner, A. F., Appl. Opt., 4:147 (1965)

65. Sankur, H., DeNatale, J., Gunning, W., and Nelson, J. G., J. Vac. Sci.Technol., A5:2869 (1987)

66. Cheung, J. T. and Madden, J., J. Vac. Sci. Technol., B5:705 (1987)

67. Cheung, J. T., Chen, J. S., and Otsuka, N., Proc. IRIS IR DetectorSpecialty Meeting, Seattle, WA (Aug. 1987); This work was followedby several other similar investigations presented at the 34th Nat’l.Symp. of Am. Vac. Soc., Anaheim, CA (Nov. 1987)

68. Dijkkamp, D., Venkatesan, T., Wu, X. D., Shaheen, S. A., Jisrawi, N.,Min-Lee, Y. H., Mclean, W. L., and Croft, M., Appl. Phys. Lett., 51:619(1987)

69. Wu, X. D., Dijkkamp, D., Olgale, S. B., Ina, A., Chase, E. W., Miceli, P.F., Chang, C. C., Tarascon, J. M., and Venkatesan, T., Appl. Phys.Lett., 51:861 (1987)

70. Cheung, J. T. and Sankur, H., Solid State and Materials Sciences,15:63 (1988)

71. Greer, J. A., J. Vac. Sci. Technol., 10(4):1821 (1992)

72. Nimmagadda, R., Raghuram, A. C., and Bunshah, R. F., J. Vac. Sci.Tech., 9 (1972)

73. Santala, T. and Adams, M., J. Vac. Sci. Tech., 7:s22 (1970).

74. Shevakin, Y. F., Kharitonova, L. D., and Ostrovskaya, L. M., Thin SolidFilms, 62:337 (1979)

75. Movchan, B. A. and Demchishin, A. V., Fizika Metall, 28:653 (1969)

76. Auwarter, M., US. Patent 2,920,002 (1960)

77. Bunshah, R. F. and Raghuram, A. C., J. Vac. Sci. Technol., 9:1385(1972)

78. Hoffman, D. and Liebowitz, D., J. Vac. Sci Technol, 9:326 (1972)

Page 262: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 265

79. Bunshah, R. F., Nimmagadda, R., Dunford, W., Movchan, B. A.,Demchishin, A. V., and Chursanov, N. A., Thin Solid Films, 54:85(1978)

80. Abe, T., Inngawa, K., Obusa, R., and Murakami, Y., Proc. 12th Symp.on Fusion Technol., Julich (1982)

81. Bunshah, R. F., Thin Solid Films, 107:21 (1983)

82. Bunshah, R. F., U.S. Patent 3,791,852 (1972)

83. Bunshah, R. F. and Deshpandey, C., Physics of Thin Films, (J. L.Vossen and M. H. Francombe, eds.), p. 60, Academic Press, NewYork (1987)

84. Bunshah, R. F. and Raghuram, A. C., J. Vac. Sci. Technol., 9:1385(1972)

85. ibid., p. 1389

86. Nath, P. and Bunshah, R. F., Thin Solid Films, 69:63 (1980)

87. Yee, K. K., Proc. Conf. Chem. Vap. Deposition, 5th Int'l. Conf., p. 238(1975)

88. Grossklaus, W. and Bunshah, R. F., J. Vac. Technol., 12:593 (1975)

89. Granier, J. and Besson, J., Proc. Plansee. Sem., 9 (1977)

90. Yoshihara, H. and Mori, M., J. Vac. Sci. Technol., 16:1007 (1979)

91. Nakamura, K., Inagawa, K., Tsuroka, K., and Komiya, S., Thin SolidFilms 40:155 (1977)

92. Komiya, S., Unezu, N., and Narasawa, T., Thin Solid Films, 54:51(1978)

93. Zega, B., Korrmann, M., and Amiquet, J., Thin Solid Films, 54:57(1977)

94. Kobayashi, M. and Doi, Y., Thin Solid Films, 54:57 (1978)

95. Matthews, A. and Teer, D. G., Thin Solid Films, 80:41 (1981)

96. Murayama, Y., J. Vac. Sci. Technol., 12:818 (1975)

97. Dorodnov, A. M., Sov. Phys. Tech. Phys., 40:211 (1977)

98. Bunshah, R. F., Chopra, K. L., Deshpandey, C., and Vankar, V. D.,U.S. Patent No. 4,714,625 (1987)

99. Inam, A., Hegde, M. S., Wu, X. C., Venkatesan, T., England, D., Miceli,P. F., Chase, E. W., Chang, C. C., Taraskaw, J. M., and Watchman,J. B., Appl. Phys. Lett., 53(10):908 (1988)

Page 263: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

266 Deposition Technologies for Films and Coatings

100. Chen, C. Y., Thesis, M. S., Department of Materials Science andEngineering, University of California, Los Angeles, (1987)

101. O’Brien, B. P., Thesis, M. S., Department of Materials Science andEngineering, University of California, Los Angeles, (1987)

102. Zender, D., Deshpandey, C., Dunn, B., and Bunshah, R. F., Proc. ofthe Fifth Int'l. Conf. on Solid Ionics, Part 1, (J. B. Boyle, L. C. DeJognhe,and R. A. Huggins, eds.), P. 813, North Holland, Amsterdam (1986)

103. Shin, H., Doerr, H. J., Deshpandey, C., Bunshah, R. F., and Dunn, B.,Surface and Coating Technol., 39/40:683 (1989)

104. Oeschner, H., personal communication

105. Deshpandey, C., O’Brien, B. P., Doerr, H. J., and Bunshah, R. F.,Surface and Coating Technol., 33:1 (1987)

106. Deshpandey, C., O’Brien, B. P., Doerr, H. J., and Bunshah, R. F., tobe published, Thin Solid Films

107. Lin, P., Deshpandey, C., Doerr, H. J., Bunshah, R. F., Chopra, K. L.,and Vankar, V. D., Thin Solid Films, 153:487 (1987)

108. Yoon, J. S., Deshpandey, C., Doerr, H. J., and Bunshah, R. F., Surfaceand Coating Technol., 43/44:213 (1990)

109. Pashley, D. W., Adv. Phys., 5:1973 (1956)

110. Van der Drift, A., Phillips Res. Rep., 22:267 (1967)

111. Thornton, J. A., Ann. Rev. Mater. Sci., p. 239 (1977)

112. Thornton, J. A., J. Vac. Sci. Technol., 11:666 (1974)

113. Bunshah, R. F., Proc. 4th Int’l. Conf. on Vacuum Metallurgy, p. 17, Ironand Steel Inst., Japan (1973)

114. Bunshah, R. F., J. Vac. Sci. Technol., 11:633 (1974)

115. Bunshah, R. F., J. Vac. Sci. Technol., 11:814 (1974)

116. Bunshah, R. F., New Trends in Materials Processing, p. 200, Am. Soc.for Metals (1976)

117. Bunshah, R. F. and Juntz, R. S., Met Trans., 4:21 (1973)

118. Kane, N., and Bunshah, R. F., Proc. of the Fourth Int'l. Conf. onVacuum Metallurgy, pg. 242, Iron and Steel Inst. of Japan, Tokyo, Jpn(June 1973)

Page 264: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 267

119. Sherman, M., Bunshah, R. F., and Beale, H. A., J. Vac. Sci. Technol.,11:1128 (1974)

120. Kennedy, K., Trans. of the Vacuum Metallurgy Conf., p.195, Am. Vac.Soc. (1968)

121. Mah, G. and Nordin, C. W., Proc. of the 16th Ann. Conf., p. 103, Soc.of Vac. Coaters, Chicago (1973)

122. Paton, B. A., Movchan, B. A., and Demchishin, A. V., Proc. 4th Int'l.Conf. on Vacuum Metallurgy, p. 251, Iron and Steel Inst. of Japan(1973)

122a. Smith, H. R., Jr., Kennedy, K., and Boericke, F. S., J. Vac. Sci. Tech.,7:S48 (1970)

123. Chambers, D. L. and Bower, W. K., J. Vac. Sci. Technol., 7:S63 (1970)

124. Agarwal, N., Kane, N., and Bunshah, R. F., Nat’l. Vac. Symp., NewYork (1973)

125. Neirynck, M., Samaey, W., and Van Poucke, L., J. Vac. Sci. Technol.,11:647 (1974)

126. Movchan, B. A., Demchishin, A. V., and Kooluck, L. V., J. Vac. Sci.Technol., 11:640 (1974)

127. Raghuram, A. C. and Bunshah, R. F., J. Vac. Sci. Technol., 9:1389(1972)

128. Bunshah, R. F. and Juntz, R. S., J. Vac. Sci. Technol., 9:404 (1972)

129. Mattox, D. M. and Kominiak, G. J., J. Vac. Sci. Technol., 9:528 (1972)

130. Morley, J. and Smith, H. R., J. Vac. Sci Technol., 9:1377 (1972)

131. Mah, G., McLeod, P. S., and Williams, D. G., J. Vac. Sci. Technol.,11:663 (1974)

132. Stowell, W. R. and Chambers, D., J. Vac. Sci. Technol., 11:653 (1974)

133. Bland, R. D., Kominiak, G. J., and Mattox, D. M., J. Vac. Sci. Technol.,11:671 (1974)

134. Bunshah, R. F., unpublished research.

135. Turk, C. F. and Marcus, H. L., Trans. AIME, 242:2251 (1968)

136. Beale, H. A. and Bunshah, R. F., unpublished research

Page 265: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

268 Deposition Technologies for Films and Coatings

137. Thornton, J. A., New Industries and Applications for Advanced MaterialsTechnology, 19:443, SAMPE, (1974)

138. Boone, D. H., Strangman, T. E., and Wilson, L. W., J. Vac. SciTechnol., 11:641 (1974)

139. Krutenat, R. C., J. Vac. Sci. Technol., 11:1123 (1974)

140. Grossklaus, W., Ulion, N. E., and Beale, H. A., Thin Solid Films, 40:271(1977)

141. Beams, J. W., Breazeale, J. B., and Bart, W. L., Phys. Rev., 100:1657(1955)

142. Beams, J. W., Structure and Properties of Thin Films, p. 183, Wiley,New York (1959)

143. Catlin A., and Walker, W. P., J. Appl. Phys., 31:2135 (1960)

144. Jovanovic, S. and Smith, C. S., J. Appl. Phys., 32:121 (1961)

145. Krukover, P. I. and Buravikhin, V. A., Fizika Metall., 22:144 (1966)

146. Brandon, D. G. and Bauer, Z., Israel J. Technol., 8:247 (1970)

147. Marsh, D. M., J. Sci. Instrum., 38:229 (1961)

148. Neugebauer, C. A., J. Appl. Phys., 31:1096 (1960)

149. D’Antonio, C., Hirschorn, J., and Tarshis, L., Trans. AIME, 227:1346(1964)

150. Blakely, J. M., J. Appl. Phys., 36:1756 (1964)

151. Kuhlmann-Wilsdorf, D. and Raghaven, K. S., Rev. Sci. Instrum.,33:930 (1962)

152. Lawley, A. and Schuster, S., Rev. Sci. Instrum., 33:1178 (1962)

153. Orowan, E., Z. Phys., 82:235 (1933)

154. Menter, J. W. and Pashley, D. W., Structures and Properties of ThinFilms, p. 111, Wiley, New York (1959)

155. Wilsdorf, H. G. F., Rev. Sci Instrum., 29:323 (1958)

156. Pashley, D. W., Proc. R. Soc. Lond., A225:218 (1960)

157. Hoffman, R. W., Thin Films, p. 99, Am. Soc. for Metals (1964)

158. Hoffman, R. W., Physics of Thin Films, 3:246, Academic Press, NewYork (1966)

159. Neugebauer, C. A., Physics of Thin Films, (Edited by G. Hass and E.Thun), Vol. 2, Academic Press, New York. (1964)

Page 266: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 269

160. Palatnik, L. S., Ill’inski, A. I., Federov, G. V., and D’yachenko, V. S.,Izvestra VUZ, Fizika (Soviet Physica Journal) 1:122 (1966)

161. Grunes, R. L., D’Antonio, C., and Kies, F. K., J. Appl. Phys., 36:2735(1965)

162. Ya Fuks, M., Belozerov, V. V., and Boyko, Y. F., Fizika Metall., 33:571(1372)

163. Kinosita, K., Maki, K., Nakamizo, K., and Takenchi, K., Jpn. J. Appl.Phys., 6:42 (1967)

164. Ya Fuks, M., Velozero V. V., and Boyko, Y. F., Fizika Metall., 33:571(1972)

165. Doljack, F. A. and Hoffman, R. W., Thin Solid Films, 12:71 (1972)

166. Ya Fuks, M., Palatnik, L. S., Belozerov, V. V., Zolotnitsky, Y. V., andRoschchenko, S. T., Fizika Metall., 36:316 (1973)

167. Pines, B. Y. and Tan, N. S., Fizika Metall., 19:899 (1965)

168. Aleksanyan, I. T., Fizika Metall., 25:947 (1968)

169. Hoffman, R. W., Thin Solid Films, 34:185 (1976)

170. Palatnik, L. S., Federov, G. V., Prokhavulov, A. I., and Federenko, A.I., Fizika Metall., 20:574 (1965)

171. Palatnik, L. S., Ya Fuks, M., Boiko, B. T., and Pugacheu, A. T., SovietPhys. Dokl., English Translation, 8:713 (1964)

172. Oding, A. and Aleksanyass, I. T., Soviet Phys. Dokl., 8:818 (1964)

173. Bunshah, R. F., Vacuum, 27(4):353 (1977)

174. Yamamoto, H. and Kamoshita, G., Trans. Jpn. Inst. Metals, 12:12(1971)

175. Palatnik, L. S., Ya Fuks, M., Ill’inski A. I., and Alaverdova, O. G., FizikaMetall., 22:744 (1966)

176. Henning, C. A. O., Boswell F. W., and Corbett, J. M., Acta Met., 23:177(1975)

177. Ziling, K. K., Pkrovskiy, L. D., and Pohelkin, V. Y., Fizika Metall.,29:1112 (1970)

178. Palatnik, L. S., Ill’inski A. I., and Ravlik, A. G., Fizika Metall., 19:310(1965)

179. Henning, C. A. O., Boswell, F. W., and Corbett, J. M., Acta Met., 23:187(1975)

Page 267: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

270 Deposition Technologies for Films and Coatings

180. Palatnik, L. S., Ill’inski, A. I., Ravhk, A. G., Nechitayls, A. A., and Lyakh,G. Y., Fizika Metall., 27:1114 (1969)

181. Bunshah, R. F. and Schramm, R. J., Thin Solid Films, 41 (1977) inpress

182. Colen, M. and Bunshah, R. F., J. Vac. Sci. Tech., 13:536 (1976)

183. Furuuchi, S., Sakata, H., and Aiwaka, K., Japan J. Appl. Phys.,13:1905 (1974)

184. Grossklaus, W. and Bunshah, R. F., J. Vac. Sci. Technol., 12:811(1975)

185. Wasa, K., Nagai, T., and Hayakowa, S., Thin Solid Films, 31:235(1976)

186. Mah, G., Norden, C. W., and Fuller, J. F., J. Vac. Sci. Technol., 11:371(1974)

187. Henning, C. A. O., Boswell, F. W., and Corbett, J. M., Acta Met., 23:193(1975)

188. Palatnik, L. S., Ill’inski, A. I., and Sapelkin, N. P., Soviet Phys. Solid St.,8:2016 (1967)

189. Solonovich, I. I. and Startsev, V. I., Problemy Prochn., 1:28 (1973)

190. Palatnik, L. S., Ill’inski, A. I., Biletchanko, N. M., and Sinel’nikova, R.I., Fiz. Metall., 32:199 (1971)

191. Bunshah, R. F., Gupta, Y. D., and Raghuram, A. C., unpublished data

192. Hall, E. O., Proc. Phys. Soc, Lond., B64:747 (1951)

193. Petch, N. J., J. Iron Steel Inst., 174:25 (1951)

194. Nenioto, M., Jumbou, R., and Suto, H., Trans. Jpn. Inst. Metals, 12:113(1971)

195. Chambers, D. L. and Bower, W. K., J. Vac. Sci. Technol., 7:S62 (1970)

196. Movchan, B. A., Demchishin, A. V., and Badilienko, G. F., Thin SolidFilms, 40:237 (1977)

197. Movchan, B. A., Demchishin, A. V., and Kooluck, L. D., Thin SolidFilms, 44:285 (1977)

198. Frank, F. C. and Lawn, B. R., Proc. R. Soc. Lond., 229A:291 (1967)

199. Boone, D. H. and Sullivan, C. P., STP, 520:401, Am. Soc. for Testingand Materials (1973)

Page 268: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 271

200. Goward, G. W., J. Metals, 22:31 (1970)

201. Clough, P. J., New Types of Metal Powders, (H. H. Hausner, ed.), p.9, Gordon and Breach (1964)

202. Hayashi, C., Jpn. J. Appl. Phys., 12:1675 (1973)

203. Bunshah, R. F., unpublished research

204. Majumder, K. S., Thin Solid Films, 42:327 (1977)

205. Majumder, K. S., Thin Solid Films, 42:343 (1977)

206. Chi, K. C., Dillon, R. O., Bunshah, R. F., Alterovitz, S., Martin, D. C.,and Vollam, J. A., Thin Solid Films (1978)

207. Zubeck, R. F., King, C. N., Moore, D. F., Barbee, T .W., Hallak, A. B.,Salem, J., and Hammond, R. H., Thin Solid Films, 40:249 (1977)

208. Martin, P. L., Bunshah, R. F., and Dymond, A. M., J. Vac. Sci Tech.,12:754 (1975)

209. Agarwal, P. L., Bunshah, R. F., and Crandall, P. H., unpublishedresearch, UCLA (1978)

210. Sinha, A. K., Giessen, B. C., and Polk, D. E., Treatise on Solid StateChemistry, (N. V. Hannay, ed.), 3:1, Plenum Press, New York (1976)

211. Keung, P. K. and Wright, J. G., Phil. Mag., 30:995 (1974)

212. Hughes, J. L., Metals Eng. Quart. 14, No, 1:1 (1974)

213. Hill, R. J., Hughes, J. L., and Harker, H. R., Proc. of the 4th Int'l. Conf.on Vacuum Metallurgy, p. 248, Iron and Steel Institute of Japan,Tokyo, Japan (June 1973)

214. Harker, H. R., and Hill, R. J., J. Vac. Sci. Technol., 9:1395 (1972)

215. Bunshah, R. F., U.S. Patent No. 3,971,582 (Feb. 12, 1974)

216. Nakamura, K., Inagawa, K., Tsuruoka, K., and Komiya, S., Thin SolidFilms, 40:155 (1977)

217. Kodama, M., Bunshah, R. F., and Shabaik, A. H., Thin Solids Films,(1978)

218. Bunshah, R. F., and Shabaik, A. H., Res./Dev., 26:46 (1975)

219. Bunshah, R. F., Shabaik, A. H., Nimmagadda, R., and Covey, J., ThinSolid Films, 45:1 (1977)

220. Hewig, G. H. and Bloss, W. H., Thin Solid Films, 45:1 (1977)

221. Boer, K. W., Annual Progress Report, NSF/RANN/SE/G134872.,University of Delaware (Jan. 1974)

Page 269: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

272 Deposition Technologies for Films and Coatings

222. Brody, T. P. and Shirland, F. A., Proc. of NSF Workshop on PhotovoltaicConversion of Solar Energy for Terrestrial Applications, p. 63, CherryHill, NJ (Oct. 1973)

223. Laegreid, N., in ibid, p. 63

224. Jacobson, B. E., Springarn, J. R., and Nux, W. D., Thin Solid Films,45:517 (1977)

225. Mott, N. F., Phil. Mag., 44:742 (1953)

226. Movchan, B. A., Soviet Physics Doklady, 20(7):575 (1975)

227. Movchan, B. A., Badilenko G. F. and Demchishin, A. V., Thin SolidFilms, 63:67 (1979)

228. Schiller, S. and Jasch, G., Thin Solid Films, 54:9 (1978)

229. Kobayashi, M. and Doi, Y., Thin Solid Films, 54:57 (1978)

230. Komiya, S., Umezu, N., and Narusawa, T., Thin Solid Films, 54:51(1978)

231. Zega, B., Kornmann, M., and Amiguet, J., Thin Solid Films, 45:577(1977)

232. Berghaus, B., German Patent No. 683,414 (1939)

233. Sabalev, L. P., et al., U.S. Patent 3,783,231 (Jan. 1, 1974); 3,793,179(Feb. 19, 1974)

234. Dorodnov, A. M., Soviet Phys. Tech. Phys., 23:1058 (1978)

235. Osipov, V. A., et al., Soviet Rev. Sci. Inst., 21:1651 (1978)

236. Nath, P. and Bunshah, R. F., Thin Solid Films, 69:63 (1980)

237. Namba, Y. and Mori, J., J. Vac. Sci. Technol., 13:693 (1976)

238. Taylor, N. J., Surface Science, 4:161 (1966)

239. Bunshah, R. F., Nimmagadda, R., Doerr, H. J., Movchan, B. A.,Grechanuk, N. I., and Dabizha, E. V., Thin Solid Films, 72:261 (1980)

240. Hordon, M. J., Titanium Science and Technology, (R. I. Jaffee and H.M. Burte, eds.), 4:2347-57, Plenum Press (1973)

241. Koehler, J. S., Phys. Rev., B 2:547 (1970)

242. Henning, C. A. O., Boswell, F. W., and Corbett, J. M. Acta. Met.,23:193.

243. Palatnik, L. S. and Ill’inski, A. I., Soviet Phys. Dokl., 9(1):93 (1961)

Page 270: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Evaporation 273

244. Palatnik, L. S., Ill’inski, A. I., and Sapelin, N. P., Soviet Physics SolidState, 8(8):2016 (1967)

245. Palatnik, L. S., Ill’inski, A. I., Biletchenko, N. M., and Sinel’nikova, R.I., Fiz. Met. Metalloved., 32(6):1312 (1971)

246. Solonovich, I. I. and Startsev, V. I., Problemy Prochnosti, 1:28-30(1973)

247. Hordon, M. J. and Wright M. A., in Metal-Matrix Composites, Symp. ofthe Metallurgical Soc. AIME, DMIC Memorandum 243, pp.10-12 (May1969)

248. Springer, R. W. and Catlett, D. S., Thin Solid Films, 54:197 (1978)

249. Lehoczky, S. L., J. App. Phys., 49:5479 (1978)

SUGGESTIONS FOR FURTHER READING

Books

Berry, R. W., Hall, P. M., Harris, M. T., Thin Film Technology, D. VanNostrand Co. (1968)

Bhushan, B. and Gupta, B. K., Handbook of Tribology, McGraw-Hill (1992)

Chopra, K. L., Thin Film Phenomena, McGraw Hill Book Co., (1969)

Handbook of Thin Film Technology, (L. I. Maissel, and R. Glang, eds.)McGraw Hill Book Co. (1970)

Holland, L., Chapman and Hall, Vacuum Deposition of Thin Films, (1968);The Bible

Ohring, M., Materials Science of Thin Films, Academic Press, (1992)

Physics of Thin Films, Vols. 1-6, Academic Press (1963-1971)

Science and Technology of Surface Coatings, (B. N. Chapman and J. C.Anderson, eds.) Academic Press (1974)

Techniques of Metals Research, Vol. 1, part 3, (R. F. Bunshah, ed.) JohnWiley & Sons (1968)

Thin Films, Am. Soc. for Metals (1964)

The Use of Thin Films in Physical Investigation, (J. C. Andrews, ed.)Academic Press (1966)

Page 271: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

274 Deposition Technologies for Films and Coatings

Journals

Applied Surface Science

Japanese Journal of Applied Physics, Japan

Journal of Applied Physics, USA

Journal of Electrochemical Society, USA

Journal of Materials Research

Journal of Materials Science, England

Journal of Materials Synthesis and Processing

Journal of Vacuum Science and Technology, Am. Phys. Society

Materials and Manufacturing Processes

Processing of Advanced Materials

Review of Scientific Instruments, USA

Surface and Coatings Technology, Elsevier, S. A.

Thin Solid Films, Elsevier, S. A., Switzerland

Vacuum, England

Page 272: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

275

5

Sputter Deposition Processes

John A. Thornton and Joseph E. Greene

1.0 INTRODUCTION

The process of sputtering may be defined as the ejection of particles froma condensed-matter target due to the impingement of energetic projectileparticles. The use of sputtered species as source material to deposit thin filmswas first reported in the literature in 1852[1] and has since enjoyed severalperiods of scientific and commercial interest interspersed with periods ofdisrepute. However, it is only relatively recently that sufficient understandingof the complex processes occurring during ion bombardment of solid surfaceshas been developed to allow the reproducible and controllable use of sputterdeposition for growing high-quality single crystals, complex alloys,superlattices, and materials with tailored microstructures. The evolution of thebranch of science concerned with ion/surface interactions has been facilitatedby the parallel development of ultra-high vacuum technology and highlysensitive microanalytic techniques for identifying the state of scatteredparticles, sputtered species, and implanted material.

Sputter-ejected species have kinetic energies considerably greaterthan thermal. In addition, depending on the experimental configuration, thesubstrate and growing film may also be subjected to low-energy particlebombardment from accelerated host lattice species, dopants, inert-gasions, and energetic particles backscattered from the target. Thus, ion/surface interactions are not only important at the target, but they can also

Page 273: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

276 Deposition Technologies for Films and Coatings

play a decisive role, as discussed in Ch. 13, in determining film nucleation andgrowth kinetics, microstructure evolution, dopant incorporation probabilities,and hence the physical properties of as-deposited layers. The controlled useof ion bombardment effects allows a considerable enhancement in the abilityto tailor film properties.

Sputter deposition is inherently a vacuum coating process. In operation,the source of coating material, termed the “target,” is mounted opposite thesubstrates in a vacuum chamber which is then evacuated to a base pressurewhich typically ranges from 10-6 to 10-10 Torr*, depending upon the process.The most common method of providing the ion bombardment necessary forsputtering is to backfill the evacuated chamber, using a continuous flow of agas such as Ar, to a pressure of from 1 to 100 mTorr, and establish a glowdischarge. A negative potential, typically between 0.5 and 5 kV, is applied tothe target in order to initiate positive-ion bombardment while the counterelectrode(the substrate) is grounded. A sputtering apparatus in which the target andsubstrate are opposing parallel plates, shown schematically in Fig. 5.1, istermed a diode system. The discharge in such a device is commonly operatedin the abnormal negative-glow mode.[2]

The most striking characteristic of the sputtering process is its universal-ity. Since the coating material is passed into the vapor phase by a physicalmomentum-exchange process, rather than a chemical or thermal process,virtually any material is a coating candidate. DC discharge methods aregenerally used for sputtering metals, while an RF potential must be applied tothe target when sputtering nonconducting materials. In some applications,rather than immersing the target in a plasma, it is more convenient to use aseparate ion-beam source consisting of a self-contained discharge with ion-acceleration optics.

Sputter-deposition technology includes many variations of the basicprocess described above. For example, coatings may be formed by:

1. Employing a target which is a mosaic of several materials.

2. Employing several different targets simultaneously to obtain analloy film.

3. Employing several targets sequentially to create a composi-tionally layered coating.

*The pressure unit of Torr (1 Torr = 1 mm Hg) is a carryover from the time when pressure wasmeasured with a Hg manometer. Most commercial pressure gauges are still calibrated in Torror microns (1 µm Hg = 1 mTorr). Therefore, Torr will be used in this chapter although bothTorr and Pa (SI units) are given in some of the figures. 1 Torr = 133 Pa = 1.33 mbar = 1.316x 10-3 atm.

Page 274: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Sputter Deposition Processes 277

4. Electrically biasing the substrate to provide ion bombardmentof the growing film during deposition in order to modify the filmmicrostructure and/or microchemistry.

5. Employing a gas (e.g., O2, N2, H2S, etc.) to introduce one ofthe coating materials into the chamber. This process is knownas reactive sputtering.

Figure 5.1. Schematic representation of a parallel-plate diode sputtering system.

Page 275: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

278 Deposition Technologies for Films and Coatings

1.1 Sputter Deposition Systems

Sputtering systems can assume an almost unlimited variety of configura-tions, depending on the application. The simplest is the parallel-plate diodeshown schematically in Fig. 5.1. Such systems have played a major role in thedevelopment of sputtering technology over the past twenty years and are stillwidely used. Figure 5.2 shows a planar-diode sputtering installation of a typecommonly used in research and for small production runs.

The substrates in a planar-diode system are in contact with the plasma.This makes it relatively easy to carry out the processes of substrate sputtercleaning and bias sputtering. It is partly due to the effects of these processesthat sputtering has long enjoyed a reputation for providing coatings withsuperior adhesion. However, the heating associated with plasma and electronbombardment often prohibits the use of planar diodes for coating thermally-sensitive substrates.

It is difficult to sustain an intense plasma discharge in the planar-diodeelectrode geometry. Thus, working pressures are necessarily relatively highat 20 to 75 mTorr and current densities are low, ≈1 mA/cm2. The high

Figure 5.2. Planar-diode sputtering system of the type used for research end smallproduction runs. The system can be used for both DC and RF sputtering. (Photocourtesy of CVD Products, Inc., Rochester, NY)

Page 276: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Sputter Deposition Processes 279

pressure causes the transport of coating material from the target to thesubstrate to be primarily diffusive rather than ballistic and sputtered materialis lost to the walls of the container by scattering. This, coupled with the lowcurrent density, leads to deposition rates which are generally less than 75 nm/min (4.5 µm/h).

Triode devices, in which additional electrons are injected into thedischarge by thermionic emission from a third electrode, can be used toproduce intense sputtering discharges at low pressures. The deposition ratesthat can be achieved with triode devices are also higher than with planardiodes. For example, high-rate triode sputtering has been used to fabricatea free-standing 1.3 kg deposit of a Cu-alloy in the form of a cylinder 15 cm indiameter.[3] However, the complexity of triode designs for obtaining uniformdeposition has, in general, limited their use to special applications.

The recent development of a class of sputtering sources with magneticplasma confinement, called magnetrons, has greatly enhanced the capabili-ties of the sputtering process. There are many forms of magnetrons. They varyfrom small ring sources—often referred to as Sputter-gunsTM (Sloan Technol-ogy, Santa Barbara, CA) and S-gunsTM (Varian Associates, Palo Alto, CA)—to long rectangular planar magnetrons and cylindrical magnetrons with postor hollow cathodes. Magnetrons can be used for both DC and RF sputteringbut are particularly effective for DC sputtering, where deposition rates can bemore than an order of magnitude larger than those obtained with planar diodes.Planar and cylindrical magnetrons can be scaled to large sizes to provideuniform deposition over very large areas (many m2). In addition, well-designedmagnetrons virtually eliminate substrate heating caused by electron bombard-ment.

1.2 Sputter-Deposition Applications

The enormous range of sputtering applications reflects the universal-ity of the process. Films containing essentially every element in theperiodic table have been prepared by sputtering. Alloys and compoundscan generally be sputter-deposited while preserving their compositions.For example, PTFE (Teflon ) has been sputtered to produce lubricousfilms having many of the properties of the starting material. The ability tocontrol composition has caused sputtering to become widely used in theelectronics industry. Typical applications are aluminum alloy and refrac-tory metal microcircuit metallization layers, microcircuit insulation layers,transparent conducting electrodes, amorphous optical films for integratedoptics devices, piezo-electric transducers, photoconductors and luminescent films

Page 277: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

280 Deposition Technologies for Films and Coatings

for display devices, optically addressed memory devices, amorphous bubblememory devices, thin film resistors and capacitors, video-discs, solid electro-lytes, thin film lasers, and microcircuit photolithographic mask blanks.

Figure 5.3 shows a multisource sputtering system designed for waferprocessing. Sputter deposition is also beginning to replace evaporation fordepositing high performance optical components and is commonly used fordepositing magnetic alloys with strong preferred orientation in magneticrecording devices. In addition, one finds applications ranging from coatingrazor blades to depositing wear-resistant coatings for machine tools.

Figure 5.3. Multi-source sputtering system designed for wafer processing. Waferbatches are passed into and out of the coating chamber through vacuum interlocks.(Photo courtesy GCA Corporation, Vacuum Industries Division, Somerville, MA)

Page 278: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Sputter Deposition Processes 281

Planar diodes are still widely used, particularly for depositing materialsrequiring RF power. However, recent trends find magnetrons replacing planardiodes for many DC, and some RF, applications. In addition, the magnetronshave opened up new applications because of their large-area capability andreduced substrate heating. For example, large in-line systems with vacuuminterlocks use planar magnetron sources to coat 2 m x 3.5 m architecturalglass plates at three-shift production volumes of about 106 m2/yr.[4] Sputteringis being investigated as a means for depositing selective absorber coatings forsolar heating and for manufacturing photovoltaic cells for direct solar-to-electrical energy conversion. Because of the reduced substrate heating,magnetrons are used on a production basis to deposit chromium decorativecoatings on automobile grilles and other exterior trim. Figure 5.4 shows anautomated load-lock sputtering system designed for metallizing plasticautomotive parts.

Figure 5.4. Automated load-lock magnetron-sputtering system designed formetallizing plastic automotive parts. System throughput is 46.5 m2/h of platensurface on which substrates may be mounted. (Photo courtesy of Varian Associ-ates, Inc., Palo Alto, CA)

Page 279: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

282 Deposition Technologies for Films and Coatings

The selection of a sputtering apparatus for a given application dependson the substrate size, shape, and sensitivity to heat and plasma irradiation.It also depends on the nature of the coating—e.g., single layer or multilayer,thickness, types of materials involved, and critical parameters such ashardness, porosity, resistivity, semiconductor charge-carrier lifetimes, andmagnetic anisotropy—as well as the production volume. Planar targets of analmost unlimited range of materials, including the new high temperaturesuperconducting oxides, are available from many suppliers. Thus planardiodes are attractive for depositing thin coatings of complex materials ontoplanar substrates for research studies or for small production volumes.However, the substrates must be capable of withstanding the plasmaenvironment, particularly electron bombardment.

Triode devices are attractive when thicker coatings are required. How-ever, for large production volumes, thick coatings, complex substrate shapes,or thermally sensitive substrates, magnetron type sources should be consid-ered. The selection of a particular type of magnetron will depend on the natureof the coating and substrate and the availability of sputtering targets of therequired material in the desired geometry. The procurement of high-qualitytargets is an important consideration for all sputtering systems.

Sputtering, like other vacuum coating processes, suffers from thedisadvantage that the equipment is expensive. In addition, high-rate sputteringequipment generally incorporates large, nonstandard, power supplies andautomatic control systems. As a general rule, sputtering is most effectivewhen production volumes are sufficient to permit the equipment cost to beamortized over a large number of parts. An advantage of sputtering is that itis reliable and lends itself to automatic control.

1.3 Process Implementation

Almost any vacuum chamber capable of evacuation into the 10-6 Torrrange can be used for sputtering. Provisions are usually required for throttlingthe pumping system so that the desired working gas pressure can besustained with the pumps in operation. Small planar and gun-type magnetronsare particularly easy to install. Some forms of cylindrical magnetrons requirespecial chamber geometries. Chambers used in large production runsgenerally include substrate-loading interlocks so that the target surface is notexposed to the atmosphere between deposition cycles. Examples are shownin Figs. 5.3 and 5.4.

Page 280: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Sputter Deposition Processes 283

Pre-deposition pumping and the importance of achieving low basepressures will depend on the application. It is important to remember thatsputtered coatings are deposited in an atmosphere which contains outgassingflux from the substrates and chamber walls. This flux can have a significantinfluence on the growth and properties of the coatings. Special problems maybe encountered if the substrates themselves undergo severe outgassing.[5]

Generally, pre-deposition pumping is continued until the total outgassing fluxfrom the chamber walls and substrates has decreased to a value that issignificantly less than the total sputtering flux that will be used. The workinggas is then injected into the chamber with the pumps throttled and sputteringis initiated. New, or air-exposed, targets should be “pre-sputtered,” with thesubstrates shielded, prior to deposition in order to clean and condition thetarget and chamber surfaces.

The selection of deposition conditions is generally determined empiri-cally. The primary control parameters are the deposition rate, target voltage,working gas species and pressure, and the substrate temperature and plasmabombardment conditions. The available selection range for the depositionparameters is determined largely by the apparatus. In planar diodes, manyof the parameters are interrelated and unavailable for independent control.Much greater control is possible with magnetrons. However, other variablesbecome important. For example, in many magnetron geometries, along withoperation at low pressures where the sputtered atoms can pass to thesubstrates while making few collisions, coating-flux angle-of-incidence con-siderations become important in determining coating properties.[6] Thus, inall applications where large production volumes are anticipated, it is wise toperform development work using an apparatus of the same type and geometryanticipated for the production facility. Scale-up increments should generallynot exceed a factor of three in apparatus size.

1.4 History of Sputter Deposition and Background Reading

Several review papers written over the last twenty years permit theinterested reader to follow the developments in sputtering technology. Anextensive review of the basic process was published by G. K. Wehner, one ofthe most prominent of the early workers, in 1955.[7] Film properties obtainedin early experiments were discussed by E. Kay in a 1962 review.[8] Sputterdeposition processes were reviewed by L. Maissel[9] and Kay[10] in 1966. TheHandbook of Thin Films , published in 1970, contains reviews by Wehnerand Anderson[11] and by Maissel.[12] A review article by Thornton

Page 281: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

284 Deposition Technologies for Films and Coatings

in 1973 discusses sputtering equipment.[13] Process considerations in glowdischarge sputtering were reviewed by Westwood in 1976.[14] A book editedby J. L. Vossen and W. Kern contains several chapters reviewing magnetronsputtering[15] and B. Chapman’s book[2] provides an introduction to glowdischarges used in sputtering and plasma etching. The growth and propertiesof semiconductors deposited by sputtering have been reviewed by J. E.Greene.[16]-[18] Harper and co-workers[19]-[21] have written review articles onion-beam sputter deposition. Finally, the role of low-energy ion/surfaceinteractions in controlling the microstructure and microchemistry of vapor-phase deposited films has been discussed in detail in a number of reviewarticles by Greene and co-workers.[22]-[25]

Developments in the science and technology of sputtering are mostcommonly reported in the following journals: Journal of Vacuum Science andTechnology, Thin Solid Films, Journal of Applied Physics, Vacuum, SurfaceScience, Applied Surface Science, and the Journal of the ElectrochemicalSociety.

2.0 SPUTTERING MECHANISMS

Sputtering is a statistical process which occurs as a result of amomentum-exchange collisional cascade process initiated near the targetsurface by an incident energetic projectile. Figure 5.5 shows a computersimulation of such a process resulting from a single bombardment event. Itis immediately clear that sputtering cannot result from a single binarycollision since the momentum vector of the struck target atom must bealtered by more than 90o. In the simulated collision sequence of Fig. 5.5,the incoming projectile (depicted as a solid circle) strikes target atom 1driving it deeper into the lattice. The collision is elastic and the subsequentpath of the initial projectile towards atom 2 can be calculated from conser-vation of energy and momentum considerations. The glancing collision withatom 2 causes the projectile to hit atom 3 which is displaced and collides withsurface atom 4 imparting sufficient momentum to allow atom 4 to overcomethe surface energy barrier and be ejected. The initial projectile as well asatoms 1 and 2 displace other lattice atoms in subsequent “knock-on”collisions but, in this simulation, fail to lead to any further sputtering events.

The statistical nature of the sputtering process is evident from theabove example. Computer simulations of Cu bombardment by 600 eV Ar+

ions[26] have shown that the radius of a collision cascade under such

Page 282: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Sputter Deposition Processes 285

conditions is of the order of 10 nm and that the fraction of collision sequenceswhich actually intersect the surface and transfer sufficient momentum toresult in sputtering is quite low. Statistical analyses show that sputter ejectionvery rarely occurs due to collision cascades initiated more than five atomiclayers below the surface. Most of the energy transferred to the lattice duringion bombardment is lost as heat. The time associated with a particularcollision event is short with respect to the projectile time of flight betweencollisions. Thus, under normal sputter deposition conditions, the probabilitythat overlapping lattice regions will be excited simultaneously by individualbombardment events is small.

2.1 Sputtering Rate

The sputtering process is quantified in terms of the sputtering yield,defined as the number of target atoms ejected per incident particle. Theyield depends on the target species and the nature, energy, and angle ofincidence of the bombarding species. It is relatively insensitive to thetarget temperature.[11] (At sufficiently high temperatures, of course, theevaporation rate becomes of the order of, or larger than, the sputteringrate). The yield is also independent of whether or not the bombarding speciesis ionized. In fact, incident ions have a high probability of being neutralized by

Figure 5.5. Computer simulation of a portion of a collision sequence initiated bya single ion-bombardment event in a solid lattice.

Page 283: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

286 Deposition Technologies for Films and Coatings

a field-emitted electron prior to impact.[11][26]-[29] Molecular bombardingspecies behave as if the atoms of the molecule arrived separately with thesame velocity as the molecule and initiated their own sputtering events.[11]

The sputtering yield tends to be greatest when the mass of thebombarding particle is of the same order of magnitude or larger than that of thetarget atoms. The use of inert-gas ions avoids chemical reactions at the targetand substrate. Accordingly, Ar is often used because of its mass compatibilitywith materials of engineering interest and its low cost.

Sputtering yields are determined experimentally. Figure 5.6 shows yieldversus ion-energy data for several materials under normal ion incidence.Additional data are given in Table 5.1. The dependence of the yield on thebombarding-ion energy exhibits a threshold of 20 - 40 eV,[11] followed by anearly linear region which may extend to several hundred eV. At higherenergies, the yield vs ion-energy dependence becomes sublinear. Thesputtering process is most efficient from the standpoint of energy consumptionwhen the ion energies are within the linear range.

Figure 5.6. Variation of the sputtering yield of several materials as a function of Ar+

ion energy at normal angle of incidence. Data from R. V. Stuart and G. K. Wehner,J. Appl. Phys. 33, 2351 (1962); D. Rosenberg and G. K. Wehner, J. Appl. Phys. 33,1842 (1962); and R. Behrisch, Ergeb. Exakt. Naturw. 35, 295 (1964).

Page 284: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Sputter Deposition Processes 287

Table 5.1. Sputtering Yields for Various Materials under Argon Ion Bombardment. Ion energy in eV. Data from Ref. 36.

Ion Energy (eV) Heat of Target 200 600 1,000 2,000 5,000 10,000 Sublimation

Metal Sputtering Yields (Atoms/Ion) (eV/atom***)Ag 1.6 3.4 - - - 8.8 2.94Al 0.35 1.2 - - 2.0 - 3.33Au 1.1 2.8 3.6 5.6 7.9 - 3.92C 0.05* 0.2* - - - - 7.39Co 0.6 1.4 - - - - 4.40Cr 0.7 1.3 - - - - 4.11Cu 1.1 2.3 3.2 4.3 5.5 6.6 3.50Fe 0.5 1.3 1.4 2.0** 2.5** - 4.13Ge 0.5 1.2 1.5 2.0 3.0 - 3.98Mo 0.4 0.9 1.1 - 1.5 2.2 6.88Nb 0.25 0.65 - - - - -Ni 0.7 1.5 2.1 - - - 4.45Os 0.4 0.95 - - - - 8.19Pd 1.0 2.4 - - - - 3.90Pt 0.6 1.6 - - - - 5.95Re 0.4 0.9 - - - - 8.06Rh 0.55 1.5 - - - - 5.76Si 0.2 0.5 0.6 0.9 1.4 - 4.68Ta 0.3 0.6 - - 1.05 - 8.10Th 0.3 0.7 - - - - 5.97Ti 0.2 0.6 - 1.1 1.7 2.1 4.86U 0.35 1.0 - - - - 5.00W 0.3 0.6 - - 1.1 - 8.80Zr 0.3 0.75 - - - - 6.34

Compound Sputtering Yields (Molecules/Ion)CdS(1010) 0.5 1.2 - - - -GaAs(110) 0.4 0.9 - - - -GaP(111) 0.4 1.0 - - - -GaSb(111) 0.4 0.9 1.2 - - -InSb(110) 0.25 0.55 - - - -PbTe(110) 0.6 1.40 - - - -SiC(0001) - 0.45 - - - -SiO2 - - 0.13 0.4 - -Al2O3 - - 0.04 0.11 - -

*Kr+ ions **Type 304 stainless steel ***From Ref. 240

Note that for typical ion acceleration energies, the sputtering yields ofmost metals are near unity and within an order of magnitude of one another.This is in contrast to evaporation where the rates for different materials at agiven temperature can differ by several orders of magnitude. In addition, theevaporation rate for a given material varies exponentially with temperaturewhile the sputtering yield is essentially independent of temperature.

The general dependence of the sputtering yield on the ion angle ofincidence is indicated in Fig. 5.7.[30] In glow-discharge sputtering devices,the ions generally approach the target in a direction normal to the target

Page 285: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

288 Deposition Technologies for Films and Coatings

surface. Thus, the relationship shown in Fig. 5.7 is of particular significancewhen the target surface is highly irregular or for ion-beam sputtering where theion-incidence angle can be controlled.

Sputtering systems are generally calibrated to determine the depositionrate under a given set of operating conditions. However, yield data of the typedescribed above are often used in estimating rate changes when changingcoating materials and in estimating the amount of material removed duringsputter cleaning and bias sputtering. The erosion rate is given by

JSMAEq. (1) R = 6.23 (nm/min)

δ

Figure 5.7. Schematic diagram showing variation of the sputtering yield with ionangle of incidence for a constant ion energy.

Page 286: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Sputter Deposition Processes 289

where J is the ion current density in mA/cm2, S is the sputtering yield in atoms/ion, MA is the atomic weight in grams, and δ is the density of the target materialin g/cm3.

The reader should be cautious about using Eq. 1 in attempting to predictabsolute sputtering rates, especially in planar diode systems where theaverage energy of ions striking the target may be considerably less than Ei =eVT (VT is the applied target potential), due primarily to inelastic chargeexchange collisions[31] between accelerated ions and neutral sputtering gasspecies. S(Ei) data, on the other hand, are usually obtained from ion beamexperiments carried out in low pressure (long mean-free path) environmentswhere the ion energy is given by the accelerating energy. The apparent loweryield in the glow discharge sputtering case (due to the lower average ionenergy) is, however, partially offset by the flux of energetic charge-exchangedneutrals which are incident at the target.

2.2 Momentum Exchange

Consider a particle of mass Mi and velocity vi which impacts on a line ofcenters with a target particle of mass Mt that is initially at rest, as shown inFig. 5.8a. Three simple observations can be made. First, as noted above, themomentum imparted to the target particle drives it into the lattice. Secondly,from a simple line-of-centers atomic collision calculation, a fraction

4 MiMtEq. (2) ε =

(Mi + Mt)2

of the kinetic energy of the incident particle is transferred to the target particle.An expression for the yield, which can be written in the form shown in Eq.

3 below, has been derived by assuming perpendicular ion incidence onto atarget consisting of a random array of atoms (a good approximation for a small-grain polycrystalline material) with a planar surface.[32]-[34]

EEq. (3) S = (constant) ε α(Mt/Mi)

U

The relationship is useful for illustrating the functional dependences of theimportant parameters and provides reasonably good agreement withmeasurements for medium mass (Ar, Kr) bombardment of a wide variety of

Page 287: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

290 Deposition Technologies for Films and Coatings

materials. The yield is seen to depend directly on the energy transfercoefficient ε. The term α(Mt/Mi) is a near-linear function of Mt/Mi, E is thekinetic energy of the incident ion, and U is the heat of sublimation for the targetmaterial. The mass dependence of εα does not vary greatly from one materialto another. The primary material-sensitive factor is the heat of sublimation, andthis is only a first power dependence. This is in contrast to chemical andthermal processes that depend exponentially on an activation energy. It is thisrelative insensitivity to the properties of the target material that gives sputteringthe universality referred to previously.

When the ion mass is lower than that of the target atom, it may bereflected backward in a single collision with a kinetic energy that is still asignificant fraction of its initial energy. For a 180o reflection, this fraction is

(Mi - Mt)2

Eq. (4) f = (Mi + Mt)

Figure 5.8. Schematic diagram showing momentum exchange processes thatoccur during sputtering; Mi and vi are the ion mass and velocity, Mt and vt are thetarget-atom mass and velocity, and the prime superscript denotes velocities aftercollision.

Page 288: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Sputter Deposition Processes 291

If Mi > Mt, reflection requires more than one collision and the reflectioncoefficient is low.[35]

Since the ions have a high probability of being neutralized prior to impact,they are reflected as energetic neutrals which are therefore not influenced bythe electric field over the target surface.[36] The flux of reflected speciescontributes to substrate heating,[37] particularly in devices operating at lowpressures where the reflected neutralized ions may reach the substrates withlittle loss of kinetic energy by gas-phase collisions. Consequently, thereflected species bombard, and can become entrapped in, the growingfilm.[38]-[41]

The energy flux which leaves the cathode via backscattering can beestimated using the sputtering efficiency[42]-[44] which is defined as thefraction γ of the bombarding ion energy incident on the target surface, Ein,which leaves the surface in the backward direction, Eout, in the form ofsputtered atoms or backscattered ions.

Eout Esputtered + EbackscatteredEq. (5) γ = =

Ein Ein

The energy of the sputtered atoms is discussed in a subsequent section.Theoretical calculations[42] for a target consisting of a random array of atomsin which the surface binding energy was neglected indicates that thesputtering efficiency is independent of the energy of the incident ion and issimply a monotonically increasing function of the target-atom/ion mass ratio.This dependence, which has been confirmed for both low and high ionenergies, is shown in Fig. 5.9.

Momentum exchange processes also provide an explanation for theangular dependence of the sputtering yield shown in Fig. 5.7. An ion whichis incident on the target surface at an angle θ will, to first order, have its pathlength increased by a factor secθ before it passes through depth d. At largerangles of incidence, ion reflection dominates and the yield decreases.

Another question of interest is the ultimate fate of the inert gas ions thatbombard the target. The probability of their becoming trapped in the targetincreases with ion energy above a threshold of ~ 50 - 100 eV.[45] Thus, aconcentration of inert gas, which depends on a balance between the rates ofimplantation and release, will develop in the near-surface region of the target.The amount of gas entrapped in the target can be large enough to influence thesputtering yield.[46]

Page 289: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

292 Deposition Technologies for Films and Coatings

2.3 Alloys and Compounds

An important advantage of the sputtering process is that the compositionof a sputter-deposited film tends to be the same as that of the target, providedthat: (i) the target is maintained sufficiently cool to avoid bulk diffusion of theconstituents, (ii) the target does not decompose, (iii) reactive contaminantsare not present, (iv) the gas-phase transport of the components is the same,and (v) the sticking coefficients for the components on the substrate are thesame. Targets can be formed by casting or by hot pressing powders. Inaddition, composite targets can be formed by placing wires, strips, or discsof one material over a target of another material.

The details of ion/surface interactions with multicomponent materialsare complex[47]-[49] and poorly understood. Consider the case of a homoge-neous starting material composed of species having different individualsputtering yields or masses. When sputtering is first initiated from such a

Figure 5.9. Sputtering efficiency versus target-to-ion mass ratio. The solid curveis from the theoretical work of Sigmund (Ref. 42). The experimental data is fromsubstrate heating experiments with cylindrical-post magnetrons (Ref. 37).

Page 290: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Sputter Deposition Processes 293

target, the sputtered flux will, in general, be rich in one of the constituents. Thecomposition of this altered surface layer continues to change until the productof the partial sputtering yield times the surface concentration for each speciesis proportional to its concentration in the target. The process is indicatedschematically in Fig. 5.10. Once a steady-state altered layer is formed, thecomposition of the sputtered flux is equal to the bulk target composition.

The thickness and composition of the altered layer will depend on thetarget material and sputtering conditions. Typical altered-layer thicknessesare 3 - 10 nm for single-phase alloys[49][51] and up to several µm for multiphasealloys.[52] A change in sputtering conditions will in general require anadjustment of the altered layer. It is important to note that the partial sputteringyield of a constituent in an alloy or compound will not be the same as for thatconstituent by itself because of the difference in binding energies and thedifferent atomic masses involved in the collision sequence within the alloy orcompound. In an alloy for which the constituent species have similar bindingenergies, the low mass species can be expected to have higher partialsputtering yields. If the masses are similar, the weakly-bound species willhave higher partial sputtering yields.[34][47]-[48] Thus, in the sputtering of mostoxides, the altered layer becomes deficient in the flow-mass oxygen compo-nent.[47]

Figure 5.10. Schematic illustration of the surface composition modification whichoccurs during sputtering of a single-phase alloy.

Page 291: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

294 Deposition Technologies for Films and Coatings

Sputtering of two-phase alloys in which the phases have significantlydifferent sputtering yields results in the development of an irregular surfacetopography.[30][53]-[55] The sloping surfaces that survive tend to be those thatmake an angle with the sputtered flux such that the sputtering yield ismaximized. If the second phase, or any included impurity particles, have verylow sputtering yields, the surface may develop into a forest of cones with sidewalls at the maximum sputtering angle[52][56]-[59] as shown in Fig. 5.11. Thecones will eventually be sputtered away; however, the receding target surfacewill expose new second-phase regions and impurity particles (if they aredistributed throughout the bulk) and new cones will form. Thus a steady-statesurface topography will develop. Surface diffusion on the target will, in general,make this situation more complex than the picture described above. Theimportant point is that, following an incubation period, the composition of thesputtered flux leaving the target will become identical to that of the target.Nevertheless, the irregular surface topography may cause the overall yield tobe considerably lower than what might be expected on the basis of the yieldsof the primary target constituents.

Topographical evolution such as cone formation can also influence theperformance of composite sputtering targets.[60][61] When such targets areused in sputtering systems that operate at high pressures (greater than about20 mTorr), some of the sputtered material will be backscattered by the workinggas. Thus mixing of low and high yield materials can occur on the targetsegments. When atoms of a low-yield material are deposited on a high-yield target surface, the low-yield material can agglomerate into islandscapable of protecting the material underneath and cones will form.[58]

Figure 5.11. Schematic representation showing stages of cone formation duringion irradiation of a contaminated or two-phase target.

Page 292: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Sputter Deposition Processes 295

Relative sputtering yields as well as the target temperature appear to beimportant in predicting this behavior. An example is the formation of Mo-protected cones on Cu surfaces.[58] The resultant sputtering rate from thecone-covered surface has been found to be very close to that for the low-yieldmaterial, Mo. Thus the composition of films deposited from composite targetscan be much different than that estimated using the individual sputtering yieldsand the relative areas of the target segments.

Special care should be exercised when using hot-pressed targets. Hot-pressed Au-Ni and Au-Co targets composed of powders in the 50 to 130 µmrange were found to yield deposits with compositions that matched those ofthe target after a transition period during which a layer ≈20 µm thick had beensputtered from their surfaces.[62] However, the overall yield dropped to a valueequal to that of the low-yield constituents (Ni or Co), even when the volumefraction of that constituent was only ≈30%.

Contamination can present a particular problem with hot-pressed targetsbecause of the large surface area contained in the starting powder. Suchcontamination may be present throughout the target and will provide acontinuous virtual leak as the target is used.[63][64]

Particular caution must also be exercised when using targets composedof compounds having poor electrical and thermal conductivities. Crackingoften limits allowable current densities. The problem is especially egregiousin planar magnetron systems where concentrated heating occurs under theplasma ring.[65] Poor thermal conductivity leads to high surface temperaturesand may also result in the loss of volatile constituents by evaporation orsublimation. The high electric field in a poorly conducting target can act inconcert with the high temperature and promote diffusion within the target. Thusthe requirements listed at the beginning of this section for obtaining films withthe same composition as the target are violated. It is not uncommon for filmssputter deposited from such targets to be deficient in the more volatileconstituents.[66][67]

2.4 Sputtering with Reactive Species

The most complete data on the dependence of the sputtering yield on theion species are those collected by Almen and Bruce, shown in Fig. 5.12.[68]

Although the ion energies were considerably above those generally used forsputter coating technology, they do illustrate trends. Sputtering yieldsincrease with the mass of the ions and, for a given row in the periodic table,the rare-gas ions have the highest yields. Of particular interest is the factthat yields vary much more with ion species (factor of 100 or more) than

Page 293: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

296 Deposition Technologies for Films and Coatings

they do with target atom species (factor of 10).[11] This is believed to resultfrom the bombarding ions forming alloys or compounds with high bindingenergies on the surface of the target. Note that the yields for the three targetmaterials examined in Fig. 5.12 are particularly low for reactive species suchas Be, C, Mg, Si, Ti, and Zr.

Reduced yields are commonly observed in reactive sputtering (see Sec.4.1 in this chapter) and attributed to compound formation on the target surface.Such surface interactions can also significantly influence the surface topog-raphy that develops on the target. Thus, 20 keV O2

+ bombardment of an Fetarget yielded a considerably smoother surface than 20 keV Ar+ bombard-ment.[69]

2.5 The Nature of Sputtered Species

Under typical metal or semiconductor thin-film deposition conditions,most sputtered material is ejected in the neutral atomic state. The fraction ofcharged particles sputtered from clean metal and semiconductor surfacesis on the order of 10-4, becoming larger for surfaces contaminated with

Figure 5.12. Sputtering yields for various ions impacting at normal incidence onAg, Cu, and Ta surfaces at high energies (45 keV). Data from Ref. 68.

Page 294: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Sputter Deposition Processes 297

strongly electropositive or electronegative species.[70]-[73] In glow dischargesputtering, the target is biased negatively and hence ejected positive ions willbe recaptured. The fraction of negative ion emission from pure semiconduct-ing targets such as Si, GaAs, or GaP is typically less than 10-4.[74] However,the negative ion yield can be quite large for targets composed of elements,one of which has a low ionization potential, while another has a high electronaffinity. Examples are TbF3,[75] SmAu,[76] and YBa2Cu3Ox.

[77] Glowdischarge sputtering of such materials results in acceleration of the negativeions, via the cathode fall potential, to the substrate.

Relatively little experimental data is available on the probability ofmaterial being sputtered as molecules or clusters. Investigation by Oechsnerand Gerhard[78][79] and Gerhard[80] using mass spectrometric analyses ofpost-ionized sputtered neutral particles has shown that with 1 keV Ar+

bombardment, the maximum fraction of sputtered dimers is 0.1 for Ag, Au, andCu and about 0.03 for other metals. The fraction of trimers is about 0.001.Molecular-dynamic computer simulations by Winograd et al.[81] indicate thatthe fraction of sputtered Cu multimers varies strongly with crystal orientation,being largest for the (111) face. While such simulations are useful forpredicting trends, the number of individual events sampled is too small toexpect reliable statistics. Nevertheless, the predicted yield fractions ofsputtered dimers and trimers agree reasonably well with the measured resultsof Gerhard and co-workers.

The mechanism for the sputtering of molecular species is not wellestablished. So-called “statistical models” have been proposed in whichsputtered neutral atoms resulting from nearly-simultaneous ejection eventsagglomerate above the surface if their ejection is properly correlated inspace and time and their relative kinetic energy is less than the dissociationenergy of the molecule formed.[79][82]-[84] Können et al.[82] used such amodel to describe the energy distribution of sputtered K2 and KI molecules.Winograd et al.[81][84] invoked a similar mechanism to obtain sputteredclusters in their computer simulations. However, Prigge and Bauer[85]

reported experimental results which may indicate that, at least for the caseof dimer and trimer ions, species comprising sputtered molecules wereoriginally vertically displaced nearest neighbors in the lattice. In theirexperiments, they used 1 keV Ar+ ions to sputter thin Cu or Pd layers whichhad been deposited onto (110) W. The metal layers ranged in thicknessfrom less than a monolayer to greater than three monolayers and sputteredspecies were detected by secondary-ion mass spectrometry. No sputteredCu2

+ or Pd2+ ions were observed emanating from targets with less than one

Page 295: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

298 Deposition Technologies for Films and Coatings

monolayer coverage and no trimer ions from samples with less than twomonolayers. The sputtering yield of monomer, dimer, and trimer ions allsaturated at a coverage of ≈3 monolayers.

In the case of compounds, most of the information available on molecularsputtering is for alkali halides and oxides where clusters can account for asignificant percent of the total sputtered flux. Coburn et al.[86] showed that therelative fraction MO/(M + O) of sputtered species from MxOy metal oxidetargets increased with increasing M-O bond energy. Rare gas matrix isolationspectroscopy was used by Gruen et al.[87][88] to identify Al2O and AlOmolecular species sputtered from Al2O3 targets under Ar+ bombardment andTiO and ZrO species from metal targets sputtered with 2 keV O2

+ ions.[89]

The only published work on compound semiconductors is for GaAs.Using 140 eV Ar+ bombardment, comparable to the average impact energy inmany glow discharge deposition experiments, Comas and Cooper[90] foundfrom post-ionized mass spectroscopy measurements that molecular species(GaAs, Ga2, As2) amounted to less than 1% of the total sputtered flux.However, for 6 keV Ar+ ion sputtering, Szymonski and Bhattacharya[91]

observed that at room temperature, sputtered GaAs and As2 moleculesaccounted for ~14% and 11% (data uncorrected for the variation in the detectorefficiency as a function of mass), respectively, of the flux. The fraction ofsputtered GaAs molecules was found to increase rapidly for target tempera-tures above 250oC. This latter effect was explained as being due to enhancedsputtering from collisional spikes.

2.6 Energy Distribution of Sputtered Species

An important distinction between sputtering and other vapor-phasedeposition techniques is that sputtered atoms can have quite high kineticenergies. For example, the average ejection energy of Ge atoms under 1.2keV Ar+ bombardment is ≈15 eV[92] compared to only ≈0.1 eV for evaporatedGe. In sputter deposition systems for which the target-substrate separationis less than a few mean free paths, the energy distribution of sputtered speciesimpinging on the substrate will be approximately the same as the ejectedspecies energy distribution.

The most probable ejection energy is typically of the order of one half thesurface binding energy, but because of the extended high-energy tail theaverage ejection energy is considerably higher (see Fig. 5.13)[92] and, ingeneral, is found to increase with the atomic number of the target.[93]-[95]

Page 296: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Sputter Deposition Processes 299

From collision cascade theory,[96] the energy distribution of sputtered speciesis expected to be

EEq. (6) ∆N/∆E ∝

(E + U)3

where ∆N/∆E is the differential flux of sputtered particles with energy E andU is the surface binding energy. In practice, the high-energy tails ofexperimentally determined sputtered-atom energy distributions for rare-gasbombardment energies from ≈1 to 10 keV generally follow a E-2 dependencein agreement with Eq. (6).

Figure 5.13. (Top) Energy distribution of sputtered Cu atoms ejected by Kr+ ionsat various bombarding energies. (Bottom) Comparision of velocity distributions ofsputtered and evaporated Cu atoms. Data from Ref. 92.

Page 297: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

300 Deposition Technologies for Films and Coatings

Sputter-ejected atoms from metals with atomic number Zt > 20 haveejection velocities which lie in a relatively narrow range (see Fig. 5.14b).Average ejection energies therefore increase with increasing Zt as shown inFig. 5.14a for neutral metal atoms sputtered from polycrystalline targets with1.2 keV Kr+ ions.[11]

Atoms sputtered from polycrystalline or amorphous targets underperpendicular-incidence bombardment by medium-mass ions with energiesof 1 - 3 keV are ejected in nearly random directions, as a consequence ofmultiple collisions within the target, and therefore have near cosine distribu-tions.[11] At low ion energies (≈1 keV), the distribution may be slightly under

Figure 5.14. Average energies (top) and velocities (bottom) of sputtered atomsejected by 1.2 keV Kr+ ion bombardment. Data from Ref. 11.

Page 298: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Sputter Deposition Processes 301

cosine (more emission at large angles) while at higher energies (≈3 keV) it isover cosine.[10] Under oblique-incidence bombardment, the target atoms aresputtered in the forward direction from smooth surfaces. However, theroughness of most practical targets causes the emission to be random. Thisis particularly true for polycrystalline targets, where the difference in yield fordifferent crystallographic directions can lead to an increase in surfaceroughness as sputtering proceeds. Thus, a cosine distribution is often areasonable approximation for estimating deposition profiles.[11]

3.0 SPUTTER DEPOSITION TECHNIQUES

The fundamental problem in implementing the sputtering process is toprovide a uniform and copious supply of ions over the surface of the target. Thelow-pressure glow discharge has proven to be the most cost-effective sourceof ions. A wide range of glow discharge apparatus geometries have been usedin attempts to: (i) increase the ion supply and thus the sputtering rate, (ii)increase the target area and thus the available deposition area, (iii) reduceplasma heating of the substrates, (iv) permit operation at lower working-gaspressures, and (v) facilitate the coating of particular substrate shapes. In thefollowing discussion, the essential features of the glow discharge and severalof the more commonly used apparatus types are reviewed.

3.1 Planar Diode and the DC Glow Discharge

The planar diode shown schematically in Fig. 5.15 is the simplest andprobably the most widely used sputtering configuration. Cathode diametersare typically 10 to 30 cm and the cathode-to-anode spacing ≈5 to 10 cm. Suchsystems are operated with both DC and RF power supplies. In DC diodes, thecathode serves a dual capacity. It is the target or source of coating materialas well as the cathode electrode for sustaining the glow discharge and isgenerally water-cooled. Often the target consists of a disc of the material tobe sputtered which is attached with solder or conducting epoxy to a backingplate which serves as part of the cathode-cooling channel. A low-pressureglow discharge of a type known as an abnormal negative glow[97] is maintainedbetween the cathode and an adjacent anode which may also serve as thesubstrate mounting table, as shown in Fig. 5.15.

Page 299: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

302 Deposition Technologies for Films and Coatings

A grounded shield is used to promote a uniform erosion rate over thetarget surface and to prevent sputtering from the sides and the rear surface ofthe target. The discharge current is carried, in the vicinity of the negativelybiased cathode, primarily by positive ions passing out of the plasma volume,and, in the vicinity of the anode, by electrons passing from the plasma volumeto the anode. Thus, a necessary condition for sustaining the discharge is thatthe plasma volume be a suitable source of electrons and ions.

Because of the relatively low mobility of the ions compared to theelectrons, most of the electrical potential that is applied between the anodeand cathode by the power supply is consumed in a cathode dark space, orsheath region.[97] Dark-space thicknesses are typically 1 to 4 cm, dependingon the pressure and current density.[99] Accordingly, strong electric fields areformed, and ions passing from the plasma volume to the cathode areaccelerated by these fields to impact the cathode. However, these ions alsocause a small number of secondary electrons to be emitted from the surface(approximately one for every ten ions in the case of Ar+ ions impacting on ametal cathode).[100][101] These electrons are accelerated in the cathode darkspace to energies approaching the applied potential and enter the plasmavolume (negative glow) where, known as primary electrons, they collide withgas atoms and produce the volume ionization necessary to sustain thedischarge.[97][102]

The requirement for sustaining such a discharge is that each primaryelectron must produce sufficient ions to release one further electron from the

Figure 5.15. Schematic representation of the plasma in a planar diode sputteringsource.

Page 300: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Sputter Deposition Processes 303

cathode. Therefore, the interelectrode spacing must be large compared to theelectron mean free path. The cross section, σ, for Ar ionization by the impactof 500 eV electrons is about 10-16 cm2.[103] Thus, for example, at an Arpressure of 1 mTorr (gas density N = 3.2 x 1013 atoms/cm3) the electron meanfree path (1/Nσ) for the production of ionization is 300 cm; i.e., much larger thanthe cathode-to-anode spacing. Consequently, discharges of the form shownin Fig. 5.15 can be sustained only at relatively high working pressures (50 - 100mTorr), where a high density of Ar collision partners is provided for the primaryelectrons. (The grounded shields shown in Fig. 5.15 prevent the dischargefrom forming on the sides of the cathode because the electrode separation istoo small to support the ionization mechanisms described above at theoperating pressures of interest.).

Attempts to increase the discharge current in a planar diode byincreasing the applied voltage are thwarted to a large degree by the fact thatthe ionization cross-section decreases with increasing electron energy forenergies greater than about 100 eV.[103] The current, and thus the sputteringrate, can be effectively increased at a given voltage by increasing the Arpressure. However, if the pressure is too high, the deposition rate starts todecrease since the motion of both ions and sputtered atoms is impeded by theworking gas atmosphere, as discussed below. These conflicting require-ments result in an optimum operating pressure for producing the maximumdeposition rate in a given apparatus. Typical operating conditions for metaldeposition in a DC planar diode sputtering source are listed below.

Cathode current density - 1 mA/cm2

Discharge voltage - 3,000 VAr pressure - 75 mTorr (10 Pa)Deposition rate - 40 nm/min (2.4 µm/h)

At typical planar diode operating pressures, the motion of the ionsacross the dark space is disrupted by collisions with gas atoms. In suchcollisions, there is a high probability of charge exchange, particularly whennoble gas ions are passing through an atomic gas of their own species(resonance charge exchange).[97] A fast ion extracts an electron from a slowgas atom. The fast ion then becomes a “fast” neutral atom, while the “slow”atom becomes a positive ion, as indicated schematically in Fig. 5.16. Thus,instead of being bombarded by a current of ions having an energy equal to thepotential drop across the cathode dark space, the target is bombarded witha much larger number of ions and fast neutrals having an average energy thatis often less than 10 - 20% of the applied potential.[31][104]

Page 301: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

304 Deposition Technologies for Films and Coatings

The deposition rate in planar diodes is further reduced by gas-scatteringof sputtered atoms during transport between the target and the substrate.Optical emission measurements have confirmed that at typical sputteringpressures, sputtered-atom transport within the negative glow region is largelyby diffusion.[105][106] The combination of charge transfer processes anddiffusion transport make it necessary to determine deposition rates experi-mentally for each set of operating conditions. Another consequence of thecollision-dominated transport of the sputtered atoms is a reduction in theirkinetic energy at the substrate.[14][107][108] Figure 5.17 shows the results ofan approximate calculation of the maximum distance required for sputteredatoms with various initial energies to have their kinetic energy reduced to thethermal energy of the gas atoms (≈0.025 eV).[109] At typical planar diodeoperating pressures, the equilibration distances are short compared to target-to-substrate spacings.

Even under the relatively high-pressure conditions that yield the maxi-mum deposition rates, the planar-diode discharge is inefficient. Many of thehigh-energy primary electrons fail to transfer their energy in the plasma volumeand are incident at the anode and substrates while still possessing consider-able energy. Ions and electrons are also lost from the edges of the discharge.Note also that the substrates are in contact with the plasma and are thereforealso subjected to bombardment by plasma electrons and ions. This irradiationprecludes the coating of many heat-sensitive materials such as plastics.[110]

Figure 5.16. Schematic illustration of the charge-exchange process that affects iontransport across the cathode dark space.

Page 302: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Sputter Deposition Processes 305

Planar diodes are widely used despite substrate heating and lowdeposition rates. The reason is their simplicity and the relative ease with whichplanar targets can be fabricated from a wide range of materials. Sputtercleaning of the substrate and bias sputtering are easily accomplished byadding an auxiliary anode and applying a negative bias to the substrate holder.

3.2 Triode Discharge Devices

Triode discharge devices utilize an additional electrode, independent ofthe target, to sustain the glow discharge.[13] The most common configurationis the hot-cathode triode shown schematically in Fig. 5.18. Electrons areemitted from the cathode surface thermionically rather than by ion bombard-ment. This relaxes the volume ionization requirement for sustaining thedischarge. Consequently, hot-cathode triodes can be operated at lowpressures (0.5 to 1 mTorr). The driving voltage is only 50 - 100 V, althoughthe current may be several amperes. Radial plasma losses are oftenminimized through the confining effect of an axial magnetic field as shown inthe figure. However, such a field produces a distortion of the currentdistribution over the target.

Figure 5.17. Maximum distance from the target at which sputtered Al and Taatoms of different initial energies are thermalized in Ar at various pressures.Data from Ref. 109.

Page 303: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

306 Deposition Technologies for Films and Coatings

Triodes permit high deposition rates (several hundred nm/min) to beachieved, even at low pressures (mTorr range).[3] Although thick coatings havebeen deposited,[111] use of triodes has been limited by difficulties in scalingand the vulnerability of the thermionic emitter to reactive gases. Conse-quently, magnetron sources (next section) are assuming primary importanceas high-rate sputtering devices.

3.3 Magnetrons

The development of high performance magnetron sputtering sources thatprovide (i) relatively high deposition rates, (ii) large deposition areas, and (iii)low substrate heating, revolutionized the sputtering process by greatlyexpanding the range of feasible applications.[112]

Magnetron sputtering sources can be defined as diode devices in whichmagnetic fields are used in concert with the cathode surface to form electrontraps which are so configured that the ExB electron drift currents close uponthemselves.[113][114] Magnetrons can be configured in a variety of forms.Examples include the planar magnetrons shown in Fig. 5.19a, the S-gun typeshown in Fig. 5.19b, and the cylindrical type shown in Fig. 5.20.

Figure 5.18. Schematic drawing of hot-cathode assisted discharge device (triode)From Ref. 13.

Page 304: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Sputter Deposition Processes 307

Figure 5.19. Magnetrons with magnetic end-confinement: (a) planar magnetron,(b) gun type. From Ref. 110.

Figure 5.20. Cylindrical-post magnetron sputtering source with electrostatic end-confinement. From Ref. 110.

The magnetron configuration shown in Fig. 5.20 has been termed the“cylindrical-post magnetron.”[113]-[115] It provides the simplest geometry forexplaining the principles of magnetron operation.[113]-[118] The cathodeconsists of a cylindrical barrel with end plates, all composed of the materialto be sputtered. It is mounted in a chamber with a uniform magnetic field Bdirected parallel to the cathode axis. The magnetic field is of such strength

Page 305: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

308 Deposition Technologies for Films and Coatings

(a few hundred gauss or less) that it affects the plasma electrons but not theions. Figure 5.21 shows a typical chamber configuration for cylindricalmagnetrons. A set of solenoidal field coils is positioned surrounding thecylindrical vacuum wall, which is constructed of a nonmagnetic material. Amagnetic steel shell surrounds the coils and makes contact with the chambertop and bottom plates which are also fabricated from a magnetic material suchas low-carbon steel. Thus, a low reluctance return path is provided for thesolenoidal flux, as indicated in the figure, with the consequence that the coilsystem efficiently provides a uniform magnetic field within the chamber.

Secondary electrons which are emitted from the cylindrical-magne-tron cathode barrel due to ion bombardment find themselves trapped in anannular cavity which is closed on three sides by surfaces at cathode

Figure 5.21. Chamber and magnetic field coil configuration used for cylindrical-post magnetron sputtering sources.

Page 306: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Sputter Deposition Processes 309

potential and on the fourth side by the magnetic field. Anode rings arelocated adjacent to the end plates on one or both ends of the cathode.Therefore, electrons emitted from the cathode must migrate radially acrossthe cavity in order to reach the anode. Electron collisions of the type requiredto sustain a plasma discharge play an essential role in allowing this migrationto occur. Thus, in contrast to the conventional discharge, the electrons areforced to make the required collisions, and an effective sputtering dischargeis maintained in the cavity.

The electron motion can be understood as follows.[119][120] When anelectron is in a uniform magnetic field, its motion perpendicular to the fieldlines can be pictured as an orbit around a field line, as shown in Fig. 5.22a.Its motion along the field is unimpeded, so that if it has a component ofvelocity along the field line its net motion is a spiral as shown in Fig. 5.22b.Such electrons can be considered to be trapped on magnetic field lines andcan advance to adjacent field lines by making a collision, as indicatedschematically in Fig. 5.22c. An electron will also undergo a drift motionacross the magnetic field if an electric field E is present. However, thismotion, known as ExB drift, is not in the direction of the electric field but ina direction perpendicular to both the electric and the magnetic fields. ExBdrift has the cycloidal form shown in Fig. 5.22d if the initial electron energyis small compared to that gained from the electric field, and the more circularmotion shown in Fig. 5.22e if the initial electron energy is large comparedto the electric-field-induced variations during the course of an orbit.

Referring back to Fig. 5.20, a radial electric field also exists in theannular cavity. The field will be strong in the sheath region adjacent to thecathode but relatively weak at larger radii. Electrons emitted from thecathode will therefore undergo motions of the type shown in Fig. 5.22d andwill become trapped in orbits revolving around the cathode. They will beable to advance radially only by making collisions or by the action of plasmaoscillations which produce azimuthal electric fields and radial drifts.[113][114]

Since the electrons leave the cathode sheath with energies of severalhundred eV, electron collisions with gas atoms have a high probability ofresulting in ionization. The ExB drift motions of the primary electrons andthe products of ionization collisions produce an intense azimuthal currentsheet of trapped electrons adjacent to the cathode. Because of the free axialmovement of the electrons along the field lines, the sheet tends to be uniformalong the cathode length. Large numbers of ions are produced which giverise to uniform sputter-erosion and high sputtering rates along the cathodebarrel.

Page 307: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

310 Deposition Technologies for Films and Coatings

As the electrons give up energy by collisions and become ultimateelectrons,[102] they move into regions of weak electric field at larger radii,and their motion becomes more like that shown in Fig. 5.22e. The ExB driftvelocity is relatively small, and the electrons move primarily up and down thefield lines, reflecting off the end plates as indicated in Fig. 5.20.[121] Whenthey reach the anode radius R, they immediately pass into the anode.Therefore, the high mobility of the electrons along the magnetic field linescauses the anode ring to be projected as a virtual anode sheet whichsurrounds and terminates the plasma discharge but is transparent to thesputtered flux.[113][114] Thus the electrons are trapped within the annularcavity throughout their lifetimes. The ions are constrained electrostaticallyto stay with the electrons and are therefore largely confined to this region aswell. Consequently, there is virtually no plasma bombardment of substrateslocated beyond the anode radius.[37] Low-energy ion irradiation of thegrowing film (in order to controllably alter microstructure and/or microchem-istry) can be induced, however, by "unbalancing" the magnetic confinementas discussed at the end of this chapter in Sec. 4.2.

Figure 5.22. Electron motion in static magnetic and electric fields.

Page 308: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Sputter Deposition Processes 311

Because of the efficiency of the ionization mechanisms in the magne-tron cavity, intense plasma discharges capable of providing high sputteringrates can be maintained at moderate and near-constant voltages, even at lowpressures. Deposition rates will depend on the radial position of thesubstrates. Typical operating conditions for cylindrical magnetrons of the typeshown in Fig. 5.20 are:[114]

Cathode current density - 20 mA/cm2

Discharge current - 1 to 50 ADischarge Voltage - 800 VArgon Pressure - 1 mTorr (0.13 Pa)Cathode erosion rate - 1.2 µm/minSubstrate position - radius equal to 6 cathode radiiDeposition rate - 200 nm/min (12 µm/h)

While these deposition rates are approximately 10x lower than those of planarmagnetrons, the deposition areas are proportionally larger since the substratessurround the cylindrical source.

An important attribute of cylindrical magnetrons is their capability ofbeing scaled through a range of sizes while retaining common operatingcharacteristics.[114] Cathodes which range in length from 0.1 m to 2.1 m havebeen used. Figure 5.23 shows a 2.1 m cylindrical magnetron designed fordepositing decorative coatings.[122] Such long cathodes provide a largesubstrate placement area around the circumference. Substrates can also bepassed on each side of a cylindrical post magnetron in systems that operatecontinuously or semi-continuously. However, the most common applicationis batch processing in which the substrates are arranged around the cathodeas shown in Fig. 5.24. Post cathodes have been used to coat the insides oftubes up to 1.8 m long.[123]

Cylindrical magnetrons can also be configured in the inverted or hollowcathode form shown in Fig. 5.25.[114][116][124] Long hollow cathodes have theproperty that the coating flux at all points within the cathode is approximatelyequal to the erosion flux at the wall. This makes hollow cathodes particularlyeffective for coating objects with complex shapes.[125]

Cylindrical magnetrons can also be designed in an arrangementwhereby the magnetic field lines are bent such that they intersect the cathodebarrel as shown in Fig. 5.26. The annular cross section of the electron trapis now closed on three sides by the magnetic field and on the fourth side bythe surface at cathode potential. The plasma has the form of a ring rather thana sheet. Therefore such systems are generally configured with several suchelectron traps along the cathode cylinder.[116][117][126] Magnetrons of this

Page 309: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

312 Deposition Technologies for Films and Coatings

type are often referred to as having magnetic end-confinement, as opposedto those shown in Figs. 5.20 and 5.25 which are referred to as havingelectrostatic end-confinement.[112][113] Magnetic end-confinement deviceshave also been operated in the inverted or hollow cathode form.[126]

Cylindrical magnetrons with electrostatic end-confinement can be con-figured with large-diameter cathodes which provide a large inventory of coatingmaterial. Furthermore, the material is used very efficiently because of theuniform sputter erosion along the cathode length. However, a potentialdisadvantage with cylindrical sources is that target fabrication may be difficult.

Plasma rings can be confined over planar surfaces or within cylindricalsurface cavities. This is the basis of the planar magnetron[127][128] and sputteror S-gun[129] configurations shown in Fig. 5.19. At the present time, thesedevices are the most widely used form of magnetrons. Like cylindricalmagnetrons, planar magnetrons are attractive because of their ability to bescaled to large sizes. Elongated planar magnetrons are particularly useful

Figure 5.23. Large cylindrical-post magnetron sputtering source with a length =2.1 m. See Ref. 122.

Page 310: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Sputter Deposition Processes 313

for coating large substrate surfaces that are transported in a directionperpendicular to the long axis of the cathode. In this arrangement, closecathode-substrate spacing and deposition rates of µm/min or more may beused. Proper cathode design, aided by minimal aperturing, can providedeposition uniformities of better than ± 5%.[130]

Figure 5.25. Cylindrical-hollow magnetron sputtering source with electrostaticend-confinement. From Ref. 110.

Figure 5.24. Typical arrangement of substrates for batch processing with acylindrical-post magnetron sputtering source.

Page 311: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

314 Deposition Technologies for Films and Coatings

Figure 5.27 shows a schematic drawing of an in-line system, withvacuum interlocks, which is typical of the apparatuses that are used to achievehigh production volumes with planar magnetrons. Large systems of this typeare used to coat architectural glass panels several square meters in size atproduction volumes of 106 m2/yr. The planar magnetrons are typically 2 to 3m long and are driven by currents in the 100 to 200 A range. Cathodes as longas 6.5 m have been considered for architectural glass coating.[131] Largecircular planar magnetrons with arrays of concentric plasma rings 0.6 m indiameter have also been reported.[132] Patents have been granted for variousconfigurations of the sputtering sources shown in Fig. 5.19.[133]-[137]

Gun-type magnetrons do not have the scaling capabilities of the otherforms of magnetrons. However, arrays can be used to coat large areas. Thesystem shown in Fig. 5.4 uses an array of twenty-four S-gun sources in an in-line configuration in which the substrates are transported relative to thesputtering source.

Magnetron sputtering sources can be used to deposit magnetic as wellas nonmagnetic materials. However, when a sputtering target composed ofmagnetic materials is used, it must be saturated magnetically so that itsmagnetic behavior is suppressed and a field of the desired shape can bemaintained over its surface.[114]

Figure 5.26. Cylindrical magnetron with magnetic end-confinement. From Ref.110.

Page 312: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Sputter Deposition Processes 315

DC magnetrons are typically operated at discharge currents in the rangeof 1 to 50 A. Current densities are generally higher in the plasma-ring devices(Figs. 5.19 and 5.26) than in the plasma sheet devices (Figs. 5.20 and 5.25).Total coating material fluxes (which are dependent on the total dischargecurrent) can be comparable at high currents to those obtained with evaporationsystems.

The current-voltage characteristic reveals a great deal about the ioniza-tion processes in a plasma discharge. The more efficient the discharge, thelower the voltage for a given cathode current density. Discharges operatingin the magnetron mode obey an I-V relationship of the form I proportional to Vn,where n is an index to the performance of the electron trap and is typically inthe range 5 to 9. Typical I-V curves for various types of magnetron sputteringsources are shown in Fig. 5.28 and compared with an I-V curve for a planardiode.

A basic disadvantage of the plasma-ring devices is that sputtering occursonly under the plasma rings. Troughs are eroded into the cathode, and thesource material is used relatively inefficiently. Relative motion between thecathode and the magnetic field pattern is sometimes provided to improve thetarget usage.[127] A further disadvantage of ring devices is that the complexityof the magnetic-field shape makes effective anode placement more difficultthan for cylindrical magnetrons.[114][127] Some field lines will intersect thesubstrates, as shown in Fig. 5.26, thereby allowing electron bombardment ofthe substrates. However, the bombardment intensity is much less than inplanar diode sputtering sources. All plasma-ring magnetrons offer theadvantage that the required magnetic field can be produced by permanentmagnets located within the cathode rather than by magnetic field coils locatedat or beyond the chamber walls, as is required for cylindrical magnetrons.

Figure 5.27. Schematic illustration of an in-line system, with vacuum interlocks,used to achieve high production volumes with planar magnetrons.

Page 313: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

316 Deposition Technologies for Films and Coatings

Figure 5.28. Typical current-voltage characteristics for a planar diode sputteringsource and for various types of planar and cylindrical magnetrons. All sources wereoperated with Al targets at the Ar working-gas pressures indicated.

At typical operating pressures (≈1 mTorr), the sputtered flux frommagnetron sources passes to the substrate while undergoing very little gasscattering. Thus the deposition flux can be predicted with reasonableaccuracy by assuming a cosine emission of sputtered material from theerosion area and collisionless passage to the substrates.[114][127] Figure 5.29shows calculated and experimental profiles for the 2.1 m long cylindrical postmagnetron in Fig. 5.23.[122] Figures 5.30 and 5.31 show typical deposition fluxprofiles for planar magnetrons of the ring and rectangular types, respectively.

Page 314: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Sputter Deposition Processes 317

Figure 5.29. Comparision of experimental deposition profile with calculated profilefor long (2.1 m) cylindrical-post magnetron with electrostatic end-confinement. Theprofile was measured parallel to the cathode axis at a radius of 0.86 m. Data fromRef. 122.

Figure 5.30. Deposition-rate profile for a ring-type planar-magnetron sputteringsource at various distances from the cathode surface.

Page 315: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

318 Deposition Technologies for Films and Coatings

3.4 RF Sputtering

DC methods cannot be used to sputter nonconducting targets becauseof charge accumulation at the target surface. This difficulty can be overcomeby using radio frequency (RF) sputtering.[2][66][138]-[142] A single RF sputter-ing apparatus can be used to deposit electrically conducting, semiconducting,and insulating coatings. Consequently, RF sputtering has found wideapplication in the electronics industry. Nonconducting and semiconductingmaterials which have been deposited by RF sputtering include elementalsemiconductors: Si[143] and Ge;[144] III-V compounds: GaAs,[145] GaSb,[146]

GaN,[147] and AlN;[148] II-VI compounds: CdSe[149] and CdS;[67] IV-VIcompounds: PbTe;[150] refractory semiconductors: SiC;[151] ferroelectriccompounds: Bi4Ti3O12;[152] oxides: In2O3,[153] SiO2,[154][155] Al2O3,[156][157]

Ta2O5,[158] Y2O3,[159] TiO2,[160] ZrO2,[161] SnO2,[162] PtO,[163] Bi2O3,[164]

ZnO,[165] and CdO;[166] pyrex glass;[167] and plastics.[168][169] Often severaltargets are placed within a common vacuum enclosure so that multilayercoatings can be deposited without breaking vacuum.

Figure 5.31. Deposition rate profile for a rectangular-type planar-magnetronsputtering source along the long axis (A-A) at various distances from the cathodesurface.

Page 316: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Sputter Deposition Processes 319

The usefulness of RF methods for sputtering nonconducting materials isbased upon the fact that a self-bias voltage, negative with respect to theplasma floating potential, develops on any surface that is capacitively coupledto a glow discharge.[170] The basis for this potential, which forms as aconsequence of the difference in mobility between electrons and ions, isillustrated schematically in Fig. 5.32. The current-voltage characteristic for anelectrode immersed in a plasma is given in Fig. 5.32a. The floating potentialis negative relative to the plasma potential by an amount that depends uponthe gas species and plasma electron energy distribution function, but istypically -20 to -50 V and therefore too low to produce significant sputtering ofmost materials. When an alternating voltage is applied to such an electrode,more electron current flows when the electrode is positive relative to the floatingpotential than ion current flows when the electrode is negative relative to thefloating potential (Fig. 5.32b).

Capacitive coupling requires that there be no DC current flow; i.e., the netcurrent to the electrode in each RF cycle must be zero. Accordingly, anegative bias must form such that the electron current on the positive side ofthe cycle becomes equal to the ion current on the negative side. The negativebias is approximately equal to half the peak-to-peak voltage of the RF signaland therefore can be made large enough to produce sputtering.

The behavior illustrated in Fig. 5.32 applies strictly to the case where theelectrode is passive; i.e., is not responsible for sustaining the plasmadischarge. The planar diode shown schematically in Fig. 5.15 is the mostcommonly used apparatus for RF sputtering. The electrodes sustain thedischarge and therefore have slightly different current-voltage characteristicsthan the one shown in Fig. 5.32, particularly at negative voltages. However,the overall effect when an RF potential is superimposed on the I-V character-istic is essentially identical.

Figure 5.33 shows a schematic drawing of a typical RF planar-diodesputtering configuration in which a nonconducting target is placed over oneelectrode and substrates are placed on the other one. The electrodes reversecathode-anode roles on each half cycle. The discharge is operated at afrequency that is sufficiently high that significant ion charge accumulationdoes not occur during the cycle time when an electrode is serving as acathode.[11] Frequencies in the low MHz range are required. Most systemsare operated at a frequency of 13.56 MHz, since this has been allocated bythe Federal Communications Commission for industrial-scientific-medicalpurposes. Operation at other frequencies requires careful shielding to assurecompliance with FCC regulations on radio interference.

Page 317: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

320 Deposition Technologies for Films and Coatings

Figure 5.32. Schematic illustration of the development of a negative bias when anRF potential is capacitively coupled to a probe immersed in a plasma. From Ref.170.

Page 318: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Sputter Deposition Processes 321

At MHz operating frequencies, massive ions cannot follow the temporalvariations in the applied potential. However, the electrons can. Thus the cloudof electrons in the negative glow plasma can be pictured as moving back andforth at the applied frequency in a sea of relatively stationary ions. As theelectron cloud approaches one electrode, it uncovers ions at the otherelectrode to form a positive ion sheath. This sheath takes up nearly the entireapplied voltage, the same as in the DC case.

A non-conducting target constitutes a capacitor in the electrical circuitbetween the electrodes (an external capacitor would have the same effect).Thus there can be no DC component to the current flow. The total ion andelectron charge flow to a given electrode during an RF cycle must balance tozero, as discussed previously. However, a large electron current flows to agiven electrode as the electron cloud makes contact. Thus the electron cloudneed approach a given electrode for only a small fraction of a half cycle forpurposes of supplying sufficient electrons to fulfill the anode requirement; i.e.,to balance the entire ion flux through the cycle. Accordingly, in the steadystate both electrodes develop a negative DC bias relative to the plasmapotential, such that the electrodes approach or exceed the plasma potential(and become anodes) for only very short portions of their RF cycle as indicatedin Fig. 5.34.

Figure 5.33. Schematic drawing of a planar RF diode sputtering device. From Ref.13.

Page 319: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

322 Deposition Technologies for Films and Coatings

The motion of the ions, because of their inertia, can be thought of asresponding to the DC potential and passing to both electrodes throughout thecycle. The electron cloud spends most of its time near the center positionbetween the electrodes. Visually, the discharge appears as a DC dischargewith a cathode dark space over each electrode. Functionally, sputteringoccurs continually at both electrodes.

RF discharges in planar diode systems can be operated at considerablylower pressures than can DC discharges. Typical operating pressures are 5to 15 mTorr. There are two reasons: a reduction in the loss of primaryelectrons, and at high frequencies, an increase in the volume ionizationefficiency. A fraction of the lower-energy primary electrons are repelled fromthe electrode toward which they are accelerated and thus remain in thedischarge longer to make additional ionizing collisions. In addition, electronscan gain energy from the RF field by making in-phase collisions with gasatoms. That is, if an electron, accelerated in one direction during a given half-cycle, makes an elastic collision in which its direction is reversed near the endof the half-cycle, it maintains most of its velocity (due to the large massmismatch between electrons and ions) and will again be accelerated duringthe next half-cycle and thus have gained energy during the complete cycle.

Figure 5.34. Approximate representation of target voltage waveforms relative to theplasma potential for a balanced RF system with two equal-area sputteringelectrodes. V

f is the floating potential.

Page 320: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Sputter Deposition Processes 323

The versatility of RF sputtering is not achieved without drawbacks.Implementation of the process is complicated.[66] A typical electrical circuitis shown schematically in Fig. 5.35. It consists of an RF power supply, aninductive coupling to the load, and a matching network.

An equivalent circuit for an RF glow discharge is also shown in Fig. 5.35.The equivalent circuit assumes that both electrodes and the chamber walls arein contact with the plasma, and that the impedance is dominated by theplasma sheaths. The sheath capacitances result from the charge separationacross the dark space. These capacitors are shunted to the electrode surfaceby a resistor to account for the ion current, and by a diode to account for thehigh electron current that can flow from the plasma to an electrode that isbiased positive relative to the plasma potential. The capacitor Ct accounts forcapacitance of the target. Cb is a blocking capacitor that is added to makethe system independent of variations in the target capacitance.

The RF current through the plasma is principally an electron currentcaused by the relative motion of the electron cloud. To the extent that thereis no volume power transfer from the oscillating electrons to the gas, thiscurrent is out of phase with the applied voltage. The primary power transfer

Figure 5.35. Schematic circuit of a single-ended RF discharge system includingan equivalent circuit for the plasma discharge. See Ref. 142 and 173.

Page 321: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

324 Deposition Technologies for Films and Coatings

occurs via the relatively small ion and electron current components that are inphase with the voltage. Thus, in the equivalent circuit approximation, thepower transfer to produce sputtering occurs as the ion currents pass throughthe sheath resistances. Efficient power transfer requires that the RF powersupply operate into a resistive load. Therefore a matching network is used tointroduce inductance, and often capacitance, into the circuit in such a waythat, in combination with the load, they form a resonant circuit.[171] When thevariable matching network components are tuned to resonance, high circulat-ing currents flow within the resonant circuit. However, the power supply seesonly the resistive component of the load, the current passing from the powersupply to the resonant circuit is in phase with the load and represents thepower passing to the load. Many commercial sputtering sources monitor thereflected power from the load as an index of how effectively the matchingnetwork is adjusted. The reflected power should be minimized.[32][141][172]

The ion current, and thus the sputtering rate at a given electrode, isdetermined by the average difference in potential between the electrode andthe plasma. Thus it is useful to consider the plasma potential as a zero-pointreference voltage in examining the performance of RF sputtering systems.

The electrical character of RF sputtering systems can be classified ingeneral as being either balanced or single-ended. In a balanced system, bothelectrodes are configured as identical sputtering targets and their potentialsare 180o out of phase. The average sputtering voltage is about equal to halfthe peak-to-peak applied RF potential. The link center trap is placed at groundpotential to stabilize the system and the chamber walls and substrates areconnected to the center tap ground, as shown in Fig. 5.36. Since this pointis at zero potential relative to the RF voltage, no RF current will flow to theseelements. Furthermore, because of the capacitance in series with each of theelectrodes, there is no DC current path from the plasma to the wall andsubstrates and then back through the electrodes into the plasma.[173] Thusa charge will develop on the capacitors such that the substrates float at apotential slightly negative with respect to the plasma, just like a floatingelectrode in a DC plasma.

In an unbalanced RF system, the electrode on which the substratesare placed is made considerably larger than the target electrode.[142] Thismakes the sheath capacitance large, and the RF voltage drop across thesubstrate electrode small, as shown schematically in Fig. 5.37. Thechamber and one side of the link are generally grounded (Fig. 5.35). Again,the capacitance in both electrode circuits prevents a DC current flow to thechamber, and a negative bias develops relative to the plasma potential. A

Page 322: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Sputter Deposition Processes 325

potential relative to the plasma potential will exist on the substrates andchamber wall unless the substrate electrode area is large enough to reducethis potential to essentially zero and to move the RF balance point to thegrounded end of the link. It is important that these voltage drops be small sothat sputtering from uncontrolled surfaces does not introduce contaminationinto the coatings. An impedance may be added to the substrate electrodecircuit so that the potential of this electrode relative to the plasma can becontrolled for purposes of bias sputtering.[173]

The above discussion has been presented in the context of planar diodesputtering systems. Magnetron sputtering sources can also be used for RFsputtering. Cylindrical-post,[114][116] cylindrical-hollow,[114][174] planar,[127]

and gun-type[129] magnetrons have all been successfully operated with RFpower. However, some problems are encountered. Magnetron sputteringtechnology is basically a DC concept. The cathodes are shaped such that,in concert with the magnetic field, they form electron traps with specificsymmetry. Anodes are placed to collect electrons which diffuse out of the trap.

Figure 5.36. Schematic representation of an equivalent circuit for a balanced RFsystem with two equal-area sputtering electrodes and center-tap ground. Thematching network is not shown.

Page 323: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

326 Deposition Technologies for Films and Coatings

Effective double-ended RF magnetrons can be provided for somegeometries. These configurations provide independent traps for both elec-trodes but allow magnetic coupling between them so that the electrons leavingone trap can diffuse freely to the vicinity of the other.[116] However, mostmagnetron configurations must be operated with single-ended arrangements.The magnetic confinement produces gradients in the plasma density, so thatspecial care is required to minimize the voltage and therefore the sputteringrate at the counter electrode. Furthermore, in the planar magnetron case, thecurrent-density concentration under the plasma ring requires that the powerlevel be limited to avoid cracking when using targets with low thermalconductivities. When magnetron sources are driven single-ended, theygenerally operate in hybrid modes with current-voltage characteristics whichare not representative of true magnetron behavior. Nevertheless, they providedeposition rates that are typically a factor of three greater than those achievedwith RF planar diodes. (This is to be compared to the factor of twenty-to-thirtyimprovement in deposition rate which DC magnetrons provide over DC diodeswhen sputtering metals). Reduced electron bombardment and substrateheating are other advantages of magnetrons, as opposed to planar diodes, forRF sputtering.

Figure 5.37. Approximate representation of voltages (as functions of time) relativeto the plasma potential for a single-ended RF sputtering system in which the wallarea is much larger than target area. Vs is the substrate ion bombardment potential.See Ref. 142 and 173.

Page 324: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Sputter Deposition Processes 327

3.5 Ion-Beam Sputtering

Glow discharge sputtering technology is limited in the sense that thetarget current density and voltage cannot be independently controlled exceptby varying the working-gas pressure. An exception is the cylindricalmagnetron where the voltage can be varied at a fixed current and pressure byvarying the magnetic field strength.

Ion-beam sputtering permits independent control over the energy and thecurrent density of the bombarding ions.[175] A sputtering target is arranged toobliquely intersect an ion beam of given energy and flux density that is createdby an independent ion source. Substrates are suitably placed to receive thecoating flux, as shown in Fig. 5.38. In addition to the independent control overthe ion current and voltage, ion beam sources permit sputtered coatings to bedeposited at very low inert working-gas pressures (≤ 0.1 mTorr) onto sub-strates that are not in contact with a plasma.

Early ion sources were of the duoplasmatron type, where an ion beamwas extracted through an aperture from a low pressure arc.[176] Hollow-cathode ion sources were also used.[177] These devices were limited forpractical deposition because of the small ion-beam sizes (≈1 cm). Therecent adaptation of ion thruster technology has provided distributed ionsources with ion beams of relatively large diameter (≈10 - 30 cm).[175]

Figure 5.38. Schematic representation of ion-beam sputtering showing relativelocations of target and substrate.

Page 325: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

328 Deposition Technologies for Films and Coatings

Although these devices cannot compete as deposition sources with the verylarge substrate areas that are provided by magnetrons, they are attractive forion beam etching and for special deposition applications and research studies.Sources designed for etching have provided 500 eV, 1 mA/cm2, ion beams thatare 30 cm in diameter with a uniformity of ±5% over 20 cm.[178] Reviews of thefundamentals of ion beam deposition are provided in Ref. 21 and 179.

4.0 SPUTTER DEPOSITION MODES

Sputter deposition, in any of the configurations discussed above, can becarried out in a variety of modes developed to provide better control over filmchemistry and/or microstructure. The most important of these modes ofoperation are reactive sputtering and bias sputtering.

4.1 Reactive Sputtering

Reactive sputtering is a process in which a fraction of at least one ofthe coating species enters the deposition system in the gas phase. Thetarget is typically either a pure metal (or metal alloy) or a compoundcontaining volatile species. In the former case, the high vapor-pressurespecies, e.g., N in TiN,[180] S in CdS,[181] or O in VO2,[182] is providedentirely in the gas phase (via N2, H2S, and O2, respectively) while in thelatter case (e.g., GaAs in As4)[145] a considerably smaller partial pressureof the reactive gas is added to the discharge to account for the less thanunity sticking probability of that species at the growing film surface.Bibliographies and reviews of early work covering a wide variety ofcompounds including oxides, nitrides, sulfides, carbides, etc. may befound in Refs. 98 and 183.

The advantages of reactive sputtering are: (i) compounds can beformed using relatively easy-to-fabricate metallic targets, (ii) insulatingcompounds can be deposited using DC power supplies, and (iii) films withgraded compositions can be formed. The difficulty in the reactive-sputtering process is the complexity which accompanies its versatility.

Chemical reactions occur at the target, at the substrate, and in casesof very high working pressures, in the gas phase. When sputtering witha reactive-gas/Ar mixture, the relationship between film properties and thereactive gas injection rate is generally very nonlinear. The condensingfilms can be considered as an additional pump for the reactive gas. The

Page 326: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Sputter Deposition Processes 329

nonlinearity occurs because the sticking probability (or getter-pump speed)of the condensing coating depends in a complex way on its growth rate,composition, film structure, and temperature. The composition dependenceis shown in Fig. 5.39 for N2 incident on a growing Ti film.[184] Note that as thenumber of N2 molecules adsorbed per Ti atom deposited approaches 0.5 (i.e.,a stoichiometric TiN film), the sticking probability α drops by more than twoorders of magnitude. The decrease in α occurs as the number of unoccupiedsurface adsorption sites decreases.[185] Thus, for example, when sputteringin an N2/Ar mixture at low reactive-gas injection rates, virtually all of theinjected gas can react with the film. Consequently, the nitrogen is largelyremoved from the working gas, and the cathode process becomes primarilyone of simple Ar sputtering of a metal. The coatings deposited under suchconditions are generally metallic in nature.

Figure 5.39. Sticking coefficient of N2 measured during the continuous deposition

of Ti as a function of the ratio of the getter-pumped nitrogen flux to the Ti depositionflux. Data from Ref. 184.

Page 327: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

330 Deposition Technologies for Films and Coatings

As the reactive-gas injection rate approaches that required to producea stoichiometric coating, there is an increase in the reactive-gas partialpressure in the sputtering system because of the reduced getter-pumpingrate of the depositing coating. This change in the composition of thesputtering gas greatly changes the processes which occur at the cathodesurface. The result is that, for most metal/reactive-gas combinations, thesputtering discharge undergoes a transition into a mode in which the metalsputtering rate, and therefore the reactive-compound deposition rate, isreduced. The cathode surface reactions in this mode produce an energeticflux of highly reactive gas atoms and molecular fractions which accompanythe sputtered metal atoms to the substrate. This large flux of reactive speciesmakes the reactive sputtering process so effective for producing a wide rangeof compounds. The variation in discharge voltage and relative deposition rateduring a typical transition is shown in Fig. 5.40. The voltage decrease at highoxygen injection rates is the metal-to-compound transition. The voltageincrease at low injection rates is the compound-to-metal transition.

The reduction in sputtering rate shown in Fig. 5.40 results primarily fromcompound formation on the cathode surface and the reduced sputtering yieldof the reactive-gas molecules. The compounds often have higher electronsecondary emission coefficients which give rise to a reduction in both thedischarge voltage and the ion component in the cathode current for dischargesdriven at constant currents. The hysteresis effect, which is shown fordischarge voltage but also applies to the deposition rate, occurs since thetarget compound layer, once formed, will remain until the sputtering gas ismade sufficiently lean in the reactive species that a net sputter removal of thelayer can occur. A cathode on which such a layer has formed is often referredto as being “poisoned.” The effect of cathode poisoning on the reactivesputtering process depends on the metal/reactive-gas combination and theproperties of the cathode surface layer. Thus the very pronounced poisoningeffect shown in Fig. 5.40 occurs for the oxygen reactive sputtering of materialssuch as Al, Cr, Ti, and Ta that form strong oxides. The decrease in depositionrate is generally less for other reactive gases such as N2. No poisoning occursfor Au, where the sputtering rate with pure O2 is not much different from thatwith Ar.

The poisoning effect introduces two practical problems. One is the lossin deposition rate. The second is that during the transition, the material beingdeposited often passes abruptly from a metal to a nearly stoichiometriccompound. Intermediate materials such as suboxides therefore becomedifficult to deposit. Consequently, considerable work has been directedtoward trying to operate sputtering sources at, or very near, the transition

Page 328: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Sputter Deposition Processes 331

point. Transition behavior has been observed in planar diodes,[185] planarmagnetrons,[186] and cylindrical magnetrons.[114] Many papers have beenwritten concerning the transition mechanism.[185][187]-[192] Most are incom-plete, however, because they concentrate on the cathode processes and donot consider the total system. It is important to realize that the reactivesputtering process is dependent on the total system; i.e., its geometry, theaccumulation of coating on walls and fixtures and the positions of gasinjection. All these parameters must be carefully controlled in order for reactivesputtering to be effectively used on a production basis.

Figure 5.40. Transitions in the steady-state operating mode of a Cr cylindrical-postmagnetron sputtering source due to injection of oxygen.

Page 329: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

332 Deposition Technologies for Films and Coatings

Berg and co-workers[193]-[195] have recently developed nonlinear mod-els of the reactive sputtering process, which do account for both internalgetter pumping and external pumping, to predict stability conditions in orderto investigate hysteretic behavior. They showed that with a sufficiently highoverall pumping speed, there is a smooth transition between the metal andcompound sputtering modes. Critical pumping speeds required to eliminatehysteretic behavior can be estimated based upon their work.

Several techniques have been developed to increase the deposition rateduring reactive planar magnetron sputtering which take advantage of thenonuniform cathode current densities in these devices.[196]-[198] Some ofthese are illustrated schematically in Fig. 5.41. The reactive gas flux, andtherefore the tendency for reactive gas adsorption, is relatively uniform over thecathode surface. However, the ion flux is nonuniform and causes sputterremoval of adsorbed reactive species, thereby reducing their surface coverageunder the plasma ring (Fig. 5.41b). At higher current densities (Fig. 5.41c),the sputtering rate is adequate to maintain a fresh metal surface which in turnyields a high rate of sputtering. By exerting control over the total system—i.e., by arranging the reactive gas injection adjacent to the substrate and theAr injection adjacent to the target—it is possible, with the assistance ofsuitable getter surfaces, as illustrated in Fig. 5.40d, to maintain a gradient inthe composition of the reactive gas in the sputtering atmosphere. If thegradient is adequate, the target surface under the plasma rings can remainunpoisoned and yield a high flux, even when the reactive-gas flux to thesubstrate is adequate to produce a stoichiometric compound.[196] Baffleshave also been used between the target and substrate to maintain a gradientin reactive gas partial pressure.[198]

For reactive sputtering in N2, where the hysteretic behavior is moregradual than in O2, (see, for example, Ref. 199), Sproul[200]-[203] hasdeveloped feedback control techniques which allow film deposition rates oftransition-metal nitrides such as TiN, ZrN, and HfN at values very nearly equalto those of the pure metals. The feedback controls maintain constant targetpower, total pressure, and N2 partial pressure.

4.2 Bias Sputtering

Bias sputtering, in which the substrate is biased negatively with respectto the plasma potential, is often used to provide low-energy ion bombardmentof the growing film. The analog of glow-discharge bias sputtering can becarried out in an ion-beam deposition system using a second ion gun toirradiate the substrate.[17] Low-energy ion/surface interactions during film

Page 330: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Sputter Deposition Processes 333

growth is a subject of study unto itself and recent reviews may be found in Refs.22 - 25. Ion irradiation has been shown to strongly affect film nucleation andgrowth kinetics, adhesion, film microstructure and chemistry, and hence filmproperties. Mechanisms by which ion/surface interactions modify filmnucleation, growth, microstructure evolution, and film properties are dis-cussed in Ch. 13 while reviews on adhesion[204][205] and ion-induced changesin film chemistry (including trapping, secondary implantation, and prefer-ential sputtering)[24][206] have been published recently.

Figure 5.41. Schematic illustration of various elements of the planar magnetronreactive sputtering process.

Recently, Window and Savvides[207][208] demonstrated that substrateion currents during magnetron sputter deposition can be influenced bystray magnetic fields B leaking from the target magnet assembly. B canbe varied intentionally over a limited range by changing the relativestrengths of the inner and outer target magnetic poles, i.e., by "unbalanc-ing" the magnetron. A similar concept was employed by Petrov et al.[209]

and Adibi et al.[210] in developing an ultra-high-vacuum DC planar-magnetron

Page 331: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

334 Deposition Technologies for Films and Coatings

(PM) sputter deposition system with an external variable axial magneticfield Bext superimposed on the permanent magnetic field of the PM asshown in Fig. 43. A pair of Helmholtz coils, located outside the vacuumchamber, produces Bext which is uniform along the axis orthogonal to bothtarget and substrate surfaces.

Figure 5.42. Schematic diagram of an ultra-high-vacuum reactive-magnetron DCsputter-deposition system with both variable external and permanent internalmagnets.

Page 332: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Sputter Deposition Processes 335

As shown schematically in Fig. 5.43, the value and sign of Bext has astrong effect on the plasma density near the substrate, and hence on the ionflux, Ji, incident at the substrate, with only a minor effect on the target-atomflux. For a Ti target sputtered in pure Ar at 20 mTorr with a target-substrateseparation of 6.5 cm, changing Bext from -50 G (opposing the field of the outerPM pole) to +600 G (reinforcing the field of the outer PM pole) varied the ion-to-Ti flux ratio Ji/JTi incident at the substrate by a factor of sixty from 0.1 to 6with the bias held constant at any desired negative value between ~ -15 V(limited by the difference between the floating Vf and plasma Vp potentials) andthe highest values examined, -100 V. For reactive sputter deposition in N2

(where the primary ion is N2+) under the same conditions, Ji/JTi varied by a

factor of fifty from 0.7 to 35. Vp was negative with Bext set to positive valuesand ranged form ~ 0 (Bext = 0 G) to -13 V (Bext > +200 G) in Ar and 0 to -20V in N2. Using an N2

+ ion energy of 20 eV (10 eV per N) to bombard the growingfilm, Adibi et al.[210] showed that by varying Ji/JTi from 1 to ≥ 5.2, themicrostructure of metastable NaCl-structure Ti0.5Al0.5N alloys deposited at250°C could be controllably altered from a porous columnar structure with acomplete (111) texture to a dense completely (002)-oriented structure with noresidual ion-induced defects observable by high-resolution plan-view andcross-sectional transmission electron microscopy.

ACKNOWLEDGEMENTS

The authors gratefully acknowledge the support of the Joint ServicesElectronics Program and the Materials Science Division of the Department ofEnergy over the course of several years.

Page 333: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

336 Deposition Technologies for Films and Coatings

Figure 5.43. Schematic diagram illustrating the effect on the plasma duringsputter deposition of superimposing an external magnetic field Bext which (a)opposes and (b) reinforces the field of the outer permanent magnet in theplanar magnetron target.

Page 334: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Sputter Deposition Processes 337

REFERENCES

1. Grove, W. R., Trans. Royal Soc. 142:87 (1952)

2. Chapman, B., Glow Discharge Processes; Sputtering and Plasma Etch-ing, Wiley, New York (1980)

3. Dahlgren, S. D., Proceedings 3rd. Int. Conf. on Rapidly Quenched Metals,Univ. Sussex, Brighton, England (1976)

4. Grubb, A. D., Airco Temescal, Carleton, Michigan (private communication)

5. Thornton, J. A., Proceedings 20th Tech. Conf. Society of Vacuum Coaters,p. 5, Atlanta, GA (April 1977)

6. Thornton, J. A., Tabock, J. and Hoffman, D. W., Thin Solid Films 64:111(1979)

7. Wehner, G. K., Advanced Elec. and Elec. Phys. 7:239 (1955)

8. Kay, E., Advances Elec. and Elec. Phys. 17:245 (1962)

9. Maissel, L. I., Physics of Thin Films, 3:61 (1966)

10. Kay, E., in Techniques of Metals Research, (R. F. Bunshah, ed.) p. 1269,Vol. 1, Part 3, Interscience, New York (1968)

11. Wehner, G. K. and Anderson, G. S., in Handbook of Thin Film Technology,(L. Maissel and R. Glang, eds.), p. 3-1, McGraw Hill, New York (1970)

12. Maissel, L., in Handbook of Thin Film Technology, (L. Maissel and R.Glang, eds.), p. 4-1, McGraw Hill, New York, (1970)

13. Thornton, J. A., SAE Transactions, 82:1787 (1974)

14. Westwood, W. D., Progress in Surface Sci., 7:71 (1976)

15. Thin Film Processes, (J. L. Vossen and W. Kern, eds.), Academic Press,New York (1978)

16. Greene, J. E., in Handbook of Semiconductors, (S. Keller, ed.), Vol. 3, p.499, North Holland Pub. Co., Amsterdam (1980)

17. Greene, J. E., CRC Critical Rev. Sol. St. and Matrl. Sci. 11:47 (1983)

18. Greene, J. E., CRC Critical Rev. Sol. St. and Matrl. Sci. 11:189 (1984)

19. Kaufman, H. R., Cuomo, J. J. and Harper, J. M. E., J. Vac. Sci. Technol.,21:725 (1982)

20. Harper, J. M. E., Cuomo, J. J. and Kaufman, H. R., J. Vac. Sci. Technol.,21:737 (1982)

21. Harper, J. M. E., Sol. St. Technol., 30:129 (April 1987)

22. Greene, J. E. and Barnett, S. A., J., Vac. Sci. Technol., 21:285 (1982)

Page 335: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

338 Deposition Technologies for Films and Coatings

23. Greene, J. E., Motooka, T., Sundgren, J. E., Lubben, D., Gorbatkin, S.and Barnett, S. A., J. Nucl. Instr. Methods, B27:226 (1987)

24. Greene, J. E., Sol. St. Technol., 30:115 (April, 1987)

25. Greene, J. E., Barnett, S. A., Sundgren, J. E. and Rockett, A., in Ion-Assisted Film Growth, (T. Itoh, ed.), p. 101, Elsevier, Amsterdam

26. Harrison, D. E., Kelly, P. W., Garrison, B. J. and Winograd, N., Surf.Sci., 76:311 (1978)

27. Hagstrum, H. D., Phys. Rev., 104:317 (1956)

28. Hagstrum, H. D., Phys. Rev., 123:758 (1961)

29. McCracken, G. M., Rep. Prog. Phys., 38:241 (1975)

30. Navinsek, B., Progress in Surface Sci., 7:49 (1976)

31. Davis, W. D. and Vanderslice, T. A., Phys. Rev., 131:219 (1963)

32. Sigmund, P., Phys. Rev., 184:383 (1969)

33. Townsens, P. D., Kelly, J. C. and Hartley, N. E. W., Ion Implantation,Sputtering and Their Applications, p. 111, Academic Press, New York(1976)

34. Sigmund, P., J. Vac. Sci. Technol., 17:396 (1980)

35. Eckstein, W. and Biersack, J. P., Z. Phys., B63:471 (1986)

36. Vossen, J. L., J. Vac. Sci. Technol., 8:S12 (1971)

37. Thornton, J. A., Thin Solid Films, 54:23 (1978)

38. Winters, H. F. and Kay, E., J. Appl. Phys., 38:3928 (1967)

39. Brodie, I., Lamont, L. T. Jr. and Jepson, R. L., Phys. Rev. Lett., 21:1124(1968)

40. Lee, W. W. and Oblas, D., J. Vac. Sci. Technol., 7:129 (1970)

41. Lee, W. W. Y. and Oblas, D., J. Appl. Phys., 46:1728 (1975)

42. Sigmund, P., Can. J. Phys., 46:731 (1968)

43. Anderson, H. H., Rad. Effects, 3:51 (1970)

44. Oechsner, E. and Gesang, W. R., Phys. Lett., 37A:235 (1971)

45. Kornelsen, E. V., Can. J. Phys., 42:364 (1964)

46. Blank, P. and Wittmaack, K., J. Appl. Phys., 50:1519 (1979)

47. Coburn, J. W., Thin Solid Films, 64:371 (1979)

48. Anderson, H. H., in Symp. on the Physics of Ionized Gases 1980, (B.Cobic, ed.) Boris Kidric Aust. Nucl. Sciences, Belgrad, Yugoslavia(1981)

49. Eltoukhy, A. H. and Greene, J. E., J. Appl. Phys., 51:4444 (1980)

Page 336: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Sputter Deposition Processes 339

50. Coburn, J. W., J. Vac. Sci. Technol., 13:1037 (1976)

51. Gillam, E., J. Phys. Chem. Solids, 11:55 (1959)

52. Greene, J. E., Natarajan, B. R. and Sequeda-Osorio, F., J. Appl. Phys.,49:417 (1978)

53. Nobes, M. J., Colligon, J. C. and Carter, G., J. Mater. Sci., 4:730 (1969)

54. Carter, G., Colligon, J. C. and Nobes, M. J., J. Mater. Sci., 6:115 (1971)

55. Sigmund, P., J. Mater. Sci., 8:1545 (1973)

56. Stewart, A. D. G. and Thompson, M. W., J. Matl. Sci., 4:56 (1969)

57. Witcomb, M. H., J. Matl. Sci., 9:551 (1974)

58. Wehner, G. K. and Hajicek, D. J., J. Appl. Phys., 42:1145 (1971)

59. Tarng, M. L. and Wehner, G. K., J. Appl. Phys., 43:2268 (1972)

60. Oohashi, T. and Yamanaka, S., Jpn. J. Appl. Phys., 11:1581 (1972)

61. Shimizu, R., Jpn. J. Appl. Phys., 13:228 (1974)

62. Dahlgren, S. D. and McClanahan, S. D., J. Appl. Phys., 43:1514 (1972)

63. Vossen, J. L., J. Vac. Sci. Technol., 8:751 (1971)

64. Wheeler, D. R. and Brainard, W. A., J. Vac. Sci. Technol., 15:24 (1978)

65. Cistola, A. B., J. Vac. Sci. Technol., 17:420 (1980)

66. Vossen, J. L. and O’Neill, J. J. Jr., RCA Rev., 29:149 (1968)

67. Fraser, D. B. and Melchior, H., J. Appl. Phys., 43:3120 (1972)

68. Almen, O. and Bruce, G., Transac. 8th National Vac. Sym., p. 245,Pergamon Press, New York (1962)

69. Tsunoyama, K, Suzuki, T. and Ohashi, Y., Jpn. J. Appl. Phys., 15:349(1976)

70. Anderson, C. A. and Hinthorne, J. R., Science, 175:853 (1972)

71. Benninghoven, A. and Mueller, A., Phys. Letters, 40A:169 (1972)

72. Wener, H. W., Surface Sci., 47:301 (1975)

73. Benninghoven, A., Surf. Sci., 53:596 (1975)

74. Williams, P., Materials Research Laboratory, University of Illinois,private communication

75. Hanak, J. J. and Pellicante, J. P., J. Vac. Sci. Technol., 13:406 (1976)

76. Cuomo, J. J., Gambino, R. J., Harper, J. M. E., Kuptsis, J. D. and Weber,J. C., J. Vac. Sci. Technol., 15:281 (1978)

77. Sandstrom, R. L., Gallagher, W. J., Dinger, T. R., Laibowitz, R. B., andGambino, R. J., Appl Phys. Lett., 53:44 (1988)

Page 337: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

340 Deposition Technologies for Films and Coatings

78. Oechsner, H. and Gerhard, W., Surf. Sci., 44:480 (1974)

79. Gerhard,W. and Oechsner, H., Z. Physik, B22:41 (1975)

80. Gerhard, W., Z. Physik, B22:31 (1975)

81. Winograd, N., Harrison, D. E. Jr., and Garrison, B. J., Surf. Sci., 78:467(1978)

82. Können, G. P., Tip, A. and deVries, A. E., Radiat. Eff., 21:269 (1974)

83. Können, G. P., Tip, A. and deVries, A. E., Radiat. Eff., 26:23 (1975)

84. Garrison, B. J., Winograd, N. and Harrison, D. E. Jr., J. Chem. Phys.,69:1440 (1978)

85. Prigge, S. and Bauer, E., in Proc. 2nd Int. Conf. Secondary Ion MassSpectrometry, (A. Benninghoven, C. A. Evans, R. A. Powel, Jr., R.Shimizu, and H. A. Storms eds.), p. 133, Springer-Verlag, New York(1979)

86. Coburn, J. W., Taglauer, E. and Kay, E., Japn. J. Appl. Phys. Suppl.,2:501 (1974)

87. Gruen, D. M., Guadioso, S. L., McBeth, R. L. and Kerner, J. L., J. Chem.Phys., 60:89 (1974)

88. Gruen, D. M., Finn, P. A. and Page, D. L., Nucl. Technol., 29:309 (1976)

89. Steinbruchel, C. and Gruen, D. M., Surf. Sci., 93:299 (1980)

90. Comas, J. and Cooper, C. B., J. Appl. Phys., 38:2956 (1967)

91. Szymonski, M. and Bhattacharya, Appl. Phys., 20:207 (1979)

92. Chopra, K. L., Thin Film Phenomena, McGraw Hill, New York (1969)

93. Stuart, R. V., Wehner, G. K. and Anderson, G. S., J. Appl. Phys., 40:803(1969)

94. Oechsner, H., Z. Physik 238:433 (1970)

95. Bernhardt, F., Oechsner, H. and Stumpe, E., Nuc. Inst. Methods,132:329 (1976)

96. Sigmund, P., Rev. Roum. Phys., 17:1079 (1972)

97. von Engle, A., Ionized Gases, Oxford University Press, London (1965)

98. Vossen, J. L. and Cuomo, J. J., in Thin Film Processes, (J. L. Vossenand W. Kern, eds.) Academic Press, pp. 1-73, New York, (1978)

99. Westwood, W. D. and Boynton, R., J. Appl. Phys., 43:2691 (1972)

100. McDaniel, E. S., Collision Phenomena in Ionized Gases, Chapter 13,Wiley, New York (1964)

Page 338: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Sputter Deposition Processes 341

101. Hagstrum, H. D., Ion-Surface Collision, Chapter 1, Academic Press,New York, (1977)

102. Thornton, J. A., J. Vac. Sci. Technol., 15:188 (1978)

103. Christophorou, L. G., Atomic and Molecular Physics, p. 379, Wiley, NewYork (1971)

104. Houston, J. E. and Uhl, J. E., Sandia Report, Sc-RR-71-0122 (1972)

105. Stirling, A. J. and Westwood, W. D., J. Appl. Phys., 41:742 (1970)

106. Greene, J. E., J. Vac. Sci. Technol., 15:1718 (1978)

107. Abril, I., Gras-Marti, A. and Valles-Abarca, J. A., J. Vac. Sci. Technol.,A4:1773 (1986)

108. Gras-Marti, A., Valles-Abarca, J. A. and Bersaoula, A., J. Vac. Sci.Technol., A5:2217 (1987)

109. Westwood, W. D., J. Vac. Sci. Technol., 15:1 (1978)

110. Thornton, J. A., Metal Finishing, 74:46 (1976)

111. Busch, R. and McClanahan, E. D., Thin Solid Films, 47:291 (1977)

112. Thornton, J. A., Metal Finishing, 77:45 (1979)

113. Thornton, J. A., J. Vac. Sci. Technol., 15:171 (1978)

114. Thornton, J. A. and Penfold, A. S., in Thin Film Processes, (J. L. Vossenand W. Kern, eds.) p. 75, Academic Press, New York (1978)

115. Penning, F. M. and Moubis, J. H. A., Proc. Ned. Akad. Wet., 43:41(1940)

116. Penfold, A. S. and Thornton, J. A., U.S. Patents 3,884,793 (1975)

117. Hosokawa, N., Tsukada, T. and Misumi, T., J. Vac. Sci. Technol.,14:143 (1977)

118. Korov, K. I., Ivanov, N. A., Atanasova, E. D. and Minchev, G. M., Vacuum,26:237 (1976)

119. Spitzer, L. Jr., Physics of Fully Ionized Gases, Interscience, New York(1956)

120. Chen, F. F., Introduction to Plasma Physics, Plenum Press, New York(1974)

121. Thornton, J. A., J. Vac. Sci. Technol., 16:79 (1979)

122. Penfold, A. S., Metal Finishing, 77:33 (1979)

123. Penfold, A. S., Telic Corporation (unpublished)

124. Penning, F. M., Physics, 3:873 (1936)

125. Thornton, J. A. and Hedgcoth, V. L., J. Vac. Sci. Technol., 12:93 (1975)

Page 339: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

342 Deposition Technologies for Films and Coatings

126. Heisig, U., Goedicke, K. and Schiller, S., Proceedings 7th Intl. Symp.Electron and Ion Beam Science and Technology, Washington, DC, p.129, Electrochemical Society, Princeton, NJ (1976)

127. Waits, R. K., J. Vac. Sci. Technol., 15:179 (1978)

128. Waits, R. K., in Thin Film Processes, (J. L. Vossen and W. Kern, eds.)p. 131, Academic Press, New York (1978)

129. Fraser, D. B., in Thin Film Processes (J. L. Vossen and W. Kern, eds.)p. 115, Academic Press, New York (1978)

130. Aronson, A. and Weinig, S., Vacuum, 27:151 (1977)

131. Van Vorous, T., Optical Spectra, p. 30 (November, 1977)

132. Smith, H. R. Jr., Proceedings 20th Annual Tech. Conf., Society ofVacuum Coaters, p. 1, Atlanta, GA (1977)

133. Corbani, J. F., U.S. Patent 3,878,085 (1975)

134. Clarke, P. J., U.S. Patent 3,616,450 (1971); U.S. Patent 3,711,398(1973)

135. McLeod, P. S., U.S. Patent 3,956,093 (1976)

136. Rainey, R. M., U.S. Patent 4,100,055 (1978)

137. Chapin, J. S., U.S. Patent 4,166,018 (1979)

138. Vossen, J. L., J. Vac. Sci. Technol., 8:S12 (1971)

139. Davidse, P. D., Vacuum, 17:139 (1967)

140. Probyn, B. S., Vacuum, 18:253 (1968)

141. Jackson, G. N., Thin Solid Films, 5:209 (1970)

142. Koenig, H. R. and Maissel, L. I., IBM J. Res. Develop., 14:168 (1970)

143. Brodsky, M. H., Title, R. S., Weiser, K. and Pettit, G. D., Phys. Rev. B,1:2632 (1970)

144. Messier, R., Takamori, T. and Roy, R., J. Vac. Sci. Technol., 13:1060(1976)

145. Barnett, S. A., Bajor, G. and Greene, J. E., J. Appl. Letters, 37:735(1980)

146. Eltoukhy, A. H. and Greene, J. E., J. Appl. Phys., 50:6390 (1979)

147. Hovel, H. J. and Cuomo, J. J., Appl. Phys. Lett., 20:71 (1972)

148. Shuskus, A. J., Reeder, T. M. and Paradis, E. L., Appl. Phys. Lett.,24:151 (1974)

149. Glew, R. W., Thin Solid Films, 46:59 (1977)

150. Corsi, C., J. Appl. Phys., 45:3467 (1974)

Page 340: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Sputter Deposition Processes 343

151. Wasa, K., Nagai, T. and Hayakawa, S., Thin Solid Films, 31:235 (1976)

152. Takei, W. J., Formigoni, N. P. and Francombe, M. H., J. Vac. Sci.Technol., 7:442 (1970)

153. Wickersham, C. E. and Greene, J. E., Phys. Status Solidi (a) 47:329(1978)

154. Morrison, D. T. and Robertson, T., Thin Solid Films, 15:87 (1973)

155. Hickmott, T. W., J. Appl. Phys., 45:1050 (1974)

156. Salma, C. A. T., J. Electrochem. Soc., 117:913 (1970)

157. Pratt, I. H., Solid State Technol., 12:49 (1969)

158. Young, P. L., Fehler, F. P. and Whitman, A. J., J. Vac. Sci. Technol.,14:176 (1977)

159. Goldstein, R. M. and Wigginton, S. C., Thin Solid Films, 3:R41 (1969)

160. Wu, L. C. and Greene, J. E., J. Appl. Phys., 50:4966 (1979)

161. Greene, J .E., Wickersham, C. E., Zilko, J. L., Welsh, L. B. and Szofran,F. R., J. Vac. Sci. Technol., 12:72 (1976)

162. Takao, T., Wasa, K. and Hayakawa, S., J. Electrochem. Soc., 123:1719(1976)

163. Westwood, W. D. and Bennewitz, C. D., J. Appl. Phys., 45:2313 (1974)

164. Titchmarsh, J. G. and Toombs, P. A. B., J. Vac. Sci. Technol., 7:103(1970)

165. Paradis, E. L. and Shuskus, A. J., Thin Solid Films, 38:131 (1976)

166. Lakshmanan, T. K., J. Electrochem. Soc., 110:548 (1963)

167. Shimomoto, Y., Matsumaru, H. and Nishimura, T., Jpn. J. Appl. Phys.,Suppl. 2, Pt. 1:701 (1974)

168. Robertson, T. and Morrison, D. T., Thin Solid Films, 27:19 (1975)

169. Biederman, H., Ojha, S. M. and Holland, L., Thin Solid Films, 41:329(1977)

170. Butler, H. S. and Kino, G. S., Phys. of Fluids, 6:1346 (1963)

171. Terman, F. E., Electronics and Radio Engineering, p. 458, McGraw Hill,New York (1955)

172. Logan, J. S., Mazza, N. M. and Davidse, P. D., J. Vac. Sci. Technol.,6:120 (1969)

173. Coburn, J. W. and Kay, E., J. Appl. Phys., 43:4965 (1972)

174. Thornton, J. A. and Chin, J., Ceramic Bulletin, 56:504 (1977)

175. Kaufman, H. R., J. Vac. Sci. Technol., 15:272 (1978)

Page 341: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

344 Deposition Technologies for Films and Coatings

176. Chopra, K. L. and Randlett, M. R., Rev. Sci. Instr., 38:1147 (1967)

177. Reader, P. D., White, D. P. and Isaacson, G. C., 14th Symp. Elect. IonPhoton Beam Tech., Palo Alto, CA (May 1977)

178. Robinson, R. S., J. Vac. Sci. Technol., 15:277 (1978)

179. Harper, J. M. E., in Thin Film Processes (J. L. Vossen and W. Kern, eds.)pp. 175-206, Academic Press, New York, (1978)

180. Johansson, B. O., Sundgren, J. E., Greene, J. E., Rockett, A. andBarnett, S. A., J. Vac. Sci. Technol., B3:303 (1985)

181. Thornton, J. A., Cornog, D. G. and Anderson, W. W., J. Vac. Sci.Technol., 18:199 (1981)

182. Kusano, E., Theil, J. A. and Thornton, J. A., J. Vac. Sci. Technol.,A6:1663 (1988)

183. Vossen, J. L., in Physics of Thin Films, (G. Haas, M. H. Francombe,and R. W. Hoffman, eds.) 9:1, Academic Press, NY (1977)

184. Harra, D. J. and Haywood, W. H., Supplemento al Nuovo Cimento, 5:56(1967)

185. Heller, J., Thin Solid Films, 17:163 (1973)

186. Maniv, S. and Westwood, W. D., J. Appl. Phys., 51:718 (1980)

187. Holland, E. and Campbell, D. S., J. Mater. Sci., 3:544 (1968)

188. Harvey, J. and Corkhill, J., Thin Solid Films, 6:277 (1970)

189. Stirling, A. J. and Westwood, W. D., Thin Solid Films, 30:19 (1975)

190. Abe, T. and Yamashina, T., Thin Solid Films, 30:19 (1975)

191. Shinoki, F. and Itoh, A., J. Appl. Phys., 46:3381 (1975)

192. Donaghey, L. F. and Geraghty, K. G., Thin Solid Films, 38:271 (1976)

193. Berg, S., Blom, H. O., Larsson, T. and Nender, C., J. Vac. Sci. Technol.,A5:202 (1987)

194. Berg, S., Larsson, T., Nender, C. and Blom, H. O., J. Appl. Phys., 63:887(1988)

195. Larsson, T., Blom, H. O., Nender, C. and Berg, S., J. Vac. Sci. Technol.,A6:1832 (1988)

196. Schiller, S., Heisig, U., Goedicke, K., Schade, K., Teshner,G. andHenneberger, J., Thin Solid Films, 64:455 (1979)

197. Schiller, S., Heisig, U., Steinfelder, K. and Strümpfel, J., Thin SolidFilms, 63:369 (1979)

198. Este, G. and Westwood, W. D., J. Vac. Sci. Technol., A2:1238 (1984)

Page 342: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Sputter Deposition Processes 345

199. Natarajan, B. R., Eltoukhy, A. H., Greene, J. E. and Barr,T. L., Thin SolidFilms, 69:217 (1980)

200. Sproul, W. D., Thin Solid Films, 107:141 (1983)

201. Sproul, W. D., Thin Solid Films, 118:279 (1984)

202. Sproul, W. D. and Tomashek, J. E., U.S. Patent 4,428,811

203. Sproul, W. D., U.S. Patent 4,428,812

204. Baglin, J. E. E., Matrl. Sci. Engr., B1:1 (1988)

205. Mattox, D. M., Baglin, J. E. E., Gottschall, R. E. and Batich, C. D.,Adhesion in Solids, Vol. 119, MRS Symposium Proceedings (1989)

206. Greene, J. E., Barnett, S. A., Sundgren, J. E. and Rockett, A., in Plasma-Surface Interactions and Processing of Materials, (O. Auciello, A.Grass-Marti, and D. L. Flamm, eds.), p. 281, NATO Advanced StudyInstitute, Klewer, Boston (1990)

207. Window, B. and Savvides, N., J. Vac. Technol., A4:196 (1986)

208. Window, B. and Savvides, N., J. Vac. Technol., A4:453 (1986)

209. Petrov I., Adibi, F., Greene, J. E., Sproul, W. D., and Münz, W.-D., J.Vac. Sci. Technol., A10:3283 (1992)

210. Adibi, F., Petrov, I., Greene, J. E., Hultman, L., and Sundgren, J.-E., J.Appl. Phys., 73:8580 (1993)

Page 343: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

346

6

Ion Plating

Donald M. Mattox

1.0 INTRODUCTION

Ion plating is a generic term applied to atomistic film depositionprocesses in which the substrate surface and the growing film are subjectedto a flux of energetic bombarding particles sufficient to cause changes in thefilm formation process and the properties of the deposited film. This broaddefinition does not specify the source of the depositing film particles, thesource of bombarding particles, nor the environment in which the depositiontakes place. The principal criterion is that energetic particle bombardment isused to modify the film formation process and film properties.[1][2] Figure 6.1shows a simple ion plating system using a DC diode arrangement with thesubstrate as the cathode electrode and a thermal vaporization source as thesource of the depositing material.[1]

A description of the ion plating process was first published in thetechnical literature in 1964[3] and a U.S. patent was granted for the processin 1974.[4] Recently it has been found that a patent for a very limited versionof a similar process was granted in Europe in 1938[5] but was not publishedin the technical literature nor pursued commercially. In 1965 it was reportedthat the use of a bias on the substrate during sputter deposition (biassputtering) decreased the contaminant level in sputter-deposited films.[6]

The term ion plating is generally accepted[7] and is often usedwithout any description or reference, however there does not seem to bea universally accepted definition and many other terms are applied to ionplating-like processes such as bias sputtering, bias sputter deposition, “ion

Page 344: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Ion Plating 347

vapor deposition” (“Ivadizing”),[8][9] ion beam enhanced deposition (IBED),accelerated ion deposition,[10] plasma-enhanced vapor deposition,[11] ion-assisted deposition (IAD),[12] biased activated reactive deposition (BARE),[13]

plasma surface alloying, etc.

Early work on the ion plating process was concerned with the depositionof atoms originating from thermal evaporation/sublimation, sputtered sur-faces (sputter ion plating), or from chemical vapor species in the gas(chemical ion plating).[4] Bombardment was by ions extracted from a plasmaby applying a negative potential to the surface to be coated which wasimmersed in the plasma. The plasma could be of an inert gas species,contain reactive species, or contain gaseous chemical compound species.In the latter cases, the chemical species could be activated in the plasma toeither become more reactive with depositing species (for example, nitrogento form the nitrides) or could be decomposed to deposit a coating from theconstituents of the gaseous chemical compound (e.g., Ti from TiCl4).[14] Thelatter process might be considered an early form of plasma enhanced CVD[15]

with ion bombardment in addition to the plasma activation.

Figure 6.1. An ion plating configuration using a DC diode discharge and a thermalvaporization source.[1]

Page 345: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

348 Deposition Technologies for Films and Coatings

Most recently, the term ion plating has been applied to processes wherethe surface to be coated is in contact with a plasma and the term ion assisteddeposition (IAD) or ion beam enhanced deposition (IBED) is used where thesubstrate is bombarded by an ion beam in a vacuum environment duringdeposition.[16]-[18] There are several other modifying terms which are some-times used with ion plating such as: sputter ion plating and chemical ionplating which specify the origin of the depositing species (sputtered materialor chemical vapor precursor gases respectively), vacuum ion plating which isdone in a vacuum environment,[19] and reactive ion plating,[20] used for thedeposition of films of compound materials.

Initially the film property of most interest was the adhesion of the film tothe substrate and the ability to have an in situ substrate surface cleaningprocess, as well as to introduce thermal energy directly into the surface regionwithout having to heat the bulk of the material. In the early 70’s a number ofstudies were made on the modification of film microstructure,[21][22] stress,[23]

composition[24] and properties[25][26] by the concurrent bombardment duringdeposition, and the excellent coverage obtained by gas scattering andsputtering-redeposition during ion plating. Bombardment during reactivedeposition was shown to improve the stoichiometry of the deposited filmmaterial.

In the latter part of the 70’s and the early 80’s, sources of low energy (100to 10,000 eV) ion beams of gaseous species became more generally availableand bombardment could be done in a vacuum environment. This led to studiesof bombardment effects under more controlled conditions. Studies includedboth those of a physical nature such as the effect of bombardment on filmstress,[27] and those of a chemical nature (reactive deposition).[28][29] Re-cently it has been shown that bombardment during vacuum deposition greatlyimproves the properties of vacuum deposited optical coatings[16] by increasingthe index of refraction (density) and the environmental stability.

Recently ion implantation accelerators have been used to give highenergy (50 to 100 keV) particle bombardment of surfaces to give recoilimplantation of previously deposited material or “mixing” of the interfacialregion between a film and the substrate.[30][31] This high energy bombard-ment may be concurrent with the atomistic film deposition or may be usedas a post-deposition treatment. The development of ion sources for fusionreactor applications has lead to large area, high current, ion sources thatallow higher fluxes and larger areas of bombarding particles.[32][33] Alsoduring the early and mid 80’s, equipment and techniques were further

Page 346: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Ion Plating 349

developed that allowed ions of the film material to be used as thebombarding species.[34][35] Some of these sources were derived from ionsources developed for isotope separation.[36]

The basic rules for ion plating are:

1. Bombardment must be over the whole surface to be covered—themore uniform the bombardment (species, number [ratio of bom-barding species to depositing species] and energy) the better.

2. A minimum bombardment ratio and particle energy must beestablished in order to attain the desired property and structuralmodification of the surfaces and deposited film.

3. Bombardment must be continued from surface conditioning throughinterface formation for good adhesion.

4. Bombardment may be continued through the film formation stagein order to modify film composition, morphology, microstructure,and properties.

5. Contamination in the plasma should be low in order to reducereaction with the substrate and with the depositing material(reactive species are activated in the plasma—good for reactivedeposition, bad for contamination).

6. More material must be deposited than is removed by the bombard-ment process; however, all of the deposited material may reactwith the substrate giving a type of surface modification coating(ex., Pt on Si giving a PtSi coating).

Ion plating may be divided into several stages, namely: (a) surfacepreparation, (b) nucleation and interface formation, and (c) film growth. It isimportant that the bombardment be continuous through the various stages forthe ion plating process to work properly.

The surface preparation stage allows in situ sputter cleaning of thesurface prior to the beginning of deposition. This “cleaning” portion of theprocess allows good interfacial reactions for adhesion[37] and the generationof ohmic contacts to semiconductor materials.[37] In addition to cleaning,surface preparation may also be in the form of roughening the surfacemorphology or changing the surface composition (surface reaction or prefer-ential sputtering). Bombardment may also make the surface more “active” bythe generation of reactive sites and defects. For example: an unbombardedsilicon surface metallized with aluminum shows no interdiffusion but abombarded surface gives rapid diffusion.[39]

Page 347: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

350 Deposition Technologies for Films and Coatings

The interface formation stage allows the formation of a desirablediffusion or compound type interface on the “clean” surface if the materials aremutually soluble, or the formation of a “pseudo-diffusion” type of interface dueto the energetic particle bombardment during the initial deposition if thematerials are insoluble.[37] Interface formation is aided by defect formation andthe deposition of energy (heat) directly into the surface without the necessityfor bulk heating. In some cases the temperature of the bulk of the material maybe kept very low (ex., liquid nitrogen cooling) while the surface region is heatedby the bombardment.[4] This allows the development of a very high temperaturegradient in the surface region which limits diffusion into the surface. The ionbombardment along with a high surface temperature may cause all of thedepositing material to be diffused into the surface giving an alloy or compoundcoating.

In ion plating the bombardment may or may not be continued during thefilm formation stage. If the bombardment is not maintained, the process,through the interface formation stage, may be considered as a strike for furtherdeposition by another technique (vacuum deposition, sputter deposition,electroplating, etc.). If the bombardment is maintained during the growthstage, it is usually with lower energy bombarding particles and a higher ion fluxthan is used in the surface preparation and interface formation stages. Thisis to reduce the gas incorporation and compressive stress in the resultingdeposited material.

Generally energetic particles for bombarding surfaces and growing filmsare of gaseous ions and arise from: (a) biasing (DC or RF) a surface in contactwith a plasma so that it is bombarded by ions from the plasma, (b) extractionof ions from a confined plasma and accelerating them to a high energy througha grid system into a vacuum environment (ion beam),[32][40] or (c) reflected highenergy neutrals which arise from ion bombarding a surface in a low pressureenvironment[41]-[43] such that the reflected neutrals are not thermalized bycollisions in the gas phase.

The energetic particles (ions) may also be of a condensible film speciesand arise from: (a) sources such as are used for isotope separation,[36][44]-[46]

(b) acceleration of negative ions from a negatively biased compound or alloysputtering target,[47] (c) ions from vacuum or plasma arcs,[35][48] or (d) specialion sources.[49]

The most general source of energetic particles is the extraction of ionsfrom a plasma to bombard a surface which is at a negative potential withrespect to the plasma. The bombarded surface may be located in the plasmageneration region or at a downstream location in the plasma.

Page 348: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Ion Plating 351

2.0 PROCESSING PLASMA

Plasmas are gaseous media which contain enough ions and electronsto be electrically conductive (e.g., fifty). Energy is introduced into the plasmaby the acceleration of electrons in a DC, RF, or microwave electric field. Theseenergetic electrons then fragment, excite, and ionize atoms and molecules bycollisions. A processing plasma is a plasma that is used in materialsprocessing.[51]-[53] In many, if not most, cases of film deposition theprocessing plasma is a weakly ionized plasma such that there are many moreneutral particles than ions in the gas phase and there is a large number ofradical species compared to ions when a molecular gas is used. In aprocessing system, the local plasma densities and plasma properties mayvary significantly due to electrode configurations, presence of fixturing, andother geometrical factors.

Typical properties of a weakly ionized plasma are:

Ratio of neutrals to ions 107 - 104:1(100 times as many radicals as ions when using a molecular gas)

Gas pressure 10-3 - 10-1 torr

Electron temperature 1 - 10 eV

Ion temperature 0.025 - 0.035 eV

3.0 GENERATION OF PLASMAS

In plasmas used for plasma processing, the electron energy is in-creased by acceleration in electric field gradients. The most typical configu-rations for generation of plasmas are: (a) DC diode discharge, (b) RF (radiofrequency) discharge, (c) electron emitter sustained discharge, (d) magnetronenhanced discharge, (e) microwave discharge, (f) vacuum arcs, and (g)plasma arcs. Figure 6.2 shows a schematic of some of these configurations.

3.1 DC Diode Discharge

The DC diode configuration consists of an anode and a cathodeimmersed in a low pressure gas. In ion plating, the substrate may be thecathode of the DC diode discharge. At the cathode, the negative potential (-)attracts positive ions from the edge of the plasma region and they are

Page 349: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

352 Deposition Technologies for Films and Coatings

accelerated across the cathode fall region to impinge on the cathode (target).The cathode fall region, which surrounds the cathode, is where most of thepotential drop in a DC discharge is to be found.[54] The plasma region is locatedbetween the edge of the cathode fall region and the anode where there is littlepotential drop. In the DC discharge, energetic particles (ions and neutrals)impinging on the cathode (target) cause the ejection of secondaryelectrons which then accelerate across the cathode fall region, collide withgas species, and create ions which sustain the discharge process. Thesecondary electron emission coefficient of a surface depends on thechemical nature and morphology of the surface. Oxides typically have ahigher electron emission coefficient than metals, and rough surfaces havea lower secondary emission coefficient than smooth surfaces. Thesecondary electrons can be accelerated to high energies and impinge on the

Figure 6.2. Plasma generation configurations: (a) DC diode; (b) DC diode withpermanent magnets giving a planar magnetron; (c) RF plasmas with planarelectrodes immersed in the plasma, electrodes external to a dielectric wall and acoil immersed in the plasma; (d) electron emitter (thermoelectron) with magneticconfinement; and (e) microwave cavity.

Page 350: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Ion Plating 353

anode or other surfaces in the system. This can give rise to extensive heatingof surfaces (substrates) in the system. The DC discharge requires a relativelyhigh gas pressure to sustain the discharge (>10 microns argon).

In the cathode fall region, some of the ions may be neutralized by chargeexchange processes which give rise to energetic neutral particles[55][56] whichare not affected by the applied electric field. The cross-section for chargeexchange is much larger than that for physical collision. The charge exchangeprocess is dependent on the gas pressure, and at low pressures theaccelerated ions will arrive at the cathode with the full cathode fall energy sincethe collision probability is low. Because of these charge exchange processesthere is, at the cathode, a flux of energetic particles consisting of ions andneutrals with a broad spectrum of energies.

In order to sustain a DC discharge, the secondary electrons must createenough ions to compensate for losses by recombination. If the anode orground surface is brought too close to the cathode the discharge is extin-guished. This effect can be used to confine the DC discharge to areas of thecathode surface where bombardment is desired—other areas may have thebombardment prevented by having a ground shield in closed proximity to thesurface. The Paschen curve gives the relationship between breakdown voltageand the minimum anode-cathode separation in a gaseous environment.Typically argon gas pressure of about 10 microns is used to sustain the DCdiode discharge. At this pressure the width of the cathode dark space is about1 cm. Insulator surfaces cannot be used as cathodes in a DC diodeconfiguration since charge buildup on the surface prevents ion bombardment.Electrically insulating films deposited in a DC discharge will build-up a surfacecharge which will cause arcing through the film.

In addition to causing the ejection of secondary electrons, high energyions and neutrals which impinge on the target (or other surfaces) cause thephysical ejection of surface atoms (physical sputtering) by momentumtransfer processes. The sputtered particles leave the surface at higher thanthermal energies but may be rapidly thermalized by collisions in the gasphase.[57][58] The sputtered particles may be scattered back to the targetsurface—this effect is more prominent the higher the gas pressure. Some ofthe energetic ions that bombard the cathode may be reflected as high energyneutrals.[40]

Page 351: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

354 Deposition Technologies for Films and Coatings

The electrical current measured in the DC cathode circuit is the sum ofthe charge due to the ion flux to the target and the secondary electron flux awayfrom the surface. Therefore the cathode current density and cathode voltagedo not specify the flux nor the energy of the impinging ions. However theseparameters (along with gas pressure and gas flow) are typically used to specifythe plasma parameters in DC diode plasma processing.

Typically a DC diode discharge plasma is weakly ionized with manymore neutral particles than ions. Any surface in contact with the plasma willbe subjected to a flux of ions, neutrals, and electrons. A sheath potential willbe developed because of the greater mobility and energy of the electrons ascompared to the ions. This wall potential (typically 3 - 10 volts) will accelerateions from the plasmas giving rise to ion scrubbing of the surface. In ion plating,the surface in contact with the plasma may be biased to accelerate ions fromthe plasma and bombard the surface at higher energies.

In plasma processing, the DC diode configuration has many advantagesincluding: (a) a rather uniform plasma can be generated over large cathodicareas; (b) power input (watts/cm2) can be very high; (c) the power supplies arerather simple, inexpensive and powerful; (d) process reproducibility can beattained by controlling the geometry, gas pressure, and target power (currentand voltage); and (e) the sputter erosion of cathodic surfaces may be used asa long-lived, stable source of depositing material. Disadvantages include: (a)surface geometries can result in focusing effects giving non-uniform bombard-ment; (b) heating of substrates by secondary electrons accelerated away fromthe cathode can be extensive; and (c) inability to bombard electricallyinsulating surfaces or films.

Typical conditions for ion plating in a DC plasma environment are:

Bombarding current: 0.5 mA/cm2

(typically ions, inert, reactive)

Applied accelerating potential: 50 - 5000 volts(DC diode)

Ratio of bombarding particles 1:10 - 1:100 to depositing atoms

Typical plasma/system parameters that are controlled or monitored inDC diode ion plating where the substrate is the cathode of the discharge are:

Page 352: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Ion Plating 355

System geometry

Substrate: Power input (voltage and current)Plasma uniformityApplied potentialPre-sputtering timeDeposition rate/uniformity

Plasma: Gas pressureGas purity

Deposition source geometry

3.2 RF Discharge

At high frequencies, in a capacitively coupled discharge, the electronsoscillate in the changing field thus gaining energy, and by collision with atomscreate ions, radicals and more electrons. Typical RF power supplies operateat 13.56 MHz (U.S. industrial frequency) with peak-to-peak voltages of greaterthan 1000 volts. The plasma acts as a low density electrical conductor andthe RF field penetrates quite some distance into the plasma. When the drivenRF electrode is a conductor, the surface is bombarded by ions from the plasmaduring the half-cycle that the electrode is negative.

If the surface of the RF electrode is an insulator (backed by a conductor),the metal-insulator-plasma acts as a capacitor and the surface potential thatappears on the insulator surface alternates between a low positive potential(because the electrons have a high mobility) and a higher negative potential(because the ions have a relatively low mobility).[59] Ions are extracted fromthe RF plasma during the negative portion of the cycle and bombard theinsulator surface. During a portion of this half-cycle the bombardment energymay be sufficient to cause physical sputtering of the insulator surface. TheRF potentials in the plasma can be determined using capacitive probes.[60]

The ion energies bombarding a surface may be determined using a samplingorifice, a retarding grid, and a mass spectrometer.[61][62]

In capacitively coupled RF discharges, the plasma potential, andhence the sheath potential at the electrodes, can have a time-varying valueof tens to hundreds of volts.[63] When the electrodes have different effectiveareas, the plasma potential can also have a large DC potential with respectto one or more of the electrodes.[64] These factors affect the distribution ofion energies incident on the electrode surfaces in an RF discharge.[65] Small

Page 353: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

356 Deposition Technologies for Films and Coatings

area electrodes will attain higher voltages than large area electrodes, andthe electrode potentials can be varied using an external capacitance in thecircuit.

The amount of energy that is coupled into the RF discharge dependson the impedance matching (reflected power) and coupling losses to othersurfaces (stray losses). In RF plasma processing, it may be important todetermine just how much energy is actually being coupled into theplasma.[66]-[69] RF power may be coupled to the plasma using metalelectrodes external to a dielectric wall[70] or the RF plasmas may beexcited using immersed electrodes (see Ref. 71, for example). Very highplasma densities and ionization efficiencies can be attained in RF drivenplasmas and the discharge may be established at lower pressures thanthe DC diode discharge.[72]

In plasma processing, the RF discharge has the advantage thatinsulating surfaces or insulating films on conductive surfaces can bebombarded by applying an RF potential. Disadvantages are: (a) highpower inputs (heating) to insulating materials cause cracking, (b) elec-trode geometries can cause problems with coupling to the RF power, (c)there are many sources of RF power loss in systems, (d) plasmauniformity is difficult to obtain over complex surfaces, and (e) the biasconditions on surfaces in the RF plasma are variable and often difficult tocontrol.

3.3 Microwave Discharges

Plasmas can also be excited at much higher frequencies—300 MHz to 10GHz—where electron cyclotron resonance coupling gives more efficient ioniza-tion.[73] Microwave discharges differ in many essential respects from DC and RFdischarges. Namely: (a) there is an increased amount of excitation in thedischarge and a lot of vacuum-UV is produced, (b) high degree of ionization (ashigh as 20%), (c) the electron densities are higher, 1013 vs. 109 - 1010, and (d)the particle temperatures are higher (factor of ten or more). Microwave plasmasare most often used in the downstream processing configuration since sub-strates in the microwave cavity can detune the system.

3.4 Electron Emitter Discharge

In the DC diode and RF plasma configurations, the electrons necessaryto sustain the plasma are produced in the plasma. When using electron

Page 354: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Ion Plating 357

emitters, the electron source is independent of the plasma processes.Common electron emitters are hot thermoelectron emitting cathodic surfacesand hollow cathodes.[74] For example: hot LaB6 surfaces can give an electronemission of >20 A/cm2 at 1700°C.[75] These discharges may have very highelectron densities (1012). Often the electrons are confined by a magnetic field(100 - 500 gauss) directed along the anode-cathode axis. The magnetic fieldincreases the electron path length in its movement from the cathode to theanode by causing the electron to spiral in the magnetic field. This increasesthe ionization efficiency of the electron and allows the discharge to besustained at a low gas pressure. The ions in the plasma may be extractedusing an electrode at a DC or RF potential to give bombardment of a surface(triode configuration). The triode configuration suffers from a nonuniformplasma density along its axis, thus giving nonuniform bombardment and adensity variation in activated species over a large biased surface. Thethermoelectron emitter system is very amenable to forming dense plasmasand for application to downstream processing. By applying magnetic fields,the plasma (ions and electrons) may be confined and steered into a processingchamber.[33] Steering (bending) of the plasma beam occurs since theelectrons follow the magnetic field lines and the ions follow the electrons. Theelectron emitter configuration may also be used to melt and vaporize materialfor film deposition and at the same time as producing the plasma.[76]-[81]

In plasma processing some of the advantages of the electron emitterconfigurations are: (a) the flux of electrons is independent of other plasma andelectrode processes; (b) very high plasma densities can be attained; (c) theplasma properties can be controlled by controlling the electron emission; (d)the plasma may be steered from the plasma generation chamber; and (e) theelectron beam can be used as a source for thermally vaporizing material.Disadvantages are: (a) need for well controlled and long life electron emittingsources, and (b) plasma non-uniformity over large areas and complexsurfaces.

3.5 Magnetron Discharges

Low strength (100 - 500 gauss) magnetic fields may be used toconfine the electrons and increase their path length in plasma systems bycausing the electrons to spiral around the magnetic lines of flux (magne-tron configurations). There are a number of ways to establish magnetic fieldsin plasma chambers including: (a) internal permanent magnets, (b) externalpermanent magnets, (c) external electromagnets, and (d) moving magnets.

Page 355: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

358 Deposition Technologies for Films and Coatings

Permanent magnets have the advantage that they may be placed in such amanner as to position the field lines in a desirable manner. However, gettinga uniform magnetic field over a large or complex surface is difficult with anymagnetic field configuration.

Magnetron enhanced plasma configurations have many advantagesincluding: (a) confining the plasma to a small region, (b) increased ionizationand plasma density, and (c) may be operated at low pressures where gasphase collisions are reduced. Disadvantages include: (a) non-uniformmagnetic fields give non-uniform plasma densities, (b) isolation of the plasmato a small region of the processing chamber requiring auxiliary plasma sourcesnear the substrates in some applications, and (c) low pressure processing cangive rise to a flux of high energy reflected neutrals which may affect bombardedsurfaces and growing films, and affect their properties in an undesirablemanner.[43]

3.6 Plasma Enhancement

Plasma enhancement techniques may also be used to locally increasethe plasma density. This plasma enhancement may be done by using localRF fields,[82] thermoelectron emitting surfaces,[33] hollow cathode electronemitters,[74][81][83][84] deflection of secondary electrons in e-beam evapora-tion, localized higher gas pressure, etc. The plasma density may also beincreased by the use of magnetic fields which cause the electrons to spiralaround the magnetic field lines thus increasing their path length (magnetronconfigurations).[85] Some of the most dense plasma sources have beendeveloped for the magnetic fusion community. Many of these sources use RFpower input or thermoelectron emitting surfaces along with confining magneticfields.

In some film deposition processes, ions of the film material (condensibleor non-condensible) may be used to bombard the substrate. Ions of reactivegaseous species may be formed in plasmas by conventional techniques. Highconcentrations of ionized condensible film species may be formed: (a) inplasmas having a high density of low energy (100 eV) electrons, (b) in vacuumarc-plasmas on solid cathodes,[86][87] or (c) above molten anodes in vacuumarc-plasmas.[88][89] In many cases, these species may be multiply ionized.The addition of a reactive gas to the plasma allows the deposition of compoundmaterials.[90]

When using plasmas and bombardment effects in ion plating, manyprocessing variables are unknown. Processing unknowns include: (a) the

Page 356: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Ion Plating 359

portion of the substrate current that is due to secondary electron emission, (b)the flux and energy spectrum of the ions and electrons, and (c) the flux,adsorption, and surface coverage of the neutral gaseous species. Generallyno attempt is made to determine these process variables during the process-ing but rather they are controlled by controlling other processing variables suchas: (a) system geometry, (b) vaporization rate, (c) gas pressure, (d) gascomposition, (e) gas flow rate(s), (f) substrate and system temperatures, (g)contaminants in the plasma, and (h) substrate power input per unit area(voltage and current).

4.0 PLASMA CHEMISTRY

The plasma is a very energetic chemical environment and manychemical processes can occur (e.g., Refs. 91-93). The principal chemicalprocesses are: (a) electron impact ionization, (b) dissociation (fragmentation)of molecules (formation of radicals), (c) Penning ionization (metastablecollision), (d) dissociative electron attachment (e) electron attachment, (f)excitation, (g) momentum transfer collisions, (h) de-excitation of excitedspecies, and (i) recombination (neutralization). As an example of thecomplexity of plasma chemical processes consider that there can be twenty-four reactions and sixteen species formed by the decomposition and reactionof CF4 in a plasma.[94]

As an example of Penning ionization, consider argon which hasmetastable excited states of 11.55 and 11.75 eV, and copper which has anionization energy of 7.86 eV. Thus a copper atom colliding with a metastableargon atom is easily excited or ionized. Metastable atoms may be veryeffective in ionizing and exciting other species by collision.

Many of these plasma processes are characterized by cross-sectionsfor collision processes and threshold energies for attachment processes. Forexample CF3Cl has a high collision cross-section and low threshold energy(2 - 3 eV) for electron dissociative attachment. CF4 has a low cross-sectionand high threshold energy (5 - 6 eV) for electron dissociative attachment, andCCl4 is not activated at all by electron attachment. Therefore CF3Cl is muchmore easily fragmented and ionized in a plasma than is CF4 or CCl4. Thedegree of ionization, dissociation and excitation of the species dependsstrongly on the gaseous species, electron energy, and density in the plasma.Generally there is much more dissociation than there is ionization of molecularspecies.

Page 357: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

360 Deposition Technologies for Films and Coatings

Many of these plasma processes serve to activate (plasma activation)the gas species, i.e., to make them more chemically active by dissociation,ionization, or excitation. Plasma activation may partially decompose chemi-cal precursor species and make them easier to thermally decompose. Thistype of activation is used in plasma-enhanced and plasma-induced processessuch as plasma-enhanced chemical vapor deposition (PECVD).[95]

Plasma discharges are very effective in desorbing contaminates (ex.H2O) from surfaces in a plasma processing chamber. These impurities areactivated in the plasma and may contaminate the depositing material.

A number of techniques may be used to determine plasma proper-ties.[96] Optical emission is the most common.[97][98] Optical absorptiontechniques may also be used to characterize the gaseous species andtemperature in a gas discharge.[99] Electron and ion densities in a plasma maybe measured by the use of small-area Langmuir probes.[100]

In film processing utilizing plasmas, the depositing (condensible)species usually traverses the plasma before condensing on the substrate. Indoing so, some of the species may be fragmented and/or ionized in theplasma. However in the usual ion plating configuration (low density, weaklyionized plasma) little ionization of the condensible species is to be ex-pected.[101]

5.0 BOMBARDMENT EFFECTS ON SURFACES

The physical effects of energetic particle bombardment on surfaces anddepositing films is very dependent on the mass, flux, and energy of thebombarding particles, the flux of non-energetic particles (i.e., depositing orabsorbing species) and the atomic mass and chemical nature of thebombarded surface. In many cases the fluxes of impinging particles are notdetermined or controlled except by the processing parameters.

Bombardment can be from ions accelerated to the surface under: (a) animpressed bias, (b) an induced bias, or (c) due to the development of a sheathpotential. Bombardment can also be from energetic neutrals formed by chargeexchange processes or by the neutralization and reflection of energetic ionsfrom a surface.

Many studies have shown that intentional and/or unintentional particlebombardment may affect the various stages of film growth (substrate prepa-ration, nucleation, interface formation, and film growth). The parameters(flux, energy, ratio) which are important are usually poorly defined, and

Page 358: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Ion Plating 361

in many instances, the importance of bombardment is not recognized. Anexample is in magnetron sputtering where the stress in the deposited filmsmay be correlated to the gas pressure in the sputtering chamber and thus tothe bombardment by energetic particles reflected from the sputtering tar-get.[102] At low pressures, high energy particles, which are reflected asneutrals from the sputtering target, provide the particle bombardment of thegrowing film, giving high compressive stresses. At higher gas pressures, thehigh energy particles are thermalized by collisions before they can bombardthe growing film and tensile stress is developed in the growing film.[43]

High energy reflected particles are more prevalent at high angles to thesurface normal so substrates in these areas will be more affected bybombardment than substrates positioned normal to the surface. Also the postcathode or rod cathode target configurations will be more sensitive tobombardment than planar targets. The nature of the stress can also becorrelated to the angle-of-incidence of the deposited material[103][104] and thesputtering current density.[105] It has also been shown that anisotropicbombardment gives rise to anisotropic stresses in films.[43]

Particle bombardment allows one means of in situ preparation of asubstrate surface prior to film deposition. In situ surface preparation may benecessary to generate the high quality interface necessary for the fabricationof some semiconductor devices.[38][39]

Figure 6.3 shows several regions affected by particle bombardment andthe regions are defined as follows:

Surface: Interface between solid and gas (vapor or vacuum).

Surface-region: Region of physical penetration by the bom-barding particles in which there is a collision cascade.

Near-surface region: Region beyond physical penetration butwhich is affected by the bombardment (heating, diffusion)

Bulk region: Region of the material which is not significantlyaffected by the bombardment (or can be made so by cooling)

Figure 6.3 also depicts the effects of bombardment by energeticspecies (not electrons) on the surface and the subsurface region. Surfaceeffects include: (a) desorption of weakly bonded surface species, (b) ejectionof secondary electrons, (c) reflection of the energetic species as highenergy neutrals, (d) sputter ejection (physical sputtering) of surface atomsby momentum transfer through collision cascades, (e) sputtering andredeposition of sputtered species by collisions in the gas phase, ioniza-tion, and acceleration back to the surface and by forward sputter deposition due

Page 359: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

362D

epo

sition

Tech

no

log

ies for F

ilms an

d C

oatin

gs

Figure 6.3. Schematic depiction of energetic particle bombardment effects on surfaces and growing films. See text for discussion.

Page 360: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Ion Plating 363

to the ejection angle on a rough surface, (f) enhanced surface mobilities ofatoms on the surface, and (g) enhanced chemical reaction of impinging andadsorbed species to produce condensed species (reactive deposition) orvolatile species (etching). In the subsurface region: (a) the impinging particlesmay be physically implanted, (b) the collision cascades cause displacementof lattice atoms and the creation of lattice defects, (c) defects coalesce, (d)surface species may be recoil-implanted into the subsurface lattice, (e) mobilespecies may be trapped at lattice defects, and (f) much of the particle kineticenergy is converted into heat.[106] Lattice channeling processes can carrythese effects deeply into the surface.

Film growth may be considered to be layered growth where each layeris a surface (surface-region) which is covered by another surface layer. Thusparticle bombardment effects on surfaces and on growing films are closelyrelated.

5.1 Collisional Effects

Particles striking other particles transfer momentum (billiard ball effect).Particles striking surfaces also transfer momentum to the surface atomswhich results in lattice atom displacement and vibration (heating). In manycases there will be some penetration of the bombarding particle into thesurface lattice structure. The amount of this penetration will depend on therelative masses of the bombarding and “target” atoms, and the crystallo-graphic orientation (penetration will be greatest along open planes).

Particles with energies too low to give collisional lattice displacement(<20 eV, depending on masses) may enhance chemical reactivity on thesurface thereby influencing: reactive deposition processes, reactive plasmaetching, ion enhanced chemical etching, reactive plasma cleaning, chemicalsputtering (volatile species), plasma polymerization, etc. These low energyparticles may also enhance the removal of weakly bonded surface atoms(desorption). For instance, low energy bombardment by species acceleratedacross the plasma sheath are used in ion scrubbing to clean optical surfacesbefore film deposition, and hydrogen ion scrubbing is used to remove surfacecontaminants in Tokamak-type fusion reactors.

Chemical sputtering occurs when the bombarding species (H, Cl, Fl)chemically reacts with the surface to form a volatile species which leaves thesurface with thermal energies.[107] Chemical sputtering is often a synergisticeffect when both a chemically reactive species and an energetic speciesbombard the surface simultaneously (bombardment enhanced chemicaletching).[108][109]

Page 361: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

364 Deposition Technologies for Films and Coatings

For particle energies large enough to create collisional damage, manythings can occur. Figure 6.3 depicts the various processes that can occurwhen these particles strike a surface and generate a collision cascade in thenear-surface region. These processes include:

1. Reflection from the surface with some loss of energy—these particles may be used for surface analysis (ISS, IonScattering Spectrometry) or give bombardment-inducedchanges in the deposited material.

2. Physical sputtering of the surface atoms by momentumtransfer processes.

3. Subsurface implantation of the bombarding species.

4. “Knock-on” implantation of surface species (recoil implan-tation).

5. Point defect formation along the collision cascade track.

6. Localized high temperatures along the collision cascadetrack, the heating from which diffuses through the surfaceregion.

7. Produce secondary electron from the surface which may beaccelerated away from a surface that is at a negativepotential.

Surface effects: Particle bombardment processes/effects may beclassed as:

Prompt processes (<10-12 sec) - collision effects

Cooling effects (>10-12 to <10-10 sec) - thermal spikes

Delayed effects (>10-10 sec to < 1 hour) diffusion, segregation

Persistent effects (> 1 hour) - compressive stresses, amorphori-zation, phase change

Physical sputtering (often just called sputtering)[110] is the physicalejection of a surface atom by momentum transfer in the collision cascadewhere it intersects the surface (sputter erosion). This process is not a thermalprocess so the ejected particles have energies greater than thermal and adistribution (ejection pattern) that depends on the crystallographic orientationof the surface atoms. Only a small amount of the energy of the bombardingparticles appears in the energy of the sputtered particles; the rest (70% ormore) goes into heating the bombarded surface.

The sputtering yield, the ratio of ejected atoms to incident particles,is a function of incident particle energies (normal incidence), and is a

Page 362: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Ion Plating 365

function of the mass of the incident particle and the mass of the target atoms.The sputtering process only begins after a threshold energy is reached wherethere is enough momentum transfer to give ejection (> 20 eV).

The sputtering yield is a function of crystallographic orientation of thesurface material, giving differing yields for differing planes and allowing thedelineation of crystallographic structure of a surface by sputter etching. Thesputtering yield is also a function of the incident particle energy, increasingfrom some threshold value to some maximum above which most of the energyis deposited too far below the surface to affect the collision cascade where itintersects the surface and the sputtering yield decreases. There have beensome reports of an equilibrium time for the sputtering yield to stabilize,possibly due to saturation of the surface with bombarding gas.

For surfaces bombarded at normal incidence, the ejected particles willcome off with a cosine distribution at low bombarding energies,[111] and anover-cosine distribution as the energies become higher,[112] and with somedependence on mass when sputtering alloys or isotopic mixtures.[113] Thisangular dependence may change with texturing of the sputtered surface. If thebombarding flux is off-normal, the ejected flux will be skewed in a forwarddirection. (The ejection from a single crystal surface will depend on theorientation of the crystal planes). The energy of the ejected particles willdepend on the bombarding angle with oblique bombardment giving higherenergy ejected particles.

The sputtering yield is also a function of the angle of incidence of theimpinging particle. For this off-normal bombardment, the sputtering yield, asa function of incident particle bombardment angle, initially increases to amaximum then decreases rapidly above some angle as the bombardingparticles are reflected from the surface. The maximum generally occurs atabout 70 degrees off-normal but this varies with the relative masses of theparticles. This property may be used to give forward sputtering of materialswhere the impingement angle on the target (inclined plane with ion beams,edges of cylinders) is high. This angular dependence of sputtering also resultsin the formation of cones on a sputtered surface. The taper of the cones isdetermined by the angle-of-incidence dependence of the sputtering yield.

For a single component surface, the material will be removed from thesurface with the bulk composition. If the surface is an alloy or compound,preferential sputtering may occur with some surface species being removedmore easily than others (may actually extend several monolayers into the

Page 363: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

366 Deposition Technologies for Films and Coatings

surface—altered region). This will give rise to a surface composition that isdifferent from the bulk (enriched, depleted);[114] however, if no diffusion occurs,the sputtered species will have the same composition as that of the bulkmaterial at equilibrium. Preferential sputtering of compounds decreases withthe off-normal incidence angle of the bombarding ions.[115]

During deposition with concurrent bombardment, preferential sputteringcan cause loosely bound species to be removed (contaminates, or non-reacted compound-forming species),[6] or in the case of alloys, one of theconstituents may be preferentially sputtered.[116]

If diffusion in the target does occur, the sputtered species will have acomposition that differs from the bulk and may be continuously variable. Alloysputtering can give some mass separation with the flux normal to the surfacebeing enriched in the lighter element and the flux off- normal being enriched inthe heavier element.[113]

The sputtering process from a negatively biased elemental surface givesneutral species. Sputtering from a grounded surface gives varying amountsof ionized species which may be used for SIMS (secondary ion massspectroscopy) analysis or, if ionized in a plasma, may be used for GDMS(Glow Discharge Mass Spectroscopy) or endpoint optical analysis of theplasma. Sputtering of a target containing several species may give negativeions of the species having the lesser electronegativity (ex., O-, Au- from AuCualloy).

At relatively high gas pressures (DC diode sputtering conditions) aportion of the sputtered species may be scattered back to the surface. Aportion of the sputtered species may be ionized in the plasma and acceleratedback to the target surface giving self-sputtering. On non-planar surfaces,some of the sputtered species may be forward-sputtered so that they aredeposited on the target surface. All of these affect the apparent sputteringyield of surfaces.

Other sputtered species may condense on substrate surfaces giving“sputter deposition” often just called sputtered films (poor terminology).

Controlled sputter erosion in conjunction with surface analysis tech-niques is used to depth-profile from the surface in order to allow in-depthdetermination of elemental composition.[117]

Particles on the surface or inclusions in the surface region mayprotect the local area from sputter erosion, giving a texturing of thesurface morphology (cone formation).[118] Enhanced surface mobility mayalso create whiskers on the bombarded surface.[119] Texturing of surfaces may

Page 364: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Ion Plating 367

give a long term change in the sputtering yield (2 - 3X) from the surface sincethe surface morphology changes (changing angle of incidence and redepositionprocesses).[120] Texturing is used to generate optical “trapping” surfaces,[121]

to treat surfaces for medical implants to improve bone growth[122] andadhesion, and to reduce secondary electron emission from surfaces.

If the surface species is a “foreign” atom the process of removal may betermed desorption and may be called ion-induced desorption or cleaning.[123]

It has been shown that the process of recoil implantation is an importantparameter in the desorption (sputter cleaning) of monolayers of chemisorbedspecies from a surface.

Surfaces may be etched by reactive plasma etching where a chemicallyactive species (Cl, F) is formed in a plasma and reacts with the surface to forma volatile species. Typically a volatile chemical species is formed on thesurface and volatilization removes the surface species. The process issomewhat difficult to control since the plasma composition and the flux ofimpinging particles from the plasma may vary. If the particles are acceleratedto the surface, chemical reaction is enhanced and the process becomesreactive ion etching or reactive plasma cleaning.[124]

The desorption of weakly bound surface species is important to plasmacleaning and may be used to reduce the incorporated contaminants indeposited films.[6][125] The desorption may also be useful in desorbingunreacted species in reactive deposition processes giving rise to morestoichiometric and chemically stable deposits.

In ion-assisted chemical etching,[126] a molecular beam of thechemically reactive species and a beam of inert gas ions simultaneouslybombard the surface to be etched. Using this technique, very high etchingresolution can be obtained at high etch rates.[127]

The bombardment-assisted chemical processes that occur onsurfaces are very poorly understood.[109][128]-[130] On one hand theincreased chemical activity may be due to the increased “temperature”due to momentum transfer from the bombarding ions. On the other hand,secondary electrons from the bombardment of the surface may play animportant role. In some cases, surface changes due to the bombardmentmay provide sites for chemical reactions. The etching process is sensitiveto the amount of adsorbed reactive gases.

Page 365: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

368 Deposition Technologies for Films and Coatings

5.2 Surface Region Effects

The surface region is affected by the penetration of the bombardingspecies. A major portion of the energy goes into atomic vibration and appearsas heat. The resulting collision cascades generate point defects andcollisional mixing by atomic displacement. The point defect densities may beas high as 1 - 20 atomic percent and may combine to form large-scale defectsand, in the extreme, disrupt the crystalline material into an amorphous form.The defects introduced into the surface region can affect the electronicproperties of the region.[37] For silicon, these electronic effects have beenstudied by bombarding the surface, then fabricating Schottky barriers.[131]

The lattice defects may also allow the trapping of normally mobilespecies.[132] Trapping studies of ion-bombarded surfaces show trapping sitedensities of 1 - 20 atomic percent.[133][134] The bombarding species may beimplanted to quite high atomic concentrations if they are not lost by diffusionto the surface. Typically 1 - 10% of entrapped bombarding species is foundin the surface region of argon-ion-bombarded sputtering targets. In the argonbombardment of silicon, it has been shown that the argon content rises verysharply from about 225 eV bombarding ion energies and approaches a plateauaround 2200 eV. Films of gold grown under bombardment conditions havebeen deposited with 40 atomic percent helium[135][136] which is normallyinsoluble in gold. Other gases have been incorporated into more complexsputter-deposited amorphous materials to high concentrations.[137] Incorpo-rated gases may embrittle materials, precipitate into bubbles, or outgas atelevated temperatures.

The incorporation of radioactive krypton into surfaces (by pressure or ionbombardment) for subsequent thermal desorption and wear studies has beencalled kryptonation.[138]-[142] The materials thus formed have been calledkryptonates.

In the case of hydrogen ion bombardment of carbides, carbon depletionis noted to depths far greater than the penetration depth of the hydrogenions.[143] Hydrogen ion bombardment also acts to hydrogen charge thesurface region giving a chemical potential which enhances the hydrogendiffusion into the material. The same is true for nitrogen where nitrogen ionbombardment, diffusion, and reaction results in nitriding of the surface region.Outgassing of the incorporated gases may present adhesion problems whena film has been deposited on the bombarded surface.

Page 366: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Ion Plating 369

The combination of high defect densities and heat allow rapid diffusion(similar to radiation enhanced diffusion[144]) and the generation of an altered layerwhose composition may differ from the bulk (alloys and compounds).

The implantation of the bombarding species and the recoil implantationof the surface species results in the compaction (peening) of the near-surfaceregion and the formation of a compressive stress in that region. Theimplantation process also incorporate bombarding (and surface species -recoil implantation) species into the near surface region often in amountsthat are above the normal solubility limits. Both the peening action and theincorporation can affect the stress in this region film.

5.3 Near Surface Region Effects

The near-surface region is affected by the proximity of the surfaceregion. Compositional changes in the surface-region generate a chemicalpotential which, along with the temperature and defect profile, may allowrapid diffusion from this region to the surface and vice versa. Compressivestresses generated in the surface-region are offset by tensile stresses in thenear-surface region and these may cause subsurface fracturing. Inhydrogen ion bombarded silicon, subsurface defects and fracturing areattributed to the tensile stresses generated beneath the compressivesurface region giving fracturing. Temperature-rise studies by the crystalliza-tion of metallic glass substrates during the ion plating of gold has shownthat the temperature rise in the near-surface region (10 - 15 microns)approached 500°C.[145]

5.4 Bulk Effects

The principle bulk effect is that of heating. Particularly if the substrateis not actively cooled then the bulk temperature can rise significantly.

6.0 SOURCES OF DEPOSITING ATOMS

In ion plating, the depositing (condensible) species may have thermalenergies or may be all or part of the energetic bombarding flux.The source of the depositing species may be:

Page 367: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

370 Deposition Technologies for Films and Coatings

Thermal evaporation or sublimation sources

Sputtering sources (sputter-ion plating)

Vacuum arc sources

Chemical vapor species (Chemical Ion Plating)

6.1 Thermal Vaporization

Thermal evaporation or sublimation of a material is performed by heatingthe material to the point that it has an appreciable vapor pressure. Heating maybe done by:

Resistively heating the material directly

Material in contact with a resistively heated surface

Bombardment with low energy non-focused electrons

Bombardment with high energy focused electrons

Radiant heating from a high intensity source

Heating with a laser beam

RF inductive heating

Resistively heated sources are typically used to vaporize materialswhich have appreciable vapor pressures below about 1500°C.

Low energy electrons may be produced by hollow cathodes[34] anddeflected in a low magnetic field. The electron energies are typically around100 volts which is also the energy for the maximum cross-section forionization. Therefore as the vapor leaves the evaporating surface and passesthrough the impinging electron cloud, the possibility for ionization is high.Such a system has been used to ionize silver for deposition on beryllium. Thesilver ions are used first to sputter clean the surface and then, by lowering theacceleration voltage, a film of silver is allowed to form.[146]

High energy electron beam evaporation is done by generating asmall electron current and accelerating the electrons to very high energies,on the order of 10,000 eV. The electrons are deflected by a magnetic fieldand are moved over the surface in a variable electric field. This techniqueallows a very high energy density spot to be rastered over the surface tobe evaporated thus allowing the evaporation of refractory materials. Thistype of evaporation source can be used in a vacuum environment, alongwith an ion gun, to supply inert gas ions for bombardment of the growingfilm to do ion plating. A great deal of interest has been shown in optical coatings

Page 368: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Ion Plating 371

formed this way since the resulting films have higher index of refraction (moredense) and are more environmentally stable than are vacuum depositedfilms.[16] The adhesion of optical films may also be improved by the concurrentbombardment.[147] By bombarding the growing film with reactive ions,compounds of materials such as TiN can be formed.[148]

High energy electron beam evaporation may also be done in a plasmaenvironment as long as the hot filament is not exposed to the plasma. Thismay be done by differentially pumping the chamber below the electron beamevaporator where the electron emitting filament is located.[1]

6.2 Sputtering

Sputtering of a surface may be done in a plasma by extraction of ionsfrom the plasma under an impressed electric field and bombarding the targetsurface with energetic ions to cause physical sputtering of the surface. Thissource of depositing material is used in sputter ion plating.[149]

Sputtering may also be done in a vacuum environment using ion beamsformed in a separate plasma chamber and extracted into the vacuum chamberusing a grid system. The sputtered material may then be deposited in avacuum environment and an ion beam source may be used to bombard thedeposit with an inert or reactive beam.[150] Figure 6.4 shows some of theconfigurations that may be used.

6.3 Vacuum Arcs

Vacuum arc vaporization sources can also provide film-ions in vacuum ionplating. Arc evaporation (vacuum arc) occurs when a high current, low voltagearc passes between electrodes in a vacuum. The arc is confined to spots whichhave a very high energy density (1011 W m-2). The vaporized material may bedeposited as a film, often with a bias applied to the substrates. Arc vaporizationis an old method of vaporizing carbon to form thin carbon films. By using a reactivegas atmosphere, compounds may be deposited. In arc evaporation, a largefraction (0.5) of the vaporized material is ionized.[151]-[153] The potentialdistribution in the arc gives a “hump” near the cathode allowing ions on the anodeside of the hump to be accelerated to a high potential (80 eV).[48] Problems withthis deposition technique include stabilization of the arc and the formation ofglobules of the ejected material. Arc steering over the cathode surface andplasma deflection have been applied to reducing the globule problem. The ions

Page 369: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

372 Deposition Technologies for Films and Coatings

Figure 6.4. Configurations for using an ion beam in a vacuum system to allowconcurrent bombardment of a depositing film.

formed in the vacuum arc may be accelerated to a biased substrate, and thusconstitutes a source of bombardment and deposition in the ion platingprocess.

The vacuum arc melting process[154] utilizes a vacuum arc to meltmaterials and the melting equipment may also be used as a source of ions(from the molten anode) for deposition.

Page 370: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Ion Plating 373

6.4 Chemical Vapor Precursors

In chemical ion plating, a precursor gas containing the material to bedeposited is injected into the plasma where it is totally or partially decom-posed, ionized, and deposited (accelerated) to the substrate surface. Thistechnique is similar to plasma enhanced CVD with the addition of theacceleration of the ionized particles. In some cases, the chemical vapor isintroduced into an ionizing source chamber then the disassociation productsare extracted and accelerated into the deposition chamber( under vacuum) asa beam to impinge on the substrate surface. This process has been used todeposit i-carbon (hydrogenated carbon, i C-H)[155]-[157] and BN[158] films.

7.0 REACTIVE ION PLATING

In reactive ion plating, co-depositing species or surface species reactto form a non-volatile (compared to reactive ion etching where a volatile speciesis formed) condensed species. The concurrent bombardment tends toactivate the reaction process (activate the species in the plasma, ionenhanced reactions on the surface) making the reaction easier, and tends toresputter the non-reacted species giving a more stoichiometric deposit.[159]

A large number of compounds have been deposited by reactive ion plating.

8.0 BOMBARDMENT EFFECTS ON FILM PROPERTIES

Many surface and film properties may be modified by particle bombard-ment.[160] These properties include: adhesion, composition, grain size,crystallographic orientation, growth morphology, surface morphology, impu-rity content, electrical properties, magnetic properties, optical properties, filmstress, density, and mechanical properties. Particle bombardment may be animportant process variable and, if not controlled, may give unacceptableproduct variability.

8.1 Effects: Adatom Nucleation

Particle bombardment may be used to sputter-clean surfaces in orderto remove barrier layers and contaminates. This allows adatoms to interactdirectly with the substrate and, if there is chemical bonding, the nucleationdensity is increased and interfacial reactions will be promoted.

Page 371: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

374 Deposition Technologies for Films and Coatings

Ion bombardment during adatom deposition may increase the nucle-ation density in many instances.[161][162] This increase may be due to theformation of active sites due to the defect formation[163] and/or due to the recoilimplantation of adatoms into the surface region where they act as nucleationsites. Conversely, ion bombardment may enhance surface mobilities. (Ex:Ion bombardment enhanced surface diffusion during cone formation.[164]) Thepresence of a plasma has been shown to influence the nucleation either dueto bombardment or to electrical effects.[165][166]

It has been shown that the nucleation density of gold deposited on oxidesubstrates by sputter deposition in an oxygen plasma is much higher than inan inert plasma. This allows the deposition of adherent gold films onsubstrates where normally the adhesion is poor.[167] The reason for thisdependence on the oxygen plasma is not understood but may be due tochemical or electrical charging effects.

8.2 Effects: Interface Formation

The nature and type of interfacial region that is formed during filmdeposition is important to adhesion and the functionality of the film-substratecouple. Interfacial regions may be classed as: (a) mechanical, (b) abrupt, (c)compound, (d) diffusion, (e) pseudo-diffusion or combinations thereof.[36] Thetype of interfacial region formed during deposition depends on the film/substrate materials, chemical interactions, energy available, nucleationbehavior, contamination, and surface morphology. Particle bombardmentprior to and during film deposition affects many of these factors. Of particularinterest is the ability to clean a surface, influence nucleation, and to provideenergy to the surface region to enhance diffusion and chemical reactions.

8.3 Effects: Film Growth

Film growth may be considered to be layered growth where each layeris a surface (surface-region) which is covered by another surface layer. Thusparticle bombardment effects on growing films are much the same as thosefor the surface and surface-region effects. In addition there are sputtering/redeposition effects on film morphology/microstructure and annealing effectson the film structure due to the heating during deposition and local thermalspikes during the bombardment.[168]

Page 372: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Ion Plating 375

Film microstructure, morphology, and properties that can be modifiedby ion bombardment during growth include:

Stress

Stoichiometry

MicrostructureMorphology (surface and bulk)Grain sizeGrain orientationEpitaxial growth

Hardness

Abrasion Resistance

Optical properties (index of refraction)

Density

Pinhole density

Adhesion

By using a partially ionized and accelerated beam of depositingparticles it has been shown that the “epitaxial temperature” can be loweredcompared to the deposition of a non-ionized beam.[169]

The important parameters in structure modification are:

Substrate temperature during deposition—all sources

Angle of incidence of depositing species

Resputtering during deposition—indication of forward sput-tering

Redeposition (of sputtered species) during deposition

In the DC diode configuration (where there is appreciable redepositionof sputtered material) studies have shown that an apparent resputtering rateof 0.2 to 0.3 is necessary to achieve appreciable modification of the columnarmicrostructure. In the magnetron configuration (where there is much lessredeposition) apparent resputtering rates of 0.6 to 0.7 are necessary to giveappreciable modification of the columnar microstructure.

Concurrent energetic particle bombardment during atomistic filmdeposition may modify many film properties (e.g., Refs. 23 - 26, 170). Theamount of modification will depend on both the mass, energy, and flux ofthe bombarding species and the mass and flux of depositing species. Inthe case of reactive deposition, the availability of activated species and the

Page 373: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

376 Deposition Technologies for Films and Coatings

effect of adsorbed surface species may also be important. The following aresome of the film properties that can be modified by controlled concurrentbombardment during deposition.

8.4 Film Adhesion

The adhesion of a deposited film to a surface depends on the deforma-tion and fracture modes associated with the failure.[171][172] Energetic particlebombardment prior to and during the initial stages of film formation mayenhance adhesion by: removing contaminant layers, changing the surfacechemistry, generating a microscopically rough surface, increasing the nucle-ation density by forming nucleation sites (defects, implanted and recoilimplanted species), increasing the surface mobility of adatoms, and bycreating lattice defects and introducing thermal energy directly into the surfaceregion, promote reaction and diffusion. These effects will also improve surfacecoverage and thus decrease the number of interfacial voids which result in easyfracture and poor adhesion. Film adhesion may be degraded by the diffusionand precipitation of gaseous species at the interface. The adhesion may alsobe degraded by the residual film stress, due either to differences in thecoefficient of thermal expansion of the film and substrate material in hightemperature processing, or the residual film growth stresses developed in lowtemperature processing.

8.5 Film Morphology/Density

Physical sputtering and redeposition, increased nucleation density,and increased surface mobilities of adatoms on the surface under bombard-ment conditions may be important in disrupting the columnar microstructurethat develops during low temperature atomistic deposition processes.[26][173]-

[178] Figure 6.5 shows the fracture cross-section and surface morphology ofRF sputter deposited chromium films at zero bias and a -500 volt bias duringdeposition. Note that the bombardment completely disrupted the columnarmicrostructure. Bombardment-related effects may also improve the sur-face coverage and decrease the pinhole porosity in a deposited film. Thisincreased film density is reflected in film properties such as: better corrosionresistance, lower chemical etch rate, higher hardness, lower electrical resistivity(metals), and the increased index of refraction (optical coatings). However,it has been found that if the bombarding species is too energetic andthe substrate temperature is low, high gas incorporation gives rise to

Page 374: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Ion Plating 377

voids (e.g., Ref. 179). Some investigators have used the parameterresputtering rate (deposition rate with and without an applied bias) as theparameter for disruption of the columnar morphology; however this parameterdoes not take into consideration the backscattering from the gas phase whichwill be greater with higher gas pressure, and so must be used with caution.

Figure 6.5. Fracture cross-section (bottom) and surface morphology (top) ofa thick RF sputter deposited chromium deposit: (a) without bias (no bombard-ment), and (b) with concurrent bombardment (-500 V bias on the substrate).[25]

Page 375: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

378 Deposition Technologies for Films and Coatings

8.6 Residual Film Stress

Invariably atomistically deposited films have a residual growth stresseswhich may be tensile or compressive in nature and may approach the yield orfracture strength of the materials involved. The origin of these stresses ispoorly understood although several phenomenological models have beenproposed.[180] Generally, vacuum-deposited films and sputter-deposited filmsprepared at high pressures have tensile stresses which may be anisotropicwith off-normal angle-of-incidence depositions. In low pressure sputterdeposition and ion plating, energetic particle bombardment may give rise tohigh compressive film stresses due to the recoil implantation of surfaceatoms.[181]-[184] This effect is sometimes called atomic peening. Studies ofdeposited films with concurrent bombardment have shown that the conversionof tensile stress to compressive stress is very dependent on the ratio ofbombarding species to depositing species.[27][185] In plasma processing, theresidual film stress may be very sensitive to the substrate bias and gaspressure[43] during deposition in a plasma environment. High intrinsic filmstresses may lead to long-term film stability problems such as room-temperature grain growth[186] and void formation.[187]

Figure 6.6 shows the residual stress and gas content in sputterdeposited chromium films as a function of substrate bias.[25] Figure 6.7 showsthe anisotropic residual stresses in post-cathode magnetron sputter-depos-ited molybdenum films as a function of sputtering gas pressure and orienta-tion.[43] Where rather thick films of high modulus materials are involved, thesestresses must be controlled or spontaneous failure (adhesion, cracking,blistering) will occur.[171] The stresses may be controlled by controlling thefilm thickness, materials involved, film morphology, bias during deposition,deposition temperature, and/or sputtering pressure.[43]

The lattice strain associated with the film stress represents storedenergy and this energy, along with a high concentration of lattice defects, maylead to: (a) lowering of the recrystallization temperature in crystalline materi-als, (b) a lowered strain point in glassy materials, (c) a high chemical etch rate,(d) electromigration problems, (e) void growth in metallization lines by creep,and (f) other such mass transport effects.

8.7 Crystallographic Orientation

Under proper bombardment conditions, the crystallographic orienta-tion of the deposited material is developed such that the more dense

Page 376: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Ion Plating 379

Figure 6.7. Stress and stress anisotropy in post-cathode magnetron sputter-deposited molybdenum films as a function of orientation and sputtering gaspressure.[43]

Figure 6.6. Residual stress and gas content of an RF sputter-deposited chromiumdeposit as a function of substrate bias during RF sputter deposition.[25]

Page 377: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

380 Deposition Technologies for Films and Coatings

crystallographic planes are parallel to the bombarding direction.[188][189] Thiseffect is attributed to the channeling of the bombarding species into the filmthus decreasing the sputtering rate under this orientation. Under moreenergetic bombardment condition, however, the crystallographic orientation isdisrupted due to the formation and consolidation of defects.

8.8 Gas Incorporation

When a depositing film is bombarded during deposition by energeticgaseous particles, the incorporated gas content is dependent on the particleenergy, substrate temperature, film material, and bombarding species.Generally, low mass bombarding particles are more easily incorporatedthan are large mass particles. The gas incorporation increases with energyof the bombarding species to the point that heating causes gas desorption.Under some conditions, very high concentrations of normally insoluble gasmay be incorporated into the depositing film by concurrent bombardmentduring deposition. An example is the incorporation of 20 - 40 atomic percenthydrogen and helium in gold[135][136][190] and the incorporation of krypton inamorphous metals films.[137] This incorporation is probably due in part to thehigh lattice defect concentration in the bombarded material which trapmobile species. At very high gas contents, the gas will precipitate into voids.Gas incorporation can be minimized by using low-energy bombardingspecies (i.e., less than 100 eV), an elevated substrate temperature duringdeposition (300 - 400°C), and/or using higher atomic mass bombardingspecies (Kr, Xe, Hg).

8.9 Surface Coverage

The macroscopic and microscopic surface coverage of a deposited film on asubstrate surface may be improved by the use of concurrent bombardment during filmdeposition. The macroscopic ability to cover complex geometries depends mostlyon scattering of the depositing material in the gas phase.[1][191][192] The gas scatteringby collision in the gas phase may be aided by gas pumping[193]-[195] in the discharge,which will give a directed velocity to the film-atoms toward the substrate surface. Ifgas scattering is extensive then gas phase nucleation will occur and the resultingdeposit will be poorly consolidated. If a plasma is present and the substrate isat a negative potential, the gas phase nucleated materials will becomenegatively charged and repelled from the substrate. In addition, bombard-

Page 378: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Ion Plating 381

ment will heat, densify, and consolidate the deposited material into a highquality film over the whole surface. On a more microscopic scale, sputteringand redeposition of the depositing film material will lead to better coverage onmicron and submicron sized features,[24][179][196]-[199] and reduce pinholeformation. On the atomic scale, the increased surface mobility, increasednucleation density, and erosion/redeposition of the depositing adatoms willdisrupt the columnar microstructure and eliminate the porosity along thecolumns. In total, the use of gas scattering, along with concurrent bombard-ment, increases the surface covering ability and decreases the microscopicporosity of the deposited film material as long as gas incorporation does notgenerate voids.

8.10 Other Properties

Many other properties of the film material may be changed and improvedby bombardment during deposition. They include: (a) electrical resistivity ofmetal films, (b) hardness of hard-coatings, (c) chemical etch rate, (d) corrosionresistance, (e) pinhole density, (f) index of refraction of dielectric coatings, (g)color of TiN films, etc.

9.0 ION PLATING SYSTEM REQUIREMENTS

Generally the equipment used for ion plating is the same as that usedfor sputter deposition except that now the substrate is the sputtering target andanother vaporization source has been added. Figure 6.8 shows some of thepossible configurations.

9.1 Vacuum System

This system is similar to sputter deposition equipment. A good basepressure and little contaminant desorption during processing is desirable inorder to keep the contaminant level in the plasma low.

9.2 High Voltage Components

This is also similar to sputter deposition equipment with more attentionpaid to substrate cooling and the means of providing a high voltageconnection to the substrate. Because of the high “throwing power” condi–

Page 379: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

382 Deposition Technologies for Films and Coatings

Figure 6.8. Some configurations for bombarding a surface from a plasma by usingaccelerated or reflected high energy particles: (a) diode, (b) grid to allow bombard-ment of complex surfaces or insulators, (c) thermoelectron sustained plasma withmagnetic enhancement/confinement, (d) e-beam evaporation with a differentiallypumped vacuum chamber, (e) utilizing reflected high energy neutrals and sputter-ing, (f) magnetron sputtering source, and (g) moving magnetron plasma to allowuniform bombardment of substrate surface.

Page 380: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Ion Plating 383

tions often used in ion plating systems, the insulators of electrical feed-throughs must be carefully shielded from deposition or else they will becomeshorted. These conditions may also lead to gas-phase nucleation of particleswhich will deposit on the system walls (called “black sooty crap”, BSC, by theoperators). This material has a very low density and if the material ispyrophoric (Ti, Zr etc.) the BSC may ignite if disturbed in air. In such a casesystem cleanup should be done wet.

Power supplies must be capable of withstanding electrical arcs in thedeposition chamber and their attendant electrical transients. Substratepotentials may be DC or RF and with or without magnetron enhancement. Insome configurations (beam, grounded cathode) the substrate may be atground potential. Very high voltage (to 1-00 keV) pulsing of substratesimmersed in plasmas is being studied as a way to modify surfaces by ionbombardment.[199] This technique could be used in ion plating to allow periodicbombardment of the depositing film material and might be termed pulsed ionplating.

9.3 Gas Handling System

This equipment is also similar to sputter deposition. Inert gases shouldbe purified in order to decrease the contaminants in the plasma. Reactivegases may be injected directly toward the deposition region and some concernmust be given to having a uniform flux of reactive gases to the deposition region.

9.4 Evaporation/Sublimation Sources

In electron beam evaporation into a plasma, the filament region of thegun must be differentially pumped in order to prevent sputter erosion of the highvoltage filament. Evaporation/sublimation in a gas environment takes morepower than in a vacuum because of heat loses by convection and backscat-tering of the vaporized material.

9.5 Sputtering Sources

Sputter target fixturing will be coated by the sputtered material. Targetfixtures and nearby material will be sputtered by the bombarding ions or highenergy neutrals. This may lead to contamination of the deposited material. Inorder to get around this problem, the target fixtures may first be coated by thematerial to be deposited.

Page 381: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

384 Deposition Technologies for Films and Coatings

9.6 Plasma Uniformity

Plasma uniformity over the substrate surface is desirable when usingthe plasma as the source of bombarding particles or the source of reactivespecies. Often this plasma is non-uniform due to the geometry of the surface,non-uniformity of the electric field, or non-uniformity of the magnetic field.

The electric field uniformity can be improved in some cases by enclosingthe surface in a high transmission grid at the same potential as the part. Themagnetic field uniformity can be improved by using multiple polepieces or byusing moving magnetic fields.

9.7 Plasma Generation Near the Substrate Surface

In many cases the substrate potential may be used to create a plasmanear the substrate if the gas pressure and magnetic field are of the appropriatenature. In some sputtering-source configurations, i.e., DC magnetron sputter-ing, the plasma is held away from the substrate and it may be desirable tosustain a plasma near the substrate surface. This may be done by having anauxiliary plasma generating technique such as a hot filament or hollowcathode triode configuration near the substrates. For reactive deposition, itmay be desirable to inject the reactive gases directly into this region.

9.8 Substrate Fixturing

This is similar to sputter deposition equipment with more attentionpaid to substrate cooling and means of providing a high voltage connectionto the substrate. Moveable fixturing may be necessary in order to not leavepoints of electrical contact uncoated. The barrel-plating fixturing may alsobe used to give 100% coverage of the substrate.[200] Heating of thesubstrate holder may be done by having poor thermal contact to a heatsink, by using embedded heaters, or by radiant heating. Since appre-ciable heat in introduced into the substrate surface, substrate cooling maybe an important concern. Coolants used to actively cool the substrateholder must be isolated from ground by using insulating tubing. Someleakage to ground can be expected though ionic conduction. A cold fingersubstrate holder may be used to allow direct cooling of the substrate.

Page 382: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Ion Plating 385

Holding-fixtures and nearby material will be sputtered by the bombard-ing ions or high energy neutrals. This may lead to contamination of thedeposited material. In order to get around this problem the fixtures may firstbe covered by the material to be deposited.

10.0 PROCESS MONITORING AND CONTROL

The ion plating process is very complex and monitoring what goes onis usually done in a comparative manner rather than an absolute manner. Thismeans careful control of process variables.

10.1 Plasma

Typically the plasma is monitored by the gas pressure, gas flow throughthe system, and power input. Calibrated flow meters are useful for processcontrol. The species in the plasma may be monitored by the optical emissionfrom the plasma, and this analysis may be correlated to the composition andproperties of the resulting film.[201] In some cases, mass spectrometry maybe used to determine gas species but if a plasma is used in the process, themass spectrometer must be differentially pumped and the calibration isconfused by the initial presence of ionized species.

10.2 Substrate Temperature

Substrate surface temperature monitoring is complicated by the pres-ence of the plasma and high voltage. Thermocouple readouts must be isolatedfrom ground and float at the substrate potential. Infrared temperaturemonitoring techniques may be used.[202]

10.3 Specifications

Process specifications and reproducible processing are the keys togood ion plating processing. Because of the many process variables and theproblems of determining some of the possible variables absolutely, specifica-tions and reliable process monitors are particularly important in the ion platingprocess.

Page 383: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

386 Deposition Technologies for Films and Coatings

11.0 PROBLEM AREAS

Problem areas which exist with ion plating arise because of:

“Activated” reactive contaminants in the plasma

Redeposition of sputtered contaminates

Plasma uniformity

High field regionsPointsFocusing fields

High plasma density regionTrapped secondary electronsHigh secondary emission

Unwanted shielding of substrate areas

Wasted powerUnshielded leads/areas

Substrate heating

Coating (shorting) high voltage insulators

Non-uniform availability of reactive species (reactive depo-sition)

Electrical contact points are not coated

Gas incorporation

These problem areas can generally be avoided by design of the fixturingand other “tricks” such as:

Rotating fixturing

Use of grids to smooth out electric fields

Periodic plating (on-off) mode to reduce substrate heating

Heating of substrate to outgas material as it deposits

A major problem area in using plasmas for thin film deposition is that ofobtaining a uniform plasma density over a surface so that uniform bombard-ment and reactive gas availability can be attained. Plasma non-uniformity canarise from a number of sources including: (a) geometrical arrangement ofpower input electrodes and substrate fixturing, (b) substrate geometry, (c) thepresence of surfaces that allow recombination and loss of species in thenearby plasma, and (d) in the case of reactive deposition, reactive surfacesthat deplete the supply of reactive gas at the growing film surface.

Page 384: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Ion Plating 387

As a general rule, the best system design is one that is geometricallysymmetric. However, in many instances a symmetric geometry is difficult toattain. The use of magnetron configurations is an example. The use of amagnetic field to confine electrons and increase the local plasma density inone region leads to a decrease in plasma density in some other region. Figure6.9 shows an example of how two independently sustained plasmas may beused to allow magnetron sputtering of a source and the use of a hot-filament-sustained plasma in the vicinity of the substrate to provide a plasma from whichions can be extracted to bombard the substrate and film.

If the part has a very complex configuration, the electric field aroundpoints and corners focus the bombardment giving high erosion rates andheating in these areas. A thin region gives poor thermal conductance andresults in heating. Holes and re-entrant features give low field gradients. Inthese regions heating will be high and erosion will be low, giving poor cleaningand allowing reaction with contamination. Excessive heating can sometimesbe alleviated by pulse processing where the substrate bias is periodicallyturned on and off.

Figure 6.9. Example of using an auxiliary plasma near the substrate to allow ionsto be extracted and bombard the growing film.

Page 385: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

388 Deposition Technologies for Films and Coatings

In some cases high transparency grids at the substrate potential maybe used to surround the substrate giving a more uniform bombardment over acomplex surface. This is the basis of the equipment used in the ion vapordeposition (IVD) process and in the barrel-plating ion plating configuration.Figure 6.10 shows a barrel-plating configuration used to coat small parts whichare tumbled in the rotating cage. A grid configuration may also be useful incoating dielectric materials where charge buildup may be a problem, or incoating moving substrates where electrical contact may be a problem.

Figure 6.10. Ion plating “barrel plating” configuration using a rotating cage tocontain the parts.[200]

Page 386: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Ion Plating 389

As with any plasma process, wall effects enhance the desorption ofcontamination. This contamination, when introduced into the plasma, is“activated” and can be an important source of contamination which must becontrolled.

12.0 APPLICATIONS

There are many applications of the ion plating process some of whichare:

! Obtaining good adhesion: Ag on steel for mirrors, soft metalson surfaces for space lubrication, Ag on Be for diffusionbonding, Cu and Au on Ta and Mo for subsequent brazing,Cu-on-ceramic metallization.

! Metallization: Al, Ag, Au on plastics and semiconductors.

! Good surface coverage on complex surfaces: TiN on toolbits, molds and jewelry items; semiconductor metallization.

! Good reaction and stoichiometry: TiN on tool bits, molds(hardness, wear); jewelry items.

! Corrosion protection: Al on U, steel and Ti (galvanic); C andTa on biological implants.

! Abrasion resistance: MgF2 coatings on plastics.

! Deposition of diffusion barriers: HfN and TiN on semiconduc-tor devices.

13.0 SUMMARY

Like any deposition technique the ion plating process has its advan-tages and disadvantages.

Advantages:

! Excellent surface covering ability (throwing power) under theproper conditions.

! Ability to have in situ cleaning of the substrate surface.

Page 387: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

390 Deposition Technologies for Films and Coatings

! Ability to obtain good adhesion in many otherwise difficultsystems.

! A great deal of flexibility in tailoring film properties bycontrolling bombardment conditions.

! Equipment requirements are roughly equivalent to those ofsputter deposition.

Disadvantages:

! Many processing parameter that must be controlled.

! Processing may be very dependent on substrate geometryand fixturing.

! Obtaining uniform bombardment and reactive species avail-ability over a complex surface may be difficult.

! Gas incorporation may be excessive.

! Substrate heating may be excessive.

! Contamination is desorbed from surfaces and activated in thedischarge and can contaminate deposited material.

In order to achieve the desired film property modification, there must bean appreciable ratio of bombarding particles to depositing species. This ratiomust be much higher to disrupt the columnar morphology than is necessaryto change the film stress. The necessary bombardment conditions for eachapplication are usually determined empirically and controlled by controllingthe processing geometry and parameters. A typical condition to control filmstress might be a substrate bias of -50 to -100 volts DC, a current density of1 mA/cm2 and a deposition rate of 10 nanometers per second. For columnarstructure disruption and maximum covering ability, a resputtering rate mightbe 30%.

The ion plating process provides an alternative film deposition techniquewhich should be evaluated for specific applications.

Page 388: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Ion Plating 391

REFERENCES

1. Mattox, D. M., J. Vac. Sci. Tech., 10:47 (1973)

2. Ahmed, N. A. G., Ion Plating Tech., - Dev. and Appl., J. Wiley (1987)

3. Mattox, D. M., Electrochem. Tech., 2:295 (1964); Sandia LaboratoriesReport SC-DR -63-285 (Nov 1963)

4. Mattox, D. M., US Patent # 3,329,601 (1974)

5. Berghaus, B., UK Patent # 510 993 (1938)

6. Maissel, L. I. and Schaible, P. M., J. Appl. Phys., 36:237 (1965)

7. Mattox, D. M., J. Electrochem. Soc., 115:1255 (1968)

8. Muehlberger, D. E., Ion Plating and Implantation, (R. F. Hochman, ed.)p. 75, Conference Proceedings American Society for Metals (1986)

9. Steube, K. E. and McCrary, L. E., J. Vac. Sci. Tech., 11:362 (1974)

10. Ahmed, N. A. G., Surf. Eng., 2:95 (1986)

11. Perry, A. J., Thin Solid Films, 146:165 (1987)

12. Martin, P. J., [rev] Vacuum, 36:585 (1986)

13. Bunshah, R. F., Ch. 4, “Evaporation,” this book

14. Culbertson, R. and Mattox, D. M., 8th Conf. on Tube Tech., pp., 101-107, IEEE Conf. Record 1966; Culbertson US Patent 3,604,970 (1971)

15. Sterling, H. F. and Swann, R. C. G., Solid-State Electron, 8:653 (1965)

16. Martin, P. J., Netterfield, R. P., Sainty, W. G. and Pacey, C. G., J. Vac.Sci. Tech., A2:341 (1984); Martin, P. J., J. Mater. Sci., 21:1 (1986)

17. Harper, J. M. E., Cuomo, J. J., and Kaufman, H. R., J. Vac. Sci. Tech.,21:737 (1982)

18. Greene, J. E., Motooka, T., Sundgren, J. E., Lubbens, D., Gorbotkin,S., and Barnett, S. A., Nucl. Instrum. Methods Physics Res., B27:226(1987); Greene, J. E., J. Vac. Sci. Tech., B1:229 (1983)

19. Aisenberg, S. and Chabot, R. W., J. Vac. Sci. Tech., 10:104 (1973)

20. Howson, R. P., Avaritsiotis, J. N., Ridge, M. I., and Bishop, C. A., ThinSolid Films, 63:163 (1979)

21. Mattox, D. M. and Bland, R. D., J. Nucl. Mater., 21:349 (1967)

22. Mattox, D. M., Rev. Sci. Instrum., 37:1609 (1966)

23. Mattox, D. M. and Kominiak, G. J., J. Vac. Sci. Tech., 9:528 (1972)

Page 389: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

392 Deposition Technologies for Films and Coatings

24. Kominiak, G. J. and Mattox, D. M., J. Electrochem. Soc., 120:1535(1973)

25. Bland, R. D., Kominiak, G. J. and Mattox, D. M., J. Vac. Sci. Tech.,11:671 (1974)

26. Mattox, D. M. and Kominiak, G. J., J. Vac. Sci. Tech., 9:528 (1972)

27. Hoffman, D. W. and Gaerttner, M. R., J. Vac. Sci. Tech., 17:425 (1980)

28. Harper, J. M. E., Cuomo, J. J., and Henzell, H. T. G., Appl. Phys. Lett.,43:547 (1983)

29. Cuomo, J. J., Ion Plating and Implantation, (R. F. Hochman, ed.) p. 25,Conference Proceedings American Society for Metals (1986)

30. Wie, C. R., Tang, J. Y., Tombrello, T. A., Grant, R. W., and Housley,R. M., Vacuum, 38:157 (1988)

31. Baglin, J. E. E., Ion Beam Modification of Insulators, (P. Mazzolsdi andG. Arnold, eds.), Ch.15, Elsevier (1987)

32. Forrester, A. T., Large Area Ion Beams: Fundamentals of Generationand Propagation, J. Wiley (1988)

33. Goebel, D. M., Campbell, G., and Conn, R. W., J. Nucl. Mater., 121:277(1984)

34. Williams, D. G., J. Vac. Sci. Tech., 11:374 (1974)

35. Bergman, C., Ion Plating and Implantation, (R. F. Hochman, ed.) p. 115,Conference Proceedings American Society for Metals (1986)

36. Valyi, L., Atom and Ion Sources, Wiley (1977)

37. Mattox, D. M., Adhesion Measurement of Thin Films, Thick Films andBulk Coatings, ASTM STP 640, (K. L. Mittal, ed.), p. 54, AmericanSociety for Testing and Materials (1978)

38. Vossen, J. L., Thomas, J. H., III, Maa, J. S., and O’Neill, J. J., J. Vac.Sci. Tech., A2:212 (1984)

39. Brillson, L. J., Thin Solid Films, 89:461 (1982)

40. Kaufman, H. R., J. Vac. Sci. Tech., 15:272 (1978)

41. Lee, W. W. Y. and Oblas, D., J. Appl. Phys., 46:1728 (1975)

42. Hagstrum, H. D., Inelastic Ion Surface Collisions, (N. H. Tolk, J. C.Tully, W. Heiland and C. W. White, eds.), pp. 1 - 25, Academic Press,(1977)

43. Cuthrell, R. E., Mattox, D. M., Peeples, C. R., Dreike, P. L., andLamppa, K. P., J. Vac. Sci. Tech., A6:2914 (1988)

44. Shubaly, M. R., Nucl. Instrum. Method Phys. Res., B26:195 (1987)

Page 390: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Ion Plating 393

45. Romesser, T. E., Vanek, V., Tang, J., Dixon, D., Bayless, J., Musetto,M., Strawitch, C., and Higgins, L., A Large Area Plasma Source, IEEEInternational Conference of Plasma Science, 83CH1847-3, US DOEContract No. DE-ACO3-77ET33006 (1983)

46. Letokhov, V. S., Sov. At Energy, (Translation) 62(4):297 (1987)

47. Cuomo, J. J., Gambino, R. J., Harper, J. M. E., Kuptsis, J. D., andWebber, J. C., J. Vac. Sci. Tech., 15:281 (1978)

48. Boxman, R. L. and Goldsmith, S., Surf. Coat. Tech., 33:153 (1987)

49. Melngailis, J., J. Vac. Sci. Tech., B5:469 (1987)

50. Chapman, B., Glow Discharge Processes, J. Wiley (1980)

51. Thornton, J. A., Thin Solid Films, 107:3 (1983)

52. Mattox, D. M., Plasma-Surface Interactions and Processing of Mate-rials, (O. Auciello, A. Gras-Marti, J. A. Valles-Abarca, and D. Flamm,eds.), 176:377, NATO ASI Series, Kluwer Academic Publishers (1988)

53. Mattox, D. M., Plasma-surface Engineering, (E. Broszeit, W. D. Munz,H. Oechsner, K.-T. Rie, and G. K. Wolf, eds.), 1:15,Informationsgesellschaft, Verlag (1988)

54. Gras-Marti, A., Abril, I. and Valles-Abarca, J. A., Thin Solid Films,124:59 (1985)

55. Davis, W. D. and Vanderslice, J. A., Phys. Rev., 131:219 (1963)

56. Savlnier, J. P., Fzguerra, J., and Guille, J., Vacuum, 33:279 (983)

57. Somekh, R. R., J. Vac. Sci. Tech., A2:1285 (1984)

58. Bessaudou, A. and Weissmantel, C., Thin Solid Films, 149:225 (1987)

59. Logan, J. S., Mazza, N. M., and Davidse, P. D., J. Vac. Sci. Tech.,6:120 (1969)

60. Yatsuzuka, M., Morishita, K., Satoh, K., and Nobuhara, S., Jpn. J.Appl. Phys., 24:1724 (1985)

61. Kohler, K., Coburn, J. W., Horne, D. E., Kay, E., and Keller, J. H., J.Appl. Phys., 57:59 (1985)

62. Thompson, B. E., Allen, K. D., Richards, A. D., and Sawin, H. H., J.Appl. Phys., 59:1890 (1986)

63. Coburn, J. W., Ann. Rev. Mat. Sci., 13:91 (1983)

64. Kaufman, H. R. and Rossnagel, S. M., J. Vac. Sci. Tech., A6:2572(1988)

65. Kushner, M. J., J. Appl. Phys., 58:4024 (1985)

Page 391: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

394 Deposition Technologies for Films and Coatings

66. Horwitz, C. M., J. Vac. Sci. Tech., A1:1795 (1983)

67. Lamont, L. T., Jr., and Turner, F. T., J. Vac. Sci. Tech., 11:47 (1974)

68. de Vries, C. A. M. and van den Hoek, W. G. M., J. Appl. Phys., 58:2074(1985)

69. Suzuki, M. K., Ninomiya, K., Nishimatsu, S., Thoman, J. W., Jr., andSteinfeld, J. I., Jpn. J. Appl. Phys., 25:1569 (1986)

70. Hull, US Patent # 4,431,901 (Feb. 14, 1984)

71. Vella, M. C., Ehlers, K. W., Kippenhan, D., Pincosy, P. A., Pyle, R. V.,DiVergilioi, W. F., and Fosnight, V. V., J. Vac. Sci. Tech., A3:1218(1985)

72. Kashiwagi, K., Kobayashi, K., Masuyama, A., and Murayama, Y., J.Vac. Sci. Tech., A4:210 (1986)

73. Dahimene, M. and Asmussen, J., J. Vac. Sci. Tech., B4:126 (1986)

74. Kaufman, H. R. and Robinson, R. S., J. Vac. Sci. Tech., A3:1774 (1985)

75. Goebel, D. M., Hirooka, Y. and Sketchy, T. A., Rev. Sci. Instrum.,56:1717 (1985)

76. Kaufmann, H., US Patent # 4,346,123 (Aug 24, 1982)

77. Morley, J. R. and Smith, H. R., J. Vac. Sci. Tech., 9:1377 (1972)

78. Schalansky, C., Munir, Z. A. and Walmsley, D. L., J. Mat. Sci., 22:745(1987)

79. Larson, D. T. and Draper, H. L., Thin Solid Films, 107:327 (1983)

80. Mah, G., Mcleod, P. S. and Williams, D. G., J. Vac. Sci. Tech., 11:663(1974)

81. Komiya, S. and Tsuruoka, K., J. Vac. Sci. Tech., 12:589 (1975)

82. Murayama, Y. and Takao, T., Thin Solid Films, 40:309 (1977)

83. Kaufman, H. R. and Robinson, R. S., J. Vac. Sci. Tech., A3:1774 (1985)

84. Kuo, Y. S., Bunshah, R. F., and Okrent, D., J. Vac. Sci. Tech., A4:397(1986)

85. Thornton, J. A., Surf Eng, 2:283 (1986)

86. Brown, I. G., Feinberg, B., and Galvin, J. E., J. Appl. Phys., 63:4889(1988)

87. Dorodnov, A. M., Sov. Phys. Tech. Phys., 23:1058 (1978)

88. Zanner, F. J., and Bertram, L. A., Proc. 8th Int'l. Conf. on VacuumMetallurgy, 1:512 (1985)

Page 392: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Ion Plating 395

89. Zanner, F. J. and Bertram, L. A., IEEE Trans. on Plasma Science, VolPS-11:223 (1983)

90. Boelens, S. and Veltrop, H., Surf. Coat. Tech., 33:63 (1987)

91. McDaniel, E. W., Collision Phenomena in Ionized Gases, Wiley (1964)

92. Hollahan, J. R. and Bell, A. T., Techniques and Applications of PlasmaChemistry, J. Wiley (1972)

93. McTaggert, F. K., Plasma Chemistry in Electrical Discharges, Elsevier(1967)

94. Kushner, M. J., J. Appl. Phys., 53:2923 (1982)

95. Veprek, S., Thin Solid Films, 130:135 (1985)

96. Thornton, J. A., J. Vac. Sci. Tech., 15:188 (1978)

97. Coburn, J. W. and Chen, M., J. Appl. Phys., 51:3134 (1980)

98. Dreyfus, R. W., Jasinski, J. M., Walkup, R. E., and Selwyn, G. S., PureAppl. Chem., 57:1265 (1985)

99. Wormhoudt, J., Stanton, A. C., Richards, A. D., and Sawin, H. H., J.Appl. Phys., 61:142 (1987)

100. Murakami, A. T., Namura, T., and Itatani, R., Jpn. J. Appl. Phys., 24:512(1985)

101. Plas, F., Guille, J., and Machet, J., Vide, Suppl., 196:45 (1979)

102. Hoffman, D. W. and Badgley, J. S., J. Vac. Sci. Tech., A6:1691 (1988);Theoretical treatment to be published - private communication with D.W. Hoffman

103. Hoffman, D. W. and Thornton, J. A., J. Vac. Sci. Tech., 20:355 (1982)

104. Hoffman, D. W. and Thornton, J. A., J. Vac. Sci. Tech., 16:134 (1979)

105. Thornton, J. A. and Hoffman, D. W., J. Vac. Sci. Tech., A3:576 (1985)

106. Mathews, A. and Gethin, D. T., Thin Solid Films, 117:261 (1987)

107. Roth, J., Sputtering by Particle Bombardment ll, (R. Behrisch, ed.), p.91, Springer-Verlag (1983)

108. Itoh, N. and Hasebe, Y., J. Nucl. Mat., 139:77 (1986)

109. Winters, H. F., Coburn, J. W., and Chuang, T. J., J. Vac. Sci. Tech.,B1:469 (1983)

110. Topics in Applied Physics, Vol. 47 (1981); Vol. 52, (R. Behrisch, ed.),Springer-Verlag (1983)

111. Szymonsaki, M., Huang, W., and Onsgaard, J., Nucl. Instrum. Met.Phys. Res., B14:263 (1986)

Page 393: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

396 Deposition Technologies for Films and Coatings

112. Matsuda, Y., Yamamura, Y., Ueda, Y., Uchino, K., Muraoka, K.,Maeda, M., and Akazaki, M., Jpn. J. Appl. Phys., 25:8 (1986)

113. Wehner, G. K., J. Vac. Sci. Tech., A1:487 (1983)

114. Betz, G., Surf. Sci., 92:283 (1980)

115. Baritzky, B. and Taglauer, E., Surf. Sci., 162:996 (1985)

116. Cuomo, J. J. and Gambino, R. J., J. Vac. Sci. Tech., 12:79 (1975)

117. Mundy, J. N. and Rothman, S. J., J. Vac. Sci. Tech., A1:74 (1983)

118. Navinsek, G., Prog. Surf Sci., 7:49 (1976)

119. Wehner, G. K., J. Vac. Sci. Tech., A3:1821 (1985)

120. Panitz, J. K. and Sharp, D. J., J. Vac. Sci. Tech., 17:282 (1980)

121. Berg, R. S. and Kominiak, G. J., J. Vac. Sci. Tech., 13:403 (1976)

122. Kowalski, Z. W., J. Mat. Sci., 20:15211 (985 )

123. Winters, H. F. and Sigmund, P. S., J. Appl. Phys., 45:4760 (1974)

124. Kominiak, G. J. and Mattox, D. M., Thin Solid Films, 40:141 (1977)

125. Jacobs, M. H., in Surface Modification and Coatings, (R. D. Sisson, Jr.ed.), p. 291, ASM Conference Proceedings (1986)

126. Geis, M. W., Lincoln, G. A., Efremow, N., and Piacentini, W. J., J. Vac.Sci. Tech., 19:1390 (1981)

127. Tsou, L. Y., J. Electrochem. Soc., 132:2010 (1985)

128. Lincoln, G. A., Geis, M. W., Pang, S., and Efremow, N., J. Vac. Sci.Tech., B1:1043 (1983)

129. Winters, H. F., Nucl. Instrum. Met. Phys. Res., B27:243 (1987)

130. Harper, J. M. E., Cuomo, J. J., and Henzell, H. T. G., Appl. Phys. Lett.,36:456 (1980); also Appl. Phys. Lett., 37:540 (1980)

131. Ashok, S., Krautle, H., Beneking, H., and Mogro-Campero, A., ThinSolid Films, 126:251 (1985)

132. Winters, H. F., J. Appl. Phys., 38:3928 (1967)

133. Kornelsen, E. V., Rad. Effects, 13:227 (1972)

134. Kornelsen, E. V. and Van Gorkum, A. A., Rad. Effects, 42:93, 112(1979)

135. Mattox, D. M. and Kominiak, G. J., J. Vac. Sci. Tech., 11:52 (1974)

136. Mattox, D. M. and Kominiak, G. J., J. Vac. Sci. Tech., 8:194 (1971)

137. Cuomo, J. J. and Gambino, R. J., J. Vac. Sci. Tech., 14:152 (1977)

138. Chleck, D., Maehl, R., Cucchiara, O. and Carnevale, E., Int. J. Appl.Radiation and Isotopes, 14:581 (1963)

Page 394: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Ion Plating 397

139. Cheleck, D. and Maehl, R., Int. J. Appl. Radiation and Isotopes, 14:593(1963)

140. Chleck, D. and Cucchiara, O., Int. J. Appl. Radiation and Isotopes,14:599 (1963)

141. Carden, J. E., Isotopes and Radiation Tech., 3(3):206 (1964)

142. Carden, J. E., Isotopes and Radiation Tech., 3(4):318 (1964)

143. Sharp, D. J. and Panitz, J. K., Surf. Sci., 118:429 (1982)

144. Corbett, J. W., Surf. Sci., 90:205 (1979)

145. Miyoshi, K., Spalvins, T., and Buckley, D. H., Thin Solid Films, 127:115(1985)

146. Mah, G., Mcleod, P. S., and Williams, D. G., J. Vac. Sci. Tech., 11:663(1974)

147. Gibson, V. J. and Kennemore, C. M., III, Thin Solid Films, 124:27 (1985)

148. Satou, M., Andoh, Y., Ogata, K., Suzuki, Y., Matsuda, K., andFujimoto, F., Jpn. J. Appl. Phys., 24:656 (1985)

149. Jacobs, M. H., Surf. Coat. Tech., 29:221 (1986)

150. Cuomo, J. J., Ion Plating and Implantation: Applications to Materials,(R. F. Hochman, ed.) ASM Conference Proceedings (1986)

151. Kimblin, C. W., J. Appl. Phys., 45:5235 (1974)

152. Daalder, J. E., J. Phys. D, Appl. Phys., 9:2379 (1976)

153. Daalder, J. E., Physica., 104:91 (1981)

154. Zanner, F. J. and Bertram, L. A., IEEE Trans. on Plasma Sci., Vol. PS-11:223 (1983)

155. Mori, T. and Namba, Y., J. Vac. Sci. Tech., A1:23 (1983)

156. Bubenzer, A., Dischler, B., and Nyaiesh, A., Thin Solid Films, 91:81(1982)

157. Weissmantel, C., Thin Films from Free Atoms and Particles, (K. J.Klabunde, ed.) p. 153, Academic Press (1985)

158. Shanfield, S. and Wolfson, R., J. Vac. Sci. Tech., A1:323 (1983)

159. Harper, J. M. E., Cuomo, J. J., and Henzell, H. T. G., J. Appl. Phys.,58:550 (1985)

160. Mattox, D. M., J. Vac. Sci. Tech., A7(3):1105 (1989)

161. Shawki, G. S. A., El-Sherbiny, M. G., and Salem, F. B., Thin SolidFilms, 75:29 (1981)

Page 395: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

398 Deposition Technologies for Films and Coatings

162. Hibbs, M. K., Johansson, B. O., Sundgren, J. E., and Helmersson, U.,Thin Solid Films, 122:115 (1984)

163. Miranda, R. and Rojo, J. M., Vacuum, 34:1069 (1984)

164. Rossnagel, S. M., Surf. Sci., 123:89 (1982)

165. Chopra, K. L., J. Appl. Phys., 37:2249 (1969)

166. Bovey, P. E., Vacuum, 19:497 (1969)

167. Mattox, D. M., J. Appl. Phys., 37:3613 (1966)

168. Muller, K. H., J. Vac. Sci. Tech., A4:184 (1986)

169. Narusawa, T., Shimizu, S., and Komiya, S., J. Vac. Sci. Tech., 16:366(1979)

170. Harper, J. M. E., Cuomo, J. J., Gambino, R. J., and Kaufman, H. R., IonBombardment Modification of Surfaces Fundamentals and Applica-tions, (O. Aucello and R. Kelly, eds.), Ch. 4, Elsevier (1984)

171. Mattox, D. M. and Cuthrell, R. E., MRS Symposium Proceedings,Adhesion in Solids, (D. M. Mattox, J. E. E. Baglin, R. E. Gottschall, andC. D. Batich, eds.), 119:141 (1988)

172. Oh, R. S., Cannon, R. M., and Richie, R. O., J. Cer. Soc., 70:C352(1987)

173. Thornton, J. A., Thin Solid Films, 40:335 (1977)

174. Thornton, J. A., Ann. Rev. Mat. Sci., 7:239 (1977)

175. Thornton, J. A., J. Vac. Sci. Tech., A4:3059 (1986)

176. Messier, R., Giri, A. P., and Roy, R. A., J. Vac. Sci. Tech., A2:500(1984)

177. Meissier, R. and Yehoda, J. E., J. Appl. Phys., 58:3739 (1985)

178. Patten, J. W., Thin Solid Films, 63:1211(979 )

179. Panitz, J. K. G., Draper, B. L., and Curlee, R. M., Thin Solid Films,166:45, (1988)

180. Klokholm, E. and Berry, B. S., J. Electrochem. Soc., 115:823 (1968)

181. Blachman, A. G.. J. Vac. Sci. Tech., 10:299 (1973)

182. Thornton, J. A., Tabcock, J., and Hoffman, D. W., Thin Solid Films,64:111 (1979)

183. Thornton, J. A. and Hoffman, D. W., J. Vac. Sci. Tech., 18:203 (1981)

184. Thornton, J. A. and Hoffman, D. W., J. Vac. Sci. Tech., A3:576 (1985)

185. Brighton, D. R. and Hubler, G. K., Nucl. Instrum. Method Phys. Res.,B28:527 (1987)

Page 396: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Ion Plating 399

186. Patten, J. W., McClanahan, E. D. and Johnston, J. W., J. Appl. Phys.,42:4371 (1971)

187. Yost, F. G., Romig, A. D., Jr., and Bourcier, R. J., Sandia LaboratoriesReport SAND88-0946 available from NTIS

188. Dobrev, D., Thin Solid Films, 92:41 (1982)

189. Kay, E., Parmigiani, F., and Parrish, W., J. Vac. Sci. Tech., A5:44(1987)

190. Weaver, H. T., J. Appl. Phys., 42:2356 (1971)

191. Fancey, K. S. and Beynon, J., Vacuum, 34:591 (1984)

192. Fancey, K. S. and Mathews, A., Surf. Coating Tech., 36:233, (1988)

193. Chester, A. N., Phys. Rev., 169:172 (1968)

194. Hoffman, D. W., J. Vac. Sci. Tech., A3:561 (1985)

195. Rossnagel, S. M., J. Vac. Sci. Tech., A6:19 (1988)

196. Homma, Y. and Tsunekawa, S., J. Electrochem. Soc., 132:1466 (1985)

197. Skelly, D. W. and Gruenke, L. A., J. Vac. Sci. Tech., A4:457 (1986)

198. Bader, H. P. and Lardon, M. A., J. Vac. Sci. Tech., A3:2167 (1985)

199. Conrad, J. R., Radtke, J. L., Dodd, R. A., Worzala, F. J., and Tran, N.C., J. Appl. Phys., 62:4591 (1987)

200. Mattox, D. M. and Rebarchik, F. N., J. Electrochem. Tech., 6:3741(1968)

201. Ricard, A., Michel, H., Jauquot, P., and Gantois, M., Thin Solid Films,124:167 (1985)

202. Korotchenko, V. and Matthews, A., Vacuum, 36:61 (1986)

Page 397: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

400

7

Chemical Vapor Deposition

Jan-Otto Carlsson

1.0 INTRODUCTION

Chemical vapor deposition (CVD) is a process whereby a solid materialis deposited from a vapor by a chemical reaction occurring on or in the vicinityof a normally heated substrate surface. The solid material is obtained as acoating, a powder, or as single crystals. By varying the experimentalconditions—substrate material, substrate temperature, composition of thereaction gas mixture, total pressure gas flows, etc.—materials with differentproperties can be grown.

A characteristic feature of the CVD technique is its excellent throwingpower, enabling the production of coatings of uniform thickness and propertieswith a low porosity even on substrates of complicated shape. Anothercharacteristic feature is the possibility of localized, or selective deposition, onpatterned substrates. CVD is employed in many thin film applications. It is,for instance, used in the microelectronics industry to make films serving asdielectrics, conductors, passivation layers, oxidation barriers, and epitaxiallayers. The production of optical fibers as well as wear-, corrosion-, and heat-resistant coatings with this technique is well known. Other CVD applicationsare the preparation of high temperature materials (tungsten, ceramics, etc.)and the production of solar cells, of high temperature fiber composites, and ofparticles of well-defined sizes. Recently, high-Tc superconductors have alsobeen made by this technique. Since oxygen activity in the vapor can beprecisely controlled during the deposition, no annealing in oxygen is neededto achieve superconductivity.

Page 398: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Chemical Vapor Deposition 401

There exist several types of CVD processes. In thermally activated CVD(TACVD), the deposition is initiated and maintained by heat. However,photons, electrons, and ions, as well as a combination of these (plasma-activated CVD), may induce and maintain CVD reactions. In this chapter, theunderlying principles of TACVD are introduced. In addition to large-areadeposition, selective CVD on patterned substrates is discussed.

2.0 IMPORTANT REACTION ZONES IN CVD

In CVD, gaseous reactants are admitted into a reactor (see Fig. 7.1).Near or on a heated substrate surface, a chemical reaction of the following typeoccurs:

Gaseous reactants → Solid material + Gaseous products

Because of the gas flows as well as the temperature used in CVD, fiveimportant reaction zones are developed during the CVD process (see Fig. 7.2).The properties of CVD materials are affected by the interacting processesoccurring in these reaction zones. In a CVD process, a main gas flow (thereaction gas mixture) passes over the substrate/coating surface. For fluiddynamical reasons, a more or less stagnant boundary layer occurs in the vaporadjacent to the substrate/coating. During the deposition process, thegaseous reactants and the gaseous reaction products are transported acrossthis boundary layer. In reaction zone 1 (see Fig. 7.2) as well as in the maingas stream, homogeneous reactions in the vapor may occur. These reactionsmay lead to an undesirable homogeneous nucleation resulting in a flaky andnon-adherent coating. In some cases however, these reactions, when notaccompanied by homogeneous nucleation, are favorable to the CVD process(for instance CVD of Al2O3,[1] of B13C2,[2] and of Si,[3] respectively). Theheterogeneous reactions occur in the phase boundary vapor/coating (zone 2).These reactions determine, in many systems, the deposition rate and theproperties of the coating.

Relatively high temperatures may be used during CVD. This means thatvarious solid state reactions (phase transformations, precipitation, recrystal-lization, grain growth, for example) may occur during the process (the zones3 - 5). In zone 4, which is a diffusion zone, various intermediate phases maybe formed. The reactions in this zone are important for the adhesion of thecoating to the substrate.

Page 399: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

402 Deposition Technologies for Films and Coatings

3.0 DESIGN OF CVD EXPERIMENTS

Every CVD experiment is unique. However, some general aspects indesigning CVD experiments can be given. The design is usually an iterativeprocedure. For instance, the choice of the reaction gas mixture affects thedesign of the CVD system, the cleaning procedure, the adhesion of thecoating, etc.

Figure 7.1. The principle of CVD.

Figure 7.2. Important reaction zones in CVD.

Page 400: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Chemical Vapor Deposition 403

3.1 Classification of CVD Reactions

CVD processes frequently proceed by complicated chemical reactionschemes. However, use of overall CVD reactions enables a classification tobe made.

Thermal decomposition reactions or pyrolytic reactions mean, in thiscase, that a gaseous compound AX is thermally dissociated into A (a solidmaterial) and X (a gaseous reaction product).

AX(g) → A(s) + X(g)

Use of thermal decomposition reactions normally results in relativelypure coatings. Examples of some thermal decomposition reactions are givenbelow:

SiH4(g) → Si(s) + 2 H2(g)

B2H6(g) → B(s) + 3 H2(g)

Ni(CO)4(g) → Ni(s) + 4 CO(g)

Si(CH3)Cl3(g) → SiC(s) + 3 HCl(g)

Processes like carburizing and nitriding may also be classified in thiscategory of reaction. In carburizing, for instance, a carbon-carrying vaporspecies, e.g., methane, is allowed to react at/on a heated surface. Methanethen decomposes in principle according to

CH4(g) → C(s) + 2 H2(g)

The deposited carbon reacts immediately with the substrate yielding asolid solution of carbon in the substrate and/or—if they exist—carbides of thesubstrate material.

Reduction reactions, where hydrogen acts a reducing agent, arefrequently used (see also Coupled reactions below).

2 AX(g) + H2(g) → 2 A(s) + 2 HX(g)

Straightforward reduction reactions have been almost exclusively usedin the CVD of elements.

Page 401: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

404 Deposition Technologies for Films and Coatings

WF6(g) + 3 H2(g) → W(s) + 6 HF(g)

2 BCl3(g) + 3 H2(g) → 2 B(s) + 6 HCl(g)

SiCl4(g) + 2 H2(g) → Si(s) + 4 HCl(g)

Exchange reactions mean that an element E replaces another element,for instance X, in the molecule AX according to

AX(g) + E(g) → AE(s) + X(g)

Examples of exchange reactions are:

Zn(g) + H2S(g) → ZnS(s) + H2(g)

SiCl4(g) + CH4(g) → SiC(s) + 4 HCl(g)

SnCl4(g) + O2(g) → SnO2(g) + 2 Cl2(g)

Disproportionation reactions are rarely used in CVD. Disproportionationmeans a reaction where the oxidation number of an element both increasesand decreases through the formation of two new species. CVD of A from AXcan be obtained in disproportionations like

2 AX(g) → A(s) + AX2(g)

3 AX(g) → 2 A(s) + AX3(g)

4 AX(g) → 3 A(s) + AX4(g)

Examples of disproportionation reactions are

2 GeI2(g) → Ge(s) + GeI4(g)

2 TiCl2(g) → Ti(s) + TiCl4(g)

2 SiI2(g) → Si(s) + SiI4(g)

Coupled reactions are often used in CVD. For instance, CVD of Al2O3

from AlCl3, CO2 and H2 can be described in an overall reaction:

Page 402: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Chemical Vapor Deposition 405

2 AlCl3(g) + 3 CO2(g) + 3 H2(g) → Al2O3(s) + 3 CO(g) + 6 HCl(g)

where the reaction in which water is formed

CO2(g) + H2(g) → CO(g) + H2O(g)

is coupled to the hydrolysis reaction

AlCl3(g) + 3 H2O(g) → Al2O3(s) + 6 HCl(g)

i.e., a reduction reaction is coupled to an exchange reaction (for example, seeRef. 7.1). Other examples of overall coupled CVD reactions are

TiCl4(g) + NH3(g) + ½ H2(g) → TiN(s) + 4 HCl(g)

Ga(CH3)3(g) + xPH3(g) + (l-x)AsH3(g) → GaAs1-xPx(s) + 3 CH4(g)

In general, several possibilities of preparing a substance by CVD exist.For practical reasons however, relatively few alternatives will remain after acritical evaluation of the requirements of the process (temperature, totalpressure, compatibility with the substrate and the reactor, the reactions gasmixture, costs, toxicity of the substances, etc).

3.2 Thermodynamics

Thermodynamic calculations are a useful tool when choosing theexperimental conditions (temperature, total pressure, reaction gas composi-tion) for the deposition of a certain substance, and also serve as a guide whenchanging the experimental conditions in a CVD process. For the calculations,different computer programs are in use and there are now practically nolimitations in the number of substances that can be included in the calcula-tions. For reviews of computational methods, the reader is referred to Refs.7.4 - 7.7.

Usually the computer programs are based on the so-called free energyminimization technique. The free energy G is given by the following equation:

G ni ii

= ∑ µ

Page 403: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

406 Deposition Technologies for Films and Coatings

where ni is the number of moles of a substance i and µi is the chemicalpotential of the substance. The chemical potential is defined as

µi = µi0 + RTlnai

where µi is the reference chemical potential, and ai is the activity. Assumingideal gas conditions, the activity of the gaseous species may be expressedas its partial pressure

ai = pi = (ni /n)P

where n is the number of moles in the gas phase, and P the total pressure.For pure condensed substances, the activities are equal to unity.

Eriksson[8] developed a computer program (SOLGAS) based on theminimization of the free energy. This program became a prototype for manyother equilibrium calculation programs. From the basic equations givenabove, dimensionless quantity G/RT was defined (see eq. below) and usedin the calculations.

∑∑==

+++

=

n

i

s

i

si

gi

m

i

g

i

gi RT

nn

nP

RTn

RT

G

0

0

1

0

lnlnµµ

The superscripts g and s refer to the gas phase and the solid phase, respectively.The value of µ0/RT for a specific substance is calculated from

( )RT

H

RT

HG

RTf0298,

0298

00 ∆+

−=

µ

where: (Go - Ho)/RT = free energy function

∆Hf,2980 = heat of formation at 298.15 K.

By minimizing the quantity G/RT (or G) and using mass balance equationsas subsidiary conditions, the equilibrium composition of a system can becalculated.

The input data in the calculations are the number of moles of thedifferent reactants, the total pressure, the substrate temperature, the differentsubstances, and their thermochemical data. From the calculations, variousquantities like the partial pressures of the vapor species, the amounts of thedifferent substances available for CVD, i.e., the yield, thermodynamicfunctions (supersaturation, reaction enthalpies, driving force of differentprocesses, etc.), are obtained.

Page 404: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Chemical Vapor Deposition 407

Figures 7.3 - 7.5 illustrates results from equilibrium calculations. Figure7.3 shows the change in equilibrium composition when SiH4 is added to an H2/WF6 gas mixture. For an overview of the experimental conditions fordepositing a certain substance, CVD phase diagrams are constructed.[10]

Figs. 7.4 and 7.5 are examples of calculated CVD phase diagrams. Thenumber of variables required to construct a complete CVD phase diagram isgiven by the phase rule. Normally various sections (constant temperature,constant total pressure, constant molar ratio between two of the reactants,while varying the number of moles of a third reactant) are used. Finally, formore theoretical work, predominance diagrams with element chemical poten-tials as variables are employed. In these diagrams, the phase stability rangesare limited by straight lines.

Figure 7.3. Partial pressures of vapor species in the homogeneous reactionbetween H2, WF6 and SiH4. Total pressure 0.1 Torr, temperature 300°C, H2/WF6= 39.[9]

Page 405: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

408 Deposition Technologies for Films and Coatings

Figure 7.5. Calculated diagram for deposition of YBa2Cu3O7-x. The grey-shadedstability regions contain the superconducting phase. The contour lines representthe yield of YBa2Cu3O7-x. Precursors YCl3, BaI2, and CuCl, O2, and H2O, molar ratiosYCl3:BaI2:CuCl = 1:2:3, O2:H2O = 1:1, total pressure 1 kPa.[11]

Figure 7.4. Calculated CVD phase diagram for the W - Si system. Reactants WF6,SiH4, and H2, total pressure 0.1 Torr, H2/WF6 = 39.[9]

Page 406: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Chemical Vapor Deposition 409

The reliability of the equilibrium computations described above isdependent on the availability and accuracy of thermochemical data as well asthe identification of all the substances—vapor species and condensedphases—that are of importance in the system. Examples of sources ofthermochemical data are given in Refs. 12 - 14. In cases were data do not existor the data are unsatisfactory or unreliable, estimation procedures can be used(see, for instance, Ref. 12). Finally, a few references illustrating the use ofthermodynamic computations in CVD have been selected.[15]-[24]

3.3 Adhesion

Production of well-adhering coatings with desired properties is theultimate aim of all CVD work. There are, however, several factors which reducethe adhesion between the coating and the substrate.

Stresses introduced as deposition stresses or originating from amismatch in the thermal expansion coefficients between the substrate and thecoating when cooling down after the deposition process. These stresses canbe reduced by depositing a substance prior to the final CVD process. Thepredeposited substance forms an intermediate layer. The stresses can alsobe reduced by decreasing the thickness of the coating as well as by changingthe grain size and morphology of the coating.

Homogeneous nucleation in the vapor produces a flaky/powdery de-posit. By reducing the degree of supersaturation or the driving force of theprocess, the homogeneous nucleation can be eliminated.

Intermetallic compounds formed in the coating/substrate interface may bebrittle, leading to the initiation of cracks there. The risk of crack initiationincreases with increasing thickness of the layer containing the intermetalliccompounds. The technique of predeposition of a substance—forming anintermediate layer later—may be usable to improve the adhesion in this case.

Hydriding of the substrate may cause bad adhesion. Hydrogen isfrequently used in the cleaning procedure prior to the deposition stage. Somemetals/alloys can dissolve a considerable amount of hydrogen. If thedeposition process is then run at a temperature where the hydrogen isliberated, cracking of the coating occurs. Hydriding can be eliminated by usinganother cleaning procedure or heating the substrate in vacuum or an inert gasafter cleaning in hydrogen.

Pores in the coating/substrate interface reduce the adhesion not onlybecause of the fewer bonds in the interface but also because the pores act ascrack initiators. The pores can originate from the coalescence step at the

Page 407: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

410 Deposition Technologies for Films and Coatings

beginning of the process as well as from Kirkendal diffusion (differences in thediffusion fluxes of the atoms over the coating/substrate interface).

Oxide films or other surface contaminants reduce the adhesion as arule. A proper cleaning procedure usually can solve this problem.

Chemical attack on the substrate by the reaction products formedduring the CVD process may cause bad adhesion. The chemical attack onthe substrate can occur as long as the substrate is exposed to the vapor andis described in the following reactions:

2 AX(g) + H2(g) → 2 A(s) + 2 HX(g)

The volatile reaction product HX formed reacts with the substrate S accordingto the reaction

2 S(s) + 2 HX(g) → 2 SX(s) + H2(g)

The solid substance SX formed may result in poor adhesion. The reactionabove can be predicted from thermodyamics.

3.4 Substrate Cleaning Procedures

A clean substrate surface free from oxides and other contaminants isa prerequisite condition for good adhesion. The cleaning procedure dependson the substrate used, the material to be deposited, the CVD equipmentavailable, etc. Examples of some cleaning techniques are given below.

Before the substrates are placed in the reactor, pickling, grit blasting,etching, degreasing, etc., are carried out. In the CVD reactor surfacescontaining hydrogen-reducible oxides, e.g., tungsten oxides, are heated in ahydrogen gas flow at temperatures above the deposition temperature. Metalsforming volatile oxides are cleaned by heating in an inert atmosphere. Finally,the heating operations in the cleaning step remove dust particles from thesurface, in some cases by the formation of carbides in the surface. After thecleaning procedure the reactor is purged with an inert gas/hydrogen before thedeposition process (interlayers or final coating).

3.5 The CVD system

The choice of the CVD system is affected by a number of factors: thereactants used in the process, the maximum acceptable leak rate for air intothe system, purity of the deposit, size and shape of the substrate, process

Page 408: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Chemical Vapor Deposition 411

economy, etc. In the following, some general comments on the design of CVDsystems are given.

A CVD system is advantageously constructed in three modules:

1. The reaction gas dispensing system.

2. The reactor, including components for defining the gas flows.

3. The exhaust system containing a total pressure controller,vacuum pump, scrubber and/or reactant recycle system.

3.6 The Gas Dispensing System

Reactants, which are gases at room temperature, are stored in gasbottles. After pressure regulation, their flows are measured with, for instance,mass flow meters. Use of mass flow meters yields high accuracy and allowsmicroprocessor control of gas flows.

Those reactants that are liquids or solids at room temperature have tobe fed to the system in other ways (see Fig. 7.6). They can be admitted tothe system by simply heating them above the boiling or sublimation point. Theevaporation rate can be varied by varying the source temperature and/or thedimensions of the capillary from the sources. Another way of introducing thesesubstances is to use an evaporator or sublimator and a carrier gas. When theevaporator is used, the carrier gas is bubbled through the liquid to beevaporated or flowed above its surface. The carrier gas picks up the liquidsubstance and transports it into the reactor. The evaporation rate depends onthe temperature of the liquid, the liquid level in the container, and the flow rateof the carrier gas. For the highest reproducibility it is important to have aconstant level of the liquid in the container. However, some alternatives tothese evaporators exist which use carrier gases and are independent of theliquid level. In one alternative, the liquid is evaporated from a vessel, cooledand condensed in a cooler, leaving the carrier gas saturated at the temperatureof the cooler. If two or more reactant liquids have to be used in the process,it is seldom possible to vaporize them in the same evaporator while maintainingthe predetermined molar ratio since they normally have different vaporpressures.

The principle of the sublimator is similar to that of the evaporator. In asublimator the substance is transferred to the vapor by sublimation (solid →gas) and then transported to the reactor by the carrier gas.

Page 409: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

412 Deposition Technologies for Films and Coatings

Non-gaseous reactants at room temperature can also be admitted intothe reactor by generating them in situ in the gas dispensing system. If, forinstance, the halide AlCl3 is to be used in a process, the generator is filled withaluminium sponge. Aluminium chlorides are then obtained by leadinghydrogen chloride through the generator. Generator variables are tempera-ture, flow rate, and concentration of the hydrogen chloride (varied by dilutionwith an inert gas).

Figure 7.6. Sketch of a CVD system.

Page 410: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Chemical Vapor Deposition 413

Direct metering of liquids/solids followed by immediate vaporization ina vessel can also be used. For metering of liquids, flow meters and variousdispensing pumps are available. The final vaporization takes place in, forinstance, a flash vaporizer[25]—a vessel containing pieces of porcelain of hightemperature.

Many CVD processes are strongly affected by contaminants in thevapor. The contaminants originate from the reactants themselves and fromvarious chemical reactions between the gases and the materials in the gasdispensing system (in the tubes, evaporators, sublimators) and from airleakage. The contamination level can be reduced by:

! Purifying the reactants. Hydrogen and argon can be purifiedto a level of 1 ppm in commercially available purifiers

! Having a low leak rate

! Using carrier gases which are non-reactive against thematerials to be vaporized (in evaporators and sublimators)

! Using materials in the tubes, vaporizers, reactors, etc.,which are compatible with the gases used

! Using degassed O-rings, where they are used for vacuumseals

! Installing purge line, which is important when reactive gases,e.g., halides, are used

Finally, in CVD, explosive, flammable and toxic gases (hydrogen,silane, phosphine, arsine) are frequently employed. Correct handling of thegases is, therefore, necessary. Every precaution should be taken. Effectiveventilation systems and gas detectors (commercially available) should beused.

3.7 The Reactor

The process selected and the size, shape, and number of substratesdefine the type of reactor and its geometry. Two main reactor types can bedistinguished:

1. In the hot wall reactor (see Fig. 7.7), the reactor tube issurrounded by a tube furnace. This means that thesubstrates and the wall of the reactor have the sametemperature. In addition to the film growth occurring on thesubstrates, film growth might thus take place on the inside ofthe reactor walls. With thicker films on the reactor walls,

Page 411: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

414 Deposition Technologies for Films and Coatings

there is a risk that particles will break loose fromreactor walls, fall down on the surface of the growing film,and introduce pinholes in it. There might also be a sourceof contamination in this reactor type because of the reactionbetween the material of the reactor wall and the vapor. In thehot wall reactor, homogeneous reactions, affecting thedeposition reactions and hence the structure of the films,may take place in the vapor. There is a successive depletionwith respect to the reactants as they are transported throughthe reactor. Such a depletion may yield different depositionconditions within the reactor. Finally, in a hot wall reactor,many substrates can be deposited simultaneously.

2. In the cold wall reactor (Fig. 7.8), the walls of the reactor arecold and usually no depositionoccurs on the walls, eliminatingthe risk of particles breaking loose from the walls. Furthermore,a low wall-temperature reduces the risk of contaminatingvapor/wall reactions. In the cold wall reactor, the homogenousreactions in the vapor are suppressed and the importance ofthe surface reactions is increased. The steep temperaturegradients near the substrate surface may introduce severenatural convection resulting in a non-uniform film thicknessand microstructure. However, with the higher flexibility of thecold wall reactor, high cleanliness, high deposition rates(yielding high wafer throughput), high cooling rates combinedwith the needs of thickness uniformity, automatic waferhandling and use of increasing wafer diameter, there istendency to more frequently use cold wall reactors in themicroelectronics.

Figure 7.7. A hot wall CVD reactor.

Page 412: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Chemical Vapor Deposition 415

Various techniques of heating the substrates exist.[26] Conductivesubstrates can be heated resistively or by radio frequency induction. Non-conductive substrates are normally heated by applying optical techniques(tungsten filament lamps, lasers), thermal radiation techniques, or by usingsusceptors and radio frequency induction heating. Examples of somereactors are shown in Fig. 7.9. Finally for coating a large number of smallpieces, fluidized bed techniques can be applied.[25]

To illustrate how the choice of reactor is dependent on the substrate tobe coated, an example of applying a coating inside a tube is given. In this casethe tube itself is the reactor. The reactants are introduced in the tube andtransported to the heated zone where the deposition occurs. Induction heatingas well as tubular furnace heating can be employed. By moving the tube orthe heating sources continuously, a coating of uniform thickness can beproduced (see, for instance, Ref. 27).

The arrangement of the gas flows as well as the gas flow rate are ofhighest importance for obtaining good coatings. Gas flow dynamics arediscussed in Sec. 4.

3.8 The Exhaust System

The exhaust system contains a vacuum pump, total pressure control,scrubbers, and a recycling system, if used. Processes working at atmo-spheric pressure do not require vacuum pumps and total pressure control. Atreduced pressures, however, pumps as well as some kind of total pressurecontrol have to be used.

Figure 7.8. A cold wall CVD reactor.

Page 413: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

416 Deposition Technologies for Films and Coatings

The choice of the vacuum pump depends on the process (pumpingcapacity required, pressure range to be used, gases to be pumped). Athigher process pressures (>30 Torr), water ring pumps and differentmechanical chemical pumps are used. The chemical pumps are alsoemployed at lower pressures (1 Torr), and at the lowest pressures incombination with, for instance, mechanical boosters. When mechanicalpumps are used in CVD processes, the pump oil can polymerize or bedamaged in other ways by certain gaseous species. The pump oil should

Figure 7.9. Examples of some CVD reactors. (a) and (b) RF heated cold wallreactors, (c) vertical hot wall reactor, (d) barrel reactor.

Page 414: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Chemical Vapor Deposition 417

be chosen with respect to its compatibility with the specific gaseous species.The polymerization of the oil can easily be followed by measuring its viscosityat different times. Mechanical pumps also produce back-diffusion of oilmolecules into the system. The back-diffusion can be stopped in a trap(zeolite trap, liquid nitrogen cold trap) just before the pump. With the currenttrend of using lower pressures to create abrupt interfaces and superlattices,diffusion (to pump hydrogen) and turbo pumps are also utilized. Finally,external oil filtering systems reduce the wear of the mechanical pumps inprocesses where solid particles are formed and transported in the vapor to thepump.

In a CVD process, more or less toxic, explosive, and corrosive gasesare used/formed. To remove them before exhaust, scrubbers are used. Thescrubber type is appropriate to the CVD process used. Halides can easily beneutralized in a water scrubber. Carbon monoxide and hydrogen can be burntin a flame. Arsine can be removed by simply heating the reactor gas in afurnace especially arranged for this purpose (i.e., with a high efficiency forstripping arsenic from the gas stream).

Recycling is frequently used to improve process economy. It becomesnecessary in large scale processes, where expensive reactants are utilizedand the conversion efficiency of the reactants is low. The technique ofrecycling varies from process to process. A simple recycling can be achievedin some processes by selective condensation. It can easily be applied insystems where the component to be recycled has the highest boiling point.In the production of boron fibers for instance—where hydrogen and borontrichloride are used—the unconverted boron trichloride is condensed in the exitstream from the reactor, while the hydrogen and the hydrogen chloride (formedin the process) are not condensed.

3.9 Analysis of the Vapor in a CVD Reactor

Various spectroscopic techniques have been used to analyze the vaporin a CVD reactor. The purpose of these analyses is to achieve a betterunderstanding of the processes. Spectroscopic techniques are also used forprocess control. Mass-, Raman, and IR-spectroscopy are in use.[28]-[30]

4.0 GAS FLOW DYNAMICS

The rate and arrangements of the gas flows in a CVD reactor influencethe deposition conditions considerably. In the following, some fundamentals

Page 415: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

418 Deposition Technologies for Films and Coatings

of gas flow dynamics are given. For further details the reader is recommendedtextbooks in chemical engineering or other books treating transport pro-cesses.

In a gas, different states exist. In the molecular state, the mean freepath of the molecules is much longer than the dimensions of the vessel. Inthe viscous state, the mean free path is much shorter than the vesseldimensions. The viscous state can be divided into two flow regimes. Thelaminar flow regime, where the flowing gas layers are parallel, is appropriateto low gas velocities. At higher velocities, the flow becomes turbulent. Thelimit between the laminar and the turbulent flow is defined by the value ofReynold’s number, Re:

Re = • •ρηV D

where: ρ = the density of the gasV = the velocityη = the viscosityD = the diameter of the tube

At Re < 1100 the flow is laminar, while at Re > 2100 the flow is turbulent. Therange 1100 to 2100 is a mixed flow regime.

The Reynold’s number given characterizes the flow in an isothermalenvironment. In the non-isothermal environment existing in a cold wall reactor,natural convection induces a turbulence even at low flow rates. Consider thesituation above a heated surface (Fig. 7.10). At small temperature gradientsdT/dx, the varying density of the gas along the coordinate X is compensatedby the gravitational field and no movement of the gas occurs. At largergradients, the gas starts to move and the laminar flow can no longer beretained. From Fig. 7.10 it can be understood that turbulence at a heatedsubstrate surface may be obtained at different parts of it. For instance, whenthe temperature gradient is perpendicular to the gravitational field turbulenceoccurs at smaller temperature gradients than in the antiparallel case.

Different dimensionless quantities are used for identifying conditions oflaminar and turbulent flows at different geometries. For instance, the Rayleighnumber, Ra, and the Grashof number, Gr, are employed.[31] Ra and Gr arerelated to each other. Gr multiplied by the Prandtl number (nearly equal to onefor gases) yields Ra.

Page 416: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Chemical Vapor Deposition 419

To summarize the flow situation, diagrams depicting flow stabilityregions like that in Fig. 7.11 are constructed for different geometries andreaction gas mixtures. In an isothermal environment, Gr is equal to zero andRe describes the situation completely. In a non-isothermal environment Gr islarger than zero (increases with increasing DT). Turbulence occurs at a certainGr value, depending on the flow rate of the specific gas mixture and thetemperature difference between the hot and cold part in the reactor.

Figure 7.10. Forces at a heated substrate surface. The value, g, the gravitationalforce, dT/dx the temperature gradient.

Figure 7.11. Flow diagram showing flow stability regions.

Page 417: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

420 Deposition Technologies for Films and Coatings

In many CVD processe,s the laminar flow region is normally used. Highflow rates (turbulence) usually decrease the conversion efficiency of thereactants to the coating and very large gas volumes have to be handled. Theflow situation around the object to be coated can be visualized in smokeexperiments where the smoke is generated inside the reactor from, forinstance, titanium tetrachloride and water.

4.1 Gas Flow Patterns

For growth of films of uniform thicknesses and compositions the gasflow patterns are of greatest importance. This is particularly the case when“high” pressures (about 1 atm) are used. At reduced pressures the diffusivityof the vapor species increases, which results in a better mixing of the processgases, and hence the flow fields become less important.

The gas flow patterns are very complicated in many CVD reactorsbecause the flow is driven by both the pressure differences (forced convection)and gravity (free convection) in mostly complex reactor geometries. Freeconvections contributes to the gas flow pattern not only in cold-wall reactorswith their steep temperature gradients but also in hot wall reactors with smallaxial temperature gradients. These are employed for correction of thesuccessive depletion of the vapor with respect to the reactants as they flowthrough the reactor. Fluid flow phenomena characteristic of various CVDreactors have been reviewed by, for instance, Westphal[32] and Jensen.[33]

In gas flow calculations, the continuity equation for the total mass, forthe single components, for the energy, and for the momentum must be solved.For a suitable choice of experimental conditions (flow regimes and reactorgeometries) simplifying equations and boundary conditions—resulting inreasonable computer times—are obtained. As an introduction to this fieldresults from detailed flow calculations for two main reactor types aresummarized. Wahl[34] has calculated the flow fields in some cold wallreactors for the laminar flow region (atmospheric pressure) for the CVD ofsilicon nitride from SiH4 and N2. The reactor geometry investigated can beseen in Fig. 7.12. The flow patterns calculated for this geometry and theinverted geometry (difference in the buoyance-driven convection) are shownin Fig. 7.12. The flow pattern becomes more complicated in the invertedgeometry, i.e., when the forced convection and the gravity interact. The flowpattern, including generation of loops and rolls, was strongly dependent on theratio between the free convection and the forced convection.

Page 418: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Chemical Vapor Deposition 421

To show the influence of the reactor geometry on the flow pattern, acalculation of Wahl and Hoffman[35] will be taken as an example. The reactorgeometry considered as well as the results from the calculations are shownin Fig. 7.13. As can be seen, the flow pattern in this geometry is not ascomplicated as that obtained in the previous geometry (Fig. 7.12), where thediameter of the inlet gas tube was half the diameter of the hot plate.

Figure 7.12. Gas flow pattern in a cold wall reactor, where the forced and buoyance-driven convection (a) interact, and (b) counteract, substrate temperature 900 K, Re= 50.[34]

Page 419: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

422 Deposition Technologies for Films and Coatings

A technique frequently used for correction of the successive depletionof the reactants as they are transported through a hot wall reactor is theapplication of a temperature gradient in the axial (flow) direction of the reactor.Even small temperature gradients, however, can induce buoyancy-drivenconvection. The flow pattern in a hot wall reactor with a temperature gradientfor the atmospheric CVD of GaAs in the Ga-AsCl3-H2 system has beencalculated for different temperature gradients, different gas flow velocities, anddifferent reactor heights by Westphal et al.[32] A typical result from theircalculations is shown in Fig. 7.14. It can be seen that a convection roll,induced by free convection, is generated. The effect of free convection on thegas flow pattern decreased with decreasing temperature gradients, increasinggas flow velocities and decreasing reactor heights. No extreme conditionswere required to generate convection rolls. They were, for instance, obtainedat a temperature gradient of 6 K cm-1, a gas flow velocity of 2 cm s-1 and areactor height of 5 cm.

Figure 7.13. Gas flow pattern in a cold wall reactor with a geometry different fromthat in Fig. 12.[35]

Page 420: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Chemical Vapor Deposition 423

Convection rolls are frequently generated in CVD. These rolls causedilution of the reaction gas with reaction products, resulting in an alteration ofthe deposition conditions. Developed rolls may yield problems for multilayergrowth with well-defined phase boundaries and for the creation of sharp dopingprofiles. By using extreme low total pressures (in the 10-3 Torr range), theseproblems can be solved.

4.2 Boundary Layers

In CVD the substrates are immersed in a gas stream. From fluidmechanics it is known (see, for instance, Ref. 36) that so-called boundarylayers are developed near the substrate surface. The boundary layers aredefined as the region near the substrate surface where the gas stream velocity,the concentration of the vapor species and the temperature are not equal tothose in the main gas stream. Thus a velocity boundary layer, a concentrationboundary layer and a thermal boundary layer exist. The development of avelocity boundary layer in a laminar flow region is sketched in Fig. 7.15. Thegas velocity is zero at the substrate surface and increases to a constant value(the bulk gas flow velocity). The layer over which the gas flow velocity variesis the boundary layer.

The thickness δ of a boundary layer (laminar flow) at a position X on thesubstrate or susceptor[36] is given by

δ = a(ηX/ρν)1/2

Figure 7.14. Gas flow pattern in a hot wall reactor with a temperature gradient of6 K cm-1, linear gas flow velocity of 2 cm s-1, and channel height 5 cm, depositionsystem Ga-AsCl3, H2.[32]

Page 421: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

424 Deposition Technologies for Films and Coatings

where a is a proportionality constant, η is the viscosity of the gas, ν is thevelocity of the gas and ρ is the density of the gas. From a knowledge of thetemperature and pressure dependence of η, ρ and ν[31][36] it is deduced thatthe thickness of the boundary layer increases with increasing temperature anddecreasing total pressure. Moreover, the thickness also increases withincreasing transport distance of the gases along the substrate surface.

Figure 7.15. Definition of the velocity boundary layer.

The development of boundary layers in CVD situations has beeninvestigated both experimentally and theoretically. Eversteijn et al.,[37] usedsmoke experiments to visualize the flow pattern in a horizontal epitaxialreactor. The smoke was generated from TiCl4 and water. They observed animmobile layer of gas, called the stagnant boundary layer, above thesusceptor. It was shown later, however, that in steep temperature gradients(near the susceptor) fine particles are driven away from the susceptor bythermophoretic forces.[38] This shows that smoke experiments can only beused to map the flow at a greater distances from a heated susceptor.

Ban and Gilbert[28] investigated the heat transport in a cold wall reactorby heating a susceptor in helium and measuring the temperature at differentlocations above the susceptor with a thermocouple with a small diameter. Thevery steep temperature gradient can be seen in Fig. 7.16.

Ban and Gilbert also investigated the concentration profiles of variousvapor species in silicon CVD from an H2/SiCl4 gas mixture. They introduceda fine capillary probe for a mass spectrometer at different locations above thesusceptor. The concentration profile of SiCl4 and the reaction product HCl canbe seen in Fig. 7.17. The thickness of the concentration boundary layer in thiscase is more than 2 cm. The successive depletion with respect to thereactants as they were transported through the reactor is shown in Fig. 7.18.At a height of 7 mm above the susceptor and 15 cm downstream of

Page 422: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Chemical Vapor Deposition 425

Figure 7.16. Temperature profile in helium. Linear gas flow velocity: 24.9 cm s-1.[28]

Figure 7.17. Concentration profiles of SiCl4 and HCl in the CVD of silicon from SiCl4and Hc. Transport distance along the susceptor: 12.5 cm, linear gas flow velocity:24.9 cm s-1, - - - 1000°C, —— 1140°C.[28]

Page 423: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

426 Deposition Technologies for Films and Coatings

the susceptor, the partial pressure of SiCl4 was reduced to about 50% of theinitial value. Sedgwick et al.[29] measured temperature and concentrationprofiles in an air-cooled horizontal cold wall reactor using Raman scattering.They observed a steep temperature gradient near the susceptor. Thetemperature profile developed was dependent on the position along thesusceptor.

Giling[39] investigated the gas flow patterns and temperature profiles atatmospheric pressure in air-cooled as well as water-cooled horizontal epitaxialreactors by means of interference holography. The gases used were H2, He,N2 and Ar. H2 and He yielded stable laminar flows through both the water-cooled and the air-cooled reactor. At flow velocities higher than 40 cm s-1 acold gas finger, indicating incompletely developed flow and temperatureprofiles, was observed in the air-cooled reactor. N2 and Ar behaved quitedifferently from H2 and He and different convective effects were obtained. Atflow velocities higher than 4 cm s-1 for instance, a laminar layer about 8 mmthick was developed near the susceptor, while the gas above this layerappeared to be in turbulence.

Figure 7.18. Partial pressure profile of SiCl4 as a function of the transport distancealong the susceptor at a height of 7 mm above the susceptor.[28]

Page 424: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Chemical Vapor Deposition 427

Giling also pointed out the importance of entrance effects, i.e., that it willtake some distance (the entrance length) from the susceptor edge for fullvelocity and temperature profiles to develop. According to Schlichting[36] theentrance length for the development of the full velocity profile is given by theequation

X = 0.04hRe

where h is the height of the channel and Re is the Reynolds number. Hwangand Cheng[40] predicted that the thermal entrance length was seven timeslonger than the flow entrance length. Giling confirmed this for H2 in hismeasurements.

Coltrin et al.[41] have developed a mathematical model of silicon CVDfrom silane in a cold wall reactor. The model includes gas phase chemistryas well as fluid mechanics, and predicts temperature, velocity, and concen-tration profiles for many vapor species. Figure 7.19 depicts the temperaturecontour in a typical calculation. The thickness of the boundary layer is in thecentimeter range and increases with increasing gas transport distance alongthe susceptor.

Figure 7.19. Calculated temperature contours for silicon CVD from silane (0.6 Torr)and helium as a carrier gas (600 Torr). Temperature: 1018 K, gas flow velocity: 15.3cm s-1.[41]

Page 425: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

428 Deposition Technologies for Films and Coatings

4.3 Mass Transport Processes Across a Boundary Layer

Different mass transport processes across a boundary layer can bedistinguished:

1. Fickian diffusion occurs because of the concentration gradientacross the boundary layer.

2. Thermal diffusion or Soret diffusion is induced by a temperaturegradient, in for instance, a cold wall reactor.[31] This diffusionis of greatest importance in systems having large differencesin molecular weights and molecular sizes between vaporspecies.

3. A concentration gradient implies a density gradient, resultingin a buoyancy-driven advective flux.[42]

4. In the overall CVD reaction, the number of moles of gas maybe changed. This induces a flux (Stefan flux) towards or awayfrom the substrate surface. In, for instance, the CVD of boronfrom BCl

3 and H

2 according to the reaction

2 BCl3(g) + 3 H2(g) → 2 B(s) + 6 HCl(g)

the number of moles in the vapor is changed from 5 to 6,causing a flux from the substrate.[43]

5.0 RATE-LIMITING STEPS DURING CVD

In a CVD process various sequential steps occur. Each of these stepsmay be rate-limiting in the absence of thermodynamic limitations. Plausiblerate-limiting steps are as follows (see also Fig. 7.20): (a) transport of thegaseous reactants to the boundary layer surrounding the substrate (free andforced convection); (b) transport of the gaseous reactants across the boundarylayer to the surface of the substrate (diffusion and convections flows); (c)adsorption of the reactants on the surface of the substrate; (d) chemicalreactions (surface reactions between adsorbed species, between adsorbedspecies and reactants in the vapor and or between reactants in the vapor), (e)nucleation (at least at the initial stage); (f) desorption of some of the reactionproducts from the surface of the substrate; (g) transport of the reactionproducts across the boundary layer to the bulk gas mixture; (h) transport ofthe reaction products away from the boundary layer. In each of these stepsseveral processes may proceed simultaneously.

Page 426: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Chemical Vapor Deposition 429

Even though several rate-limiting steps can be identified in a CVDprocess, only five main categories of control are normally discussed:

1. Thermodynamic control. Thermodynamic control meansthat the deposition rate is equal to the mass input rate intothe reactor (corrected for the yield of the process). Thisoccurs at extreme deposition conditions (very low flow rates,high temperatures, etc.). The temperature dependence ofthe deposition rate is obtained from thermodynamiccalculations.

2. Surface kinetics control. If the deposition rate is lower thanthe mass input rate into the reactor and the mass transportrate in the vapor in the reactor to or from the substrate, asurface kinetics control or nucleation control exist. Thesurface kinetics control is favorable for obtaining coatings ofuniform thicknesses on more complicated shaped substrates.The mechanisms of surface reactions are discussed in Sec.6.

3. Mass transport control. A process may also be controlled bythe mass transport in the vapor in the reactor to or from thesubstrate surface. This occurs frequently at high pressuresand high temperatures.

4. Nucleation control. At low supersaturations the depositionrate may be controlled by the nucleation.

5. Homogeneous reaction control. In some processes theformation rate of key species in the vapor may control thedeposition rate.

Figure 7.20. The various steps in a CVD process.

Page 427: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

430 Deposition Technologies for Films and Coatings

Since the mass transport in the vapor or the surface kinetics usuallycontrols the deposition rate, the following discussions are limited to just thesetwo cases. Surface kinetics control is normally desirable and means amaximum in throwing power or step coverage. Figure 7.21 shows conditionsof complete mass transport control, complete surface kinetics control andmixed control. In the surface kinetics control, a fast diffusion in the vapor iscombined with a slow surface reaction. For a mass transport control, thesurface kinetics is fast while the mass transport in the vapor is slow.

Figure 7.21. Diagrams illustrating situations of complete mass transportcontrol in the vapor (a), and surface kinetics control (b), respectively, and (c)shows conditions of mixed control.

Page 428: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Chemical Vapor Deposition 431

Reaction resistances are often used to predict rate-limiting steps orcontrol in CVD. To illustrate their principle use, reaction resistances areemployed to define the surface reaction control and the mass transportcontrol, respectively.

The diffusion flux JD across the boundary layer is given by

J DR T

P PD

b s=•

−δ

where: D = diffusion coefficientR = gas constantT = absolute temperatureδ = boundary layer thicknessPb and Ps (see Fig. 7.21)

The mass flux JM towards the surface is expressed as

J kRT

P PMm

s eq= −

where km is the mass transfer coefficient.Pb and Peq are known from the reaction gas composition and from

thermodynamic calculations, respectively. Ps can be eliminated by assumingsteady-state conditions (JM = JD)

PP k

DP

kD

s

bm

eq

m=

+ • •

• +

δ

δ 1

Km is thus given by

JRT

P P

D k

Mb eq

m

=−

+1

Pb - Peq is the driving force of the process and δ/D and 1/km are reactionresistances. If δ/D >> 1/km the process is controlled by the mass transportin the vapor, while surface reaction control is achieved at 1/km << δ.

( )

Page 429: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

432 Deposition Technologies for Films and Coatings

As said before, surface kinetics control is a condition prerequisite tothe obtaining of coatings of uniform thickness on substrates with a complicatedshape. How can surface reaction control be achieved? To answer thisquestion, the temperature and pressure dependences of the reaction resis-tances have to be analyzed.

The thickness δ of the boundary layer (laminar flow) at a position x onthe substrate is

2

1

••=

υρηδ x

a

where a = proportionality constant; η = viscosity of the gas; ν = velocity of thegas; and ρ = density of the gas. The value of ρ depends on both the temperatureand pressure while η and ν depend on the temperature.

ρ = ••

M p

R T

where M = molecular weight and p = total pressure;

m

oo

T

T

=ηη

where To = reference temperature, ηo = reference value, m = constant (0.6 <m < 1.0); and

υ υ= oo

TT

where T0 = reference temperature, and ν0 = reference velocity.From the equations for ρ, η and ν, the pressure and temperature

dependence of δ is expressed as

δ = •const Tp

m/

/

2

1 2

The pressure and temperature dependence of the diffusion coefficient D is

75.1

,

••=

o

ioi

T

T

P

PDD

Page 430: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Chemical Vapor Deposition 433

where Di,o is the reference value of the diffusion coefficient and Pi is the partialpressure of species i. The reaction resistance is then

δD

PT m

= •−

const1 2

175 2

/

. /

Hence δ/D increases with increasing pressure and decreasing temperature.The value of km follows the Arrhenius equation

k A em

EaRT= • −

Thus the surface reaction resistance increases with decreasing temperature.This increase is more rapid than the δ/D increase with decreasing temperature.Hence surface reaction control can be reached at lower temperatures.

Since the surface reaction control regime is normally the most attractiveexperimental conditions to reach (highest throwing power) this regime shouldbe chosen. From Arrhenius plots (logarithm of the deposition rate versus thereciprocal temperature) conditions of surface kinetics control can be identified.For a surface kinetics control, the slope of the Arrhenius plot has a highnegative value, often in the range 100 - 300 kJ mol-1. For mass transportcontrol, the slope of the Arrhenius plot can either be positive (exothermicprocesses) or negative (endothermic processes) (Fig. 7.22). When the totalpressure decreases, the diffusion rate of the species in the vapor increases,which means that surface kinetics control is readily achieved at low pressures.Figure 7.23 illustrates that the temperature region of surface kinetics controlexpands at lower pressures.

Surface kinetics can also be attained by increasing the gas flow velocity(see Fig. 7.24). At low gas flow velocities, the thermodynamics control thedeposition. Increasing the gas flow means entering the mass transportcontrolled regime. The surface kinetics control is reached at even higher gasflow velocities.

The fourth possibility to reach the surface kinetically controlled regionis to use another precursor with a higher thermochemical stability. As can beseen in Fig. 7.25, use of SiCl4 instead of SiH4 results in surface kinetics controlat higher temperatures.

Page 431: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

434 Deposition Technologies for Films and Coatings

Figure 7.22. Schematic Arrhenius plots for endothermic and exothermic pro-cesses.

Page 432: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Chemical Vapor Deposition 435

Figure 7.24. Influence of gas flow velocity on the control of a CVD process.

Figure 7.23. Regions of mass transport and surface kinetics control at differenttotal pressures (P1<P2<P3).

Page 433: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

436 Deposition Technologies for Films and Coatings

6.0 REACTION MECHANISMS

The reaction mechanisms in CVD processes are very complicated andonly a few are known. In the deposition process, the reactants are transportedto the substrate surface. Molecules and/or atoms are adsorbed on specificsurface sites. After surface diffusion, the molecules/atoms are incorporatedin a step and finally, after diffusion along the step, incorporation in a stablecrystallographic site takes place. The investigation by Bloem and Claassen[44]

of the rate-determining reactions in CVD of silicon from SiH2Cl2 in thetemperature range 800 - 1000°C is a good illustration of the various steps ina CVD process. A list of the reactions considered is given below.

1. Transport of SiH2Cl

2 across the boundary layer:

SiH2Cl

2(b) → SiH

2Cl

2(g)

where (b) and (g) refer to the bulk gas and the gas near thesubstrate surface, respectively.

Figure 7.25. Influence of the thermochemical stability of the precursor on theprocess control at silicon CVD.

Page 434: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Chemical Vapor Deposition 437

2. Homogeneous reactions in the vapor:

SiH2Cl

2(g) → SiCl

2(g) + H

2(g)

SiCl2(g) + HCl(g) → SiHCl

3(g)

3. Adsorption at free surface sites *.

SiH2Cl2(g) + * → SiH

2Cl

2*

SiCl2(g) + * → SiCl

2*

HCl(g) + * → Cl* + l/2 H2(g)

l/2 H2(g) + * → H*

4. Surface reactions:

SiH2Cl

2* → Si* + 2 HCl(g)

SiH2Cl

2* → SiCl

2* + H

2(g)

SiCl2* + H

2(g) → Si* + 2 HCl(g)

SiCl2* + HCl(g) → SiHCl

3(g)

SiCl2* + SiCl

2(g) → SiCl

4(g) + Si(cryst)

Si(cryst) means a stable crystallographic site in the crystalgrown.

5. Growth reactions. Surface step sites are denoted (st).

Si* → Si(st)

Si(st) → Si(cryst)

SiCl2* → SiCl

2(st)

SiCl2(st) + H

2(g) → Si(cryst) + 2 HCl(g)

In CVD of silicon from SiH2Cl

2, the last reaction given was the

rate-determining.

Page 435: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

438 Deposition Technologies for Films and Coatings

7.0 NUCLEATION

Since the properties of a material are influenced by grain size, defects,inclusions, etc., the nucleation is the most important process in the depositionof materials. At the initial stages of growth, the nucleation on the foreignsubstrate determines the grain size in the “first layer,” the defects in it and, toa large extent, the adhesion. In the subsequent growth secondary nucleationmay occur with a generation of new grains, defects, inclusion of vapor speciesin pores, etc..

The various steps during the heterogeneous nucleation of an element Aon a foreign substrate is schematically shown in Fig. 7.26. Hydrogen and AXreact. The A atoms formed are adsorbed on the surface of the substrate.Subsequently the adsorbed atoms may desorb from the substrate, diffuse intothe substrate, possibly with the formation of intermediate phases, or react withHX with the formation of AX. Unstable aggregates of A atoms, embryos, areformed after surface diffusion and direct impingement of A atoms from thevapor. Some of these embryos will grow at the expense of others and attainthe status of stable A nuclei (supercritical A nuclei). An intact layer is formedafter lateral growth and coalescence. The growth rate of the nuclei isdetermined by the concentration of the adatoms. Finally the coalescencegenerates, in general, defects, i.e., grain boundaries.

Usually three-dimensional nucleation occurs on foreign substrates.However when nucleation takes place on native substrates—nucleus andsubstrate of the same material—two-dimensional nuclei may be formed. Todescribe 2-D nucleation the TLK model (Terrace, Ledge, Kink) of a surface isapplied (Fig. 7.27). Besides the terraces, ledges, and kinks, atoms adsorbedon the surface—adatoms—exist. The deviation from the equilibrium concen-tration of the adatoms is a measure on the driving force of the growth process(positive deviation) or of the etching process (negative deviation). Surfacesgrow by incorporating surface-diffusing atoms into the steps. This corre-sponds to a lateral movement of the steps.

The probability of generating new nuclei between the surface stepsdepends on the surface diffusion and the deposition rate (the impingementflux of the atoms). At a high temperature and a low deposition rate, theadatoms have time enough for diffusion to reach the surface steps and becaptured by them. A lower temperature and/or higher deposition rate resultsin shorter diffusion distances facilitating clustering of adatoms between the

Page 436: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Chemical Vapor Deposition 439

steps (two-dimensional nucleation). At even lower temperatures and/or higherdeposition rates—shorter diffusion distance—amorphous growth is obtained.[45]

Finally defects are introduced into the layers when advancing steps meet eachother or nuclei.

Figure 7.26. Schematic representation of nucleation of A on a substrate duringhydrogen reduction of AX (a), and various mechanistic pathways that can befollowed by A (b).

Page 437: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

440 Deposition Technologies for Films and Coatings

The surface diffusion is strongly affected by the access to free surfacesites. In a CVD process, it is likely that most of the surface sites are occupiedby strongly adsorbed molecules. During the CVD of, for instance, silicon fromSi-H-Cl gas mixtures, the surface sites are occupied to about 99% byhydrogen and chlorine atoms.[46] Moreover impurity adsorption on surfacesteps can effectively prevent diffusing adatoms to be captured. This meansthat a supersaturation high enough for nucleation can be built up betweensurface steps.[47] In summary, layer growth (no nucleation) can only beexpected at high temperatures, low deposition rates, and low adsorption. Thismeans long diffusion distances and the free incorporation of diffusing adatomsat the steps.

After an incubation time, the nucleation rate is frequently high (~1010

cm-2•s-1). A saturation value of the nucleus density, which remains constantduring a relatively long period of time, is achieved (see Fig. 7.28).[48] Thesaturation value is obtained at a stage when the nuclei are so dense that asupersaturation high enough for nucleation can not be built up between thenuclei, i.e., when the mean diffusion distance is longer than half the meannucleus distance. Subsequently the nuclei grow laterally and the nucleusdensity is constant until coalescence occurs. The saturation nucleusdensity, Ns, is strongly dependent on the experimental conditions. Figure7.29 shows the influence of temperature on Ns for different silanes at silicon CVD.

Figure 7.27. The Terrace, Ledge, and Kink (TLK) model of a surface.

Page 438: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Chemical Vapor Deposition 441

Figure 7.28. The nucleus density as a function of process time.

Figure 7.29. Influence of temperature on the saturation nucleus density at siliconCVD from various silanes.[49]

Page 439: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

442 Deposition Technologies for Films and Coatings

Because of the high supersaturation in CVD, nuclei of critical sizeconsist only of a few atoms. This means that the thermodynamical treatmentof the nucleation on the basis of microscopic aggregates[50] is not justified.Instead statistical mechanical methods have to be applied.[51]

The highest nucleation rate is attained at locations where the requiredsupersaturation for nucleation is built up most rapidly. This is assumed tooccur at sites of long residence times for the adatoms and/or at sites of highsupply rate of the adatoms. Owing to the long residence time, nucleation onsurface steps is highly probable at low deposition rates. Grain boundaries canbe favorable diffusion paths, resulting in a high supply rate of adatoms andhence nucleation in the grain boundaries.

The nucleation is strongly affected by the surface roughness. Toillustrate this fact an example from an investigation of the preferentialnucleation of boron on tungsten filaments is taken. The tungsten filamentsused had a rough surface (Fig. 7.30a), which originates from the filamentdrawing process. The ridges of the filament serve as nucleation sites (Fig.7.30b). The preferential nucleation on the ridges of the filament is explainedas follows.[52] At the onset of the deposition reaction, boron atoms are addedto the substrate surface. Simultaneously, boron is lost from the surface bydiffusion into the substrate with the formation of tungsten borides. The diffusionflux, which initially is equal to the deposition rate, later decreases withincreasing boride layer thickness (increased diffusion resistance). Forgeometrical reasons it is obvious that the thickness of the boride layerincreases at a higher rate under a ridge than under a groove. Consequentlythe critical surface concentration for nucleation of boron is reached earlier ona ridge than in a groove.

8.0 SURFACE MORPHOLOGY AND MICROSTRUCTURE OF CVD MA-TERIALS

The surface morphology and the microstructure of CVD materials arecontrolled by many factors that are often interrelated, such as the substrate,temperature, supersaturation, deposition rate, impurities, temperature gradi-ents, and gas flows. In the following some theories and classifications of CVDmorphologies and microstructures are introduced.

Van den Brekel and Jansen have developed and applied a stabilitytheory for single phase vapor growth.[53] If an arbitrary perturbation at theinterface vapor/solid is reduced with increased time, the interface is

Page 440: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Chemical Vapor Deposition 443

considered as stable. However, the interface during CVD in an isothermalenvironment is unstable. On the other hand, because of the fact that therelaxation times of films are much longer than the deposition times (a fewminutes) smooth layers can be grown even in unstable processes.

The instability of the interface in a vapor growth process can also bediscussed in the same terms as those used to explain the dendritic growthfrom a melt in a negative temperature gradient. Random surface irregulari-ties are frequently formed in growth processes. The surface irregularitieshave a higher rate of growth if they reach out into regions of highersupersaturation. In a CVD process, the surface irregularities have better

Figure 7.30. The surface of a tungsten filament (a), and preferentially nucleatedboron on ridges of the filament (b).[52]

(a)

(b)

Page 441: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

444 Deposition Technologies for Films and Coatings

access to fresh reaction gas, which means a higher supersaturation andhence a higher deposition rate. Also, a negative temperature gradient, as inthe cold wall reactor, may result in a higher supersaturation for the outgrowths.

Blocher has related the various microstructures formed in CVD to theprocess conditions of temperature and supersaturation.[54] At a high tempera-ture/low supersaturation (see Fig. 7.31) epitaxial growth occurs. Decreasingthe temperature/increasing the supersaturation results in the formation ofplatelets, whiskers, etc. At a high supersaturation, a powder—due to the homogeneous nucleation in the vapor—is obtained. In the following,only comments on the growth of a few microstructures are given.

Figure 7.31. Microstructure sequence of CVD materials.[54]

Page 442: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Chemical Vapor Deposition 445

Epitaxial growth, which is frequently used in the microelectronicsindustry, is obtained at relatively low growth rates. It is affected by the deposit-substrate crystallographic misfit, the substrate surface conditions, thermalstresses over the substrate and polycrystalline areas in the substrate. Forepitaxial growth, a high surface mobility of adsorbed species is required, i.e.,usually a high temperature has to be used.

Columnar grains are common in CVD and exhibit a high degree oftexture. During the primary nucleation, nuclei of different crystallographicorientations are formed. Depending on the anisotropy in the growth rate ofvarious crystal surfaces, the nuclei will grow at different rates. This preferentialgrowth results in a characteristic columnar growth. Numerous examples ofcolumnar growth in CVD can be found in Proceedings of the International CVDConference series published by the Electrochemical Society.

Surfaces grow by incorporating surface-diffusing adatoms into surfacesteps. However preferential adsorption of molecules at the surface stepsprevents the surface-diffusing adatoms from being captured. Thus a newgrowth mechanism is required. Throughout the years, the structure of CVDmaterials has been modified by adding small amounts of foreign substances(growth modifiers) to the reaction gas mixture.

9.0 SELECTIVE DEPOSITION

Chemical vapor deposition (CVD) is known to be a large-area depositiontechnique. However, CVD can also be used for local deposition or selectivedeposition, i.e., the deposition occurs only on some areas of the substratesurface. Selectivity may be attained by using different focused beams(photons,[55] electrons,[56]-[58] or ions.[59][60] The beams induce local CVDreactions on those areas they hit. Another possibility is to irradiate thesubstrate surface through a mask with, for instance, a laser.[61] The openingsin the mask define the substrate areas where the deposition may take place.

Selective chemical vapor deposition may also be achieved on patternedsubstrates. The selectivity in this case is based on differences in the initialinterfacial reactions between the different substrate materials and the vapor.The interfacial reactions on one substrate material should be inhibitedcompletely to avoid nucleation, while the deposition reactions should bestimulated on those substrate areas where the deposition shall occur.

Page 443: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

446 Deposition Technologies for Films and Coatings

Principally there exist several categories of selective deposition sys-tems. In the system described above, the deposition takes place on onesubstrate material while no deposition is obtained on the other and area-selective deposition is achieved. However, different phases can also bedeposited simultaneously and selectively on the different materials, resultingin phase-selective deposition. In analogy to the phase-selective deposition,films of different microstructures or different chemical compositions may bedeposited on the different substrate materials and hence selectivity inmicrostructure or chemical composition is attained.

Selective deposition is an emerging field and there is a great demandfor these processes in many application areas. With the steady reduction ofIC feature sizes there is a need for self-aligned processes. Selective tungstenfor metallization in VLSI and selective GaAs epitaxy for monolithic integrationof optoelectronic devices are well-known examples. Other application areasmay be in micronics, heterogeneous catalysis, engineering of film/substrateinterfaces, and in growth of artificial 2-D and 3-D materials. Since selectivedeposition on patterned substrates is based on interfacial chemistry, there arepractically no restrictions in the dimensions of the deposited materialsislands. This opens a fascinating perspective to build up materials withmicrostructures without any thermodynamic or kinetics limitations. Theunderlying principles of selective deposition are briefly discussed below.

9.1 Area-Selective Growth

Epitaxial Growth Conditions. There is a considerable technologicalinterest today in area-selective epitaxy of both silicon and gallium arsenide.A brief discussion of area-selective growth with reference to silicon and galliumarsenide, respectively is given below.

Epitaxial films can be grown at relatively high rates near equilibriumconditions, i.e., at a low driving force (low supersaturation) of the depositionprocess. For heterogeneous nucleation, a higher supersaturation is gener-ally required. This means that conditions of selective growth are prevailing ata supersaturation lower than that for heterogeneous nucleation. This wasused by Joyce and Baldrey for growth of silicon from SiCl4 at 1200°C andatmospheric pressure in openings etched in the SiO2 mask.[62] A historicalreview of selective epitaxial growth, SEG, has recently been published byBorland.[63] In SEG, the growth is stopped when the surface of the growingfilm reaches the mask surface. A continued growth results in an overgrowth

Page 444: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Chemical Vapor Deposition 447

over the mask. The process is then called ELO (Epitaxial Lateral Over-growth). For a review of the ELO process, the reader is referred to Ref. 64.

A key point in the SEG is the suppression of the nucleation on the mask(usually silicon oxide or silicon nitride). As mentioned in Sec. 7, the incubationtime for nucleation varies with the substrate material and the depositionconditions. In an ideal case, this incubation time is longer than the depositiontime required to prepare the desired structures. However, by using analternating growth and etching process, SEG can be attained even forconditions of short incubation times for nucleation.[65] The growth conditionsare then prevailing for about the incubation time. After the growth cycle, theprocess is switched over to etching with, for instance, HCl. A minor etch isalso obtained of the monocrystalline silicon in the SiO2 openings.

The GaAs SEG/ELO is nearly as old as the silicon SEG/ELO. Tauschand Lapierre reported in 1965 on a GaAs ELO process based on a chloridevapor transport system.[66] With the development of the purification tech-niques of metal-organic compounds like trimethyl gallium (TMG) and triethylgallium (TEG), CVD as well as MBE, based on the use of these compoundstogether with AsH3, are highly attractive for GaAs SEG. MBE and elementalsources yields monocrystalline growth in etched openings as well aspolycrystalline GaAs on the mask (microstructure-selective deposition).[70]

GaAs SEG has received much attention during the last few years as atechnique for achieving monolithic integration of electronic and optoelectronicdevices.

Growth of GaAs from AsH3 and TMG by MBE or CVD is usuallyconsidered to be a non-equilibrium process. The perfection of the crystalsgrown, their morphology, and the correlation between the growth rate andthermodynamic parameters indicates that near-equilibrium conditions exist atthe interface between the vapor and the solid. Hence thermodynamics can beutilized to analyze selective growth as well heterogeneous nucleation condi-tions in GaAs CVD.

According to nucleation theory, a certain supersaturation is needed forheterogeneous nucleation on the mask. From experimental selectivity data,the maximum supersaturation for maintaining selectivity can be calculated.The supersaturation is favorably expressed in terms of chemical potentials.The influence of temperature on the chemical potential of GaAs (expressedin elemental chemical potentials of Ga and As2) at equilibrium with solidGaAs is shown in Fig. 7.32. Growth will occur if the chemical potential ofGaAs for the homogeneous equilibrium in the vapor is higher than that for theheterogeneous equilibrium.

Page 445: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

448 Deposition Technologies for Films and Coatings

The experimental technique used to determine, for instance, thetemperature required to achieve SEG is to raise the temperature successivelyuntil no nucleation on the mask can be observed. Since the chemical potentialof GaAs for the homogeneous equilibrium in the vapor has only a slighttemperature dependence (see Fig. 7.2), the driving force for the deposition (orsupersaturation) will decrease upon a temperature increase and a driving forcevalue, yielding no heterogeneous nucleation, will be reached.

Thermodynamics and MBE and CVD experimental SEG data wereused in an effort to put experimental selectivity observations on a commonbasis.[68][69] In MBE a much lower pressure is used than in CVD. However,irrespective of the growth technique used, the experimental SEG data fall inthe supersaturation region indicated in Fig. 7.33. By using thermodynamics,the selectivity data from CVD can be converted to MBE and vice versa.

Figure 7.32. Chemical potential of GaAs for the heterogeneous equilibrium (fullline), and for two homogeneous equilibria at different total pressures (dashedlines). H2/AsH3/TMG = 500/10/1.[68]

Page 446: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Chemical Vapor Deposition 449

Substrate-Activated Selective Growth. When a substrate of differ-ent materials is exposed to the vapor in a CVD process, the materialsrepresent areas of different activities or reactivities towards the vapor. Onematerial may, for instance, act as an effective reducing agent or as a catalystof dissociative adsorption of gaseous reactants, which may favor the deposi-tion. The other material may be relatively inert towards the vapor and growthmay be inhibited. The inertness may be increased purposely by using gasadditives which are preferentially adsorbed to one of the materials. Stronglyadsorbed molecules may passivate a substrate surface considerably andsuppress the deposition process completely. A tendency to substrate-activated area-selective growth is frequently seen during the initial growthstage in CVD on polycrystalline, multi-phase substrates. The different phasesand the different crystallographic orientations of the grains exposed to thevapor, represent surface areas of different activities/reactivities and initialgrowth conditions. Taken to its extreme, this means that the deposition isinhibited on some substrate areas, while other areas are open for deposition.

Area-selective deposition of refractory metals is of highest interest formetallization in VLSI and ULSI. Selective deposition of refractory metals formetallization has been reviewed by several authors (see Refs. 70 - 73). The

Figure 7.33. Selective growth regime for GaAs. Precursors: Ga(CH3)3, AsH3.[69]

Page 447: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

450 Deposition Technologies for Films and Coatings

substrate-activated area-selective growth is well illustrated by the selectivetungsten deposition from WF6 and H2 on Si/SiO2 substrates. This processis described principally below.

Tungsten can be deposited by CVD at low temperatures (300°C) fromH2 and WF6 according to the reaction

3 H2(g) + WF6(g) → W(s) + 6 HF(g)

The deposition occurs on all substrate surfaces exposed to the vapor, sinceboth the source material (WF6) and the reducing agent (H2) are gases.However, if the reducing agent was replaced by a solid reducing agent (likeelemental silicon), the deposition should only occur on those substrateregions having a reducing agent. So if a wafer exposes areas of elementalsilicon and silicon dioxide to WF6, tungsten deposition takes place only on thesilicon areas and not on the adjacent silicon dioxide areas. Silicon in silicondioxide can not act as a reducing agent, since this silicon has its maximumoxidation number. This is the basis of the initial stage of selective tungstenCVD.

The selective tungsten deposition may proceed according to thescheme described above as long as elemental silicon is exposed to the vapor.After a while, however, the tungsten deposited onto elemental silicon willseparate silicon from the vapor: hence a self-limiting growth process has beenobtained. The mechanism of self-limitation is under discussion and might bealso be due to a polymerization reaction involving lower tungsten fluorides.[74]

The polymer formed may also separate silicon from the vapor, hence inhibitingthe growth process. For growth of thicker tungsten layers, a reducing agent,H2, has to be added to the reaction gas. If the WF6 concentration is low andthe H2 concentration is high, i.e., conditions of low supersaturation areprevailing, deposition of tungsten will occur where tungsten already has beendeposited (on elemental silicon) and not on the silicon dioxide. For depositionon the silicon dioxide, resulting in a loss of selectivity, tungsten nucleationmust take place. The nucleation step requires a much higher supersaturationthan growth. Hence a deposition window, ranging from the supersaturationcorresponding to equilibrium conditions up to the supersaturation valueneeded for heterogeneous tungsten nucleation on silicon dioxide, exists.Finally, the selective deposition of tungsten to substrate areas where tungstenalready has been deposited, is favored by the dissociation of hydrogenmolecules on these areas.[75]

Page 448: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Chemical Vapor Deposition 451

In summary, two main reaction steps can be distinguished in tungstenCVD (see Fig. 7.34):

1. In the first step, elemental silicon will act as the predominatingreducing agent even if a large amount of hydrogen is used inthe reaction gas. This results in tungsten deposition onthose substrate regions where elemental silicon is exposedto the vapor. The reaction step includes an etching ofelemental silicon, i.e., silicon is consumed.

2 WF6(g) + 3 Si(s) → 2 W(s) + 3 SiF4(g)

Considering the stoichiometry of this reaction, about 200 Åsilicon is consumed for 100 Å tungsten deposited. Thetopography of the Si/W interface is affected by this reaction.The etching and hence the topography can be reduced by, forinstance, addition of SiF4 to the reaction gas mixture.[76]

2. In the second step another reducing agent, H2, has to takeover, since the tungsten film, and probably the tungstenfluoro polymer obtained, prevent the reactions between thevapor and the silicon.

Figure 7.34. The two reaction steps in selective tungsten CVD.

Page 449: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

452 Deposition Technologies for Films and Coatings

The chemical reactions in the first step are usually extremely fast anda thermodynamically controlled CVD process is obtained. In the secondprocess step, the deposition process was operated at a low supersaturationto avoid nucleation on the mask material (SiO2). The growth conditions in thesecond step are close to those existing in the area-selective epitaxydiscussed above and can be analyzed from thermodynamics. Thermodynam-ics has been used as a guide for prediction of trends in selectivity and substrateetching when the deposition conditions are changed. It has also been usedfor identification of plausible (and often undesired) side reactions as well as ofgaseous selectivity modifiers, improving selectivity.[9]

Adsorption-Induced Selective Growth. As discussed above, theheterogeneous nucleation on one of the substrate materials must besuppressed during a relatively long time in an ideal selective growthsystem. The incubation time for nucleation is influenced by many factors:temperature, substrate reactivity, adsorption, etc. Adsorbed moleculesmay reduce the rate of surface reactions and, in extremes, inhibit thenucleation completely. A concept of strongly adsorbed molecules to oneof the substrate materials was used to achieve area-selective growth ofboron carbide on a patterned substrate exposing areas of titanium andmolybdenum to the vapor.[77] Boron trichloride, ethylene, and hydrogenwere used as reactants and the deposition temperature was 1400 K. Theethylene molecules (or fragments of them) were preferentially and stronglyadsorbed on molybdenum and no nucleation of boron carbide wasobserved. On titanium, however, fast nucleation kinetics was obtained.The deposition was located only to those substrate areas having titanium.The boron carbide was amorphous and contained about 21 at% carbon.This illustrates that adsorbed molecules may act as masks and can beused to inhibit the deposition on desired substrate areas.

9.2 Phase-Selective Deposition

A new dimension in the field of selective growth is created in phase-selective growth. Phase-selective growth means that several phases areselectively and simultaneously deposited on desired substrate materials/areas. This might result in growth of, for instance, a semiconductor togetherwith an insulator, i.e., selectivity in properties is also obtained. Phase-selective deposition may be achieved in different ways. In this chapter, twoprinciples of phase-selective growth are discussed: phase-selective deposi-tion attained by differential nucleation behavior and by secondary processesin or on the growing film, respectively.

Page 450: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Chemical Vapor Deposition 453

Phase-Selective Deposition by Differential Nucleation Behavior.The initial substrate/vapor reactions and the nucleation kinetics are usuallydependent on the substrate material. This may result in nucleation of differentphases on different substrate materials. Provided that no secondaryprocesses like phase-transformation in the solid state occur in the film or thatno new phase is nucleated on top of the growing film, the originally nucleatedphases will continue to grow and a phase-selective deposition is obtained.

This principle was used for phase selective-growth of two boroncarbides: T1-BCx and B13C2. The substrate used was that obtained after thearea-selective growth of boron carbide described above, i.e., the substrateexposes molybdenum and amorphous boron carbide to the vapor. The vaporcontained boron trichloride, methane, and hydrogen and the growth tempera-ture was 1400 K. T1-BCx was obtained on the amorphous boron carbide whileB13C2 was grown on molybdenum. This phase-selective growth was attrib-uted to differential nucleation kinetics since no secondary processes wereobserved in or on the films.[78]

Phase-Selective Deposition Achieved by using Secondary Pro-cesses. Elemental boron has several crystalline polymorphs, and in additionto that, amorphous boron also exists. Phase-selective growth was studied inthis system by using the Ti/Mo patterned substrates described above. Fastnucleation was observed on both Ti and Mo. Amorphous boron was obtainedon Ti, while a-rhombohedral boron was grown on Mo. The boron grown on Ticontained a small amount of Ti (about 500 ppm) throughout the layers, whileno traces of Mo was detected in a-B (detection limit 1 ppm). The Ti stabilizesthe originally nucleated amorphous boron.[79] To obtain crystalline boron, aphase-transformation in the solid state is needed and such a transformationcan be assumed to have a high energy barrier to overcome. Amorphous boronmay also be obtained initially on Mo. However, an immediate phasetransformation is expected because of the deposition temperature used.Moreover, the film did not contain any substrate contaminants contributing toa stabilization of the amorphous boron. The morphology of the phase-selectively deposited boron is shown in Fig. 7.35. The amorphous boron ischaracterized by the rounded nodules.

10.0 SOME APPLICATIONS OF THE CVD TECHNIQUE

The CVD technique is known for its versatility in producing materials ofgreatly varying properties. This is illustrated by the examples given in theapplication list below.

Page 451: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

454 Deposition Technologies for Films and Coatings

! Microelectronics industries use CVD for growth of epitaxiallayers (vapor phase epitaxy, VPE) and for making filmsserving as dielectrics, conductors, passivation layers, oxida-tion barriers, etc. An emerging field is selective depositionof refractory metals and silicides for metallization in VLSI.

! Semiconductor lasers of GaAs/(Ga,Al)As and InP/(In,Ga)As.These substances are also used in microwave devices andsolar cells.

! Optical fibers for telecommunication. Optical fibers areproduced by coating the inside of a fused silica tube withoxides of silicon, germanium, boron, etc., for obtaining thecorrect refractive index profile. After the deposition the fusedsilica tube is collapsed to a rod and the rod is then drawn intoa fiber.

! Solar energy conversion by the utilization of selective absorbersand of dry solar cells of silicon and gallium arsenide.

! Wear resistant coatings have wide industrial applications.Coatings of TiC, TiN and Al

2O

3 on cemented carbide cutting-

tool inserts and of TiC on steels (punches, nozzles, freewheels, etc.) are well-known.

Figure 7.35. Phase-selective growth of amorphous boron (rounded nodules) anda-rhombohedral boron on a substrate exposing titanium and molybdenum.[79]

Page 452: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Chemical Vapor Deposition 455

! Friction reducing coatings for use in sliding and rollingcontacts, for example.

! Corrosion resistant coatings (Ta, Nb, Cr, etc.).

! Erosion resistant coatings (TiC, Cr7C3, B4C, etc.).

! Heat-resistant coatings (Al2O

3, SiC, Si

3N

4, etc.).

! Fibers for use in fiber-reinforced materials (fibers of boron,silicon carbide, boron carbide, etc.).

! Structural shapes (tubes, crucibles, heating elements, etc.)of, for instance, tungsten and silicon carbide.

! Decorative coatings of, for instance, TiN (gold color) onwatches.

11.0 OUTLOOK

CVD offers many advantages in thin film deposition. With the use of newprecursors, the deposition temperature can usually be lowered considerably.By lowering the total pressure, extremely sharp interfaces with respect tochemical composition and topography can be obtained. The atmosphericpressure CVD is attractive in many applications with its high deposition ratesand hence short process times. Since CVD processes are based oninterfacial chemistry, they are sensitive to contamination and load-locksystems must be used to keep the contamination level low. The selectivedeposition opens fascinating prospects for the future, not only for microelec-tronic applications but also for materials science in general, and for engineer-ing of interfaces and artificial materials.

Page 453: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

456 Deposition Technologies for Films and Coatings

REFERENCES

1. Lindström, J. N. and Schachner, H., Proc. of the 3rd European Conf. onCVD, (H. E. Hintermann, ed.), p. 208 (1980)

2. Jansson, U., Carlsson, J. O., Stridh, B., Söderberg, S., and Olsson, M.,Thin Solid Films, 172:81 (1989)

3. Claassen, W. A. P. and Bloem, J., J. Cryst. Growth, 51:443 (1981)

4. Zeleznik, F. J. and Goedon, S., Ind. Eng. Chem., 60:27 (1968)

5. van Zeggeren, F. and Storey, S. H., The Computation of ChemicalEquilibria, Cambridge University, Cambridge (1970)

6. Smith, W. R., Ind. Eng. Chem. Fundam., 19:1 (1980)

7. Smith, W. R. and Missen, R. W., Chemical Reaction Analysis, WileyInterscience, New York (1982)

8. Eriksson, G., Acta Chem. Scand., 25:2651 (1971)

9. Carlsson, J. O. and Hårsta, A., Thin Solid Films, 158:107 (1988)

10. Spear, K. E., Proc. of the 7th Int. Conference on CVD, (T. O. Sedgwickand H. Lydtin, eds.), p. 6, The Electrochemical Society, Pennington, NJ(1979)

11. Ottoson, M., Hårsta, A., and Carlsson, J. O., J. Electrochem. Soc.,138(3):778 (1991)

12. Stull, D. R., et al., JANAF Thermochemical Tables, Nat. Stand. Ref.Data Ser., NBS, 37 (1971)

13. Barin, I. and Knacke, O., Thermochemical Properties of InorganicSubstances, Springer, Berlin (1973)

14. Kubaschewski, O., Evans, E. Ll., and Alcock, C. B., MetallurgicalThermochemistry, Pergamon, Oxford (1967)

15. Ducarroir, M. and Bernard, C., J. Electrochem. Soc., 123:136 (1976)

16. Bessman, T. M. and Spear, K. E., ibid., 124:786 (1977)

17. Bernard, C., Proc. of the 8th Int'l. Conf. on CVD, (J. M. Blocher, Jr., G.E. Vuillard, and G. Wahl, eds.), p. 3, The Electrochemical Society,Pennington, NJ (1981)

18. Colmet, R., Naslain, R., Hagenmuller, P., and Bernard, C., ibid., p. 17(1981)

19. Vandenbulcke, L., ibid., p. 32 (1981)

20. Wang, C. F. and Spear, K. E., ibid., p. 54 (1981)

21. Boman, M. and Carlsson, J. O., Surf. Technol, 24:173 (1985)

Page 454: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Chemical Vapor Deposition 457

22. Boman, M. and Carlsson, J. O., in Proc. of the 9th Int'l. Conf. on CVD,(M. Robinsson, C. H. J. van den Brekel, G. W. Cullen, J. M. Blocher,Jr., and P. Rai-Choudhury, eds.), p. 150, The Electrochemical Society,Pennington, NJ (1984)

23. Spear, K. E., ibid., p. 81 (1984)

24. Carlsson, J. O., J. Vac. Sci. Technol., A6:1656 (1988)

25. Powell, C. F., Oxley, J. H., and Blocher, J. M., Jr., Vapor Deposition,John Wiley & Sons, Inc., New York (1966)

26. Yee, K. K., Int. Met. Reviews, 1:19 (1978)

27. Perry, A. J., Beguin, C., and Hintermann, H. E., in Proc. of the 3rdEuropean Conf. on CVD, (H. E. Hintermann, ed.), p. 131 (1980)

28. Ban, V. S. and Gilbert, S. L., J. Cryst. Growth, 31:284 (1975)

29. Sedgwick, T. O., Smith, J. E., Ghez, Rh., and Cowher, M. E., J. Cryst.Growth, 31:264 (1975)

30. J. Nishizawa, and M., Saito,in Proc. of the 8th Int'l. Conf. on CVD, (J.M. Blocher, Jr., G. E. Vuillard, and G. Wahl, eds.), p. 113, TheElectrochemical Society, Pennington, NJ (1981)

31. Bird, R. B., Stewart, W. E., and Lightfoot, E. N., Transport Phenomena,John Wiley & Sons, Inc., New York (1960)

32. Westphal, G., J. Cryst. Growth, 65:105 (1983)

33. Jensen, K. F., Proc. of the 9th Int. Conf. on CVD, (M. Robinsson, C. H.J. van den Brekel, G. W. Cullen, J. M. Blocher, Jr., and P. Rai-Choudhury, eds.), p. 3, The Electrochemical Society, Pennington, NJ(1984)

34. Wahl, G., Thin Solid Films, 40:13 (1977)

35. Wahl, G. and Hoffman, R., Rev. Int. Hautes Temp. Refract., 17:7 (1980)

36. Schlichting, H., Boundary Layer Theory, 6th ed., McGraw-Hill, NewYork (1968)

37. Eversteijn, F. C., Severin, P. J. W., van den Brekel, C. H. J., and Peek,H. L., J. Electrochem. Soc., 117:925 (1970)

38. Talbot, L., Cheng, R. K., Schefer, R. W., and Willis, D. R., J. FluidMech., 101:737 (1980)

39. Giling, L. J., J. Electrochem. Soc., 129:634 (1982)

40. Hwang, G. J. and Cheng, K. C., J. Heat Transfer, Trans ASME, 95:72(1973)

Page 455: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

458 Deposition Technologies for Films and Coatings

41. Coltrin, M. E., Kee, R. J., and Miller, J. A., Proc. of the 9th Int'l. Conf.on CVD, (M. Robinsson, C. H. J. van den Brekel, G. W. Cullen, J. M.Blocher, Jr., and P. Rai-Choudhury, eds.), p. 31, The ElectrochemicalSociety, Pennington, NJ (1984)

42. Rosenberger, F., Fundamentals of Crystal Growth, Springer, New York(1979)

43. Carlsson, J. O., J. Less-Common Metals, 70:97 (1980)

44. Claassen, W. A. P. and Bloem, J., J. Electrochem. Soc., 50, 807,(1980)

45. Venables, J. A. and Price, C. R., Epitaxial Growth, (J. W. Matthews,ed.), p. 381, Academic Press, New York (1975)

46. Chernov, A. A., J. Cryst Growth, 42:55 (1977)

47. Carlsson, J. O., Proc. of the 3rd European CVD Conference, (H. E.Hintermann, ed.), p. 10 (1980)

48. Venables, J. A., Phil. Mag., 27:698 (1973)

49. Claassen, W. A. P. and Bloem, J., J. Electrochem. Soc., 127:1836(1980)

50. Hirth, J. P. and Pound, G. H., Condensation and Evaporation, MacMillan,New York (1963)

51. Walton, D., J. Chem. Phys., 37:2182 (1962)

52. Vega-Boggio, J., Vingsbo, O., and Carlsson, J. O., J. Mater. Sci.,12:1750 (1977)

53. van den Brekel, C. H. J. and Jansen, A. K., J. Cryst. Growth, 43:364(1978)

54. Blocher, Jr., J. M., J. Vac. Sci. Technol, 11:680 (1974)

55. Eherlich, D. J. and Tsao, J. Y., ibid., B1:969 (1983)

56. Chin, B. H. and Ehrlich, G., Appl. Phys. Lett., 15:253 (1981)

57. Matsui, S. and Mori, K., J. Vac. Sci. Technol., B4:299 (1986)

58. Kunz, R. R., Allen, T. E., and Mayer, T. M., ibid., B5:1427 (1987)

59. Melngailis, J., ibid., B5:469 (1987)

60. Kato, T., Morimoto, H., Saitoh, K., and Nakata, H., ibid., B3:50 (1985)

61. Higashi, G. S., Blonder, G. E., and Fleming, C. G., “Photon, beam, andplasma stimulated chemical processes at surfaces”, (V. M. Donelly, I.P. Herman, and M. Hirose, eds.), 75:117, Materials Research Society,Pittsburgh, PA (1987)

62. Joyce, B. D. and Baldrey, J. A., Nature, 195:485 (1962)

Page 456: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Chemical Vapor Deposition 459

63. Borland, J. O., Proc. of the 10th Int'l. Conf. on CVD, (G. W. Cullen andJ. M. Blocher, Jr., eds.), p. 307, The Electrochem. Soc., Pennington,NJ (1987)

64. Jastrzebski, L., J. Cryst. Growth, 63:493 (1983)

65. Jastrzebski, L., Corboy, J. F., McGinn, J., Pagliaro, R., Jr., J.Electrochem Soc., 130:1571 (1983)

66. Tausch, F. W. Jr. and Lapierre, A. G., III, ibid., 112:706 (1965)

67. Furumura, Y., Mieno, F., Nishizawa, T., Maeda, M., ibid., 133:379(1986)

68. Carlsson, J. O., Proc. of 13th Nordic Semiconductor Meeting, (M.Östling, ed.), p. 298, The Royal Institute of Technology, Stockholm(1988)

69. Carlsson, J. O., Unpublished

70. Green, M. L., Borland, J. O., Proc. of the 10th Int'l. Conf. on CVD, (G.W. Cullen and J. M. Blocher, Jr., eds.), p. 603, The Electrochem. Soc.,Pennington, NJ (1987)

71. Lami, P. and Pauleau, Y., J. Electrochem. Soc., 135:980 (1988)

72. Pauleau, Y., Lami., P., Tissier, A., Panyel, R., and Oberlin, J. C., ThinSolid Films, 143:259 (1986)

73. Green, M. L., Ali, Y. S., Boone, T., Davidsson, B. A., Feldman, L. C.,and Nakahara, S., J. Electrochem. Soc., 134:2285 (1987)

74. Lifshitz, N., Appl. Phys. Lett., 51:967 (1987)

75. McConica, C. M. and Krisnamani, K., J. Electrochem. Soc., 133:2542(1986)

76. Levy, R. A., Greene, M. L., Gallagher, P. K., and Ali, Y. S., J.Electrochem. Soc., 133:1905 (1986)

77. Jansson, U. and Carlsson, J. O., J. Vac. Sci. Technol., A6:1733 (1988)

78. Jansson, U., Carlsson, J. O., Markert, L. M., and Greene, J. E.,Submitted to J. Vac. Sci. Technol., A7(6):3172 (1989)

79. Jansson, U., Carlsson, J. O., Markert, L. M., and Greene, J. E., J. Vac.Sci. Technol., A9(2):266 (1991)

Page 457: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

460

8

Plasma-Enhanced Chemical VaporDeposition

Arthur Sherman

1.0 INTRODUCTION

Chemical vapor deposition is the process of thin film formation on a solidsurface by the heterogeneous reaction between a reacting gas and a hotsurface.[1] In some instances, the temperatures necessary to achieveacceptable deposition rates can be too high to be useful. For example,titanium nitride deposition at acceptable rates from a gas mixture of TiCl4, N2

and H2 requires temperatures on the order of 1000ºC. This is a disadvantagewhen the film is being used to provide a hard surface on tool steel, since thistemperature is higher than the steel’s softening temperature, and control ofcritical dimensions cannot be maintained. Similarly, silicon nitride is anexcellent passivation and barrier layer for integrated circuits. However, theprocess of deposition from SiCl2H2 and NH3, again at acceptable rates,requires temperatures as high as 900ºC. This clearly cannot be used overaluminum metallization with a melting point of 660ºC. Finally, it is alsoimportant to lower deposition temperatures because of the reduction incritical dimensions in VLSI integrated circuits (very large-scale ICs). Inthis instance, diffused layers become quite thin (1000 - 2000 Å), and theycannot be maintained when the wafer has to be heated to a temperaturewhich is too high.

Page 458: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasmas in Deposition Processes 461

The requirement for lower deposition temperatures can sometimes bemet by using different gaseous reactants such as TiCl4 and NH3 to deposittitanium nitride at ~600ºC.[2] However, the number of such choices is limited.An alternative would be to create an electrical discharge in the reactantgases.[3] This will produce a significant number of free radicals (i.e., SiH4 →SiH2, SiH and NH3 → NH, NH2, etc.), and these will be much more reactiveat lower surface temperature.

All plasma-enhanced CVD reactors operate with low pressure discharges(glow discharges) sustained by RF. This is the preferred approach since ahigh-volume, uniform discharge with high electron energy can be created thatcan dissociate a significant fraction of the reactive gases. Since reactor wallswill be in contact with the plasma, it will be necessary to evaluate theirinfluence on the discharge behavior.

In addition to the deposition of PECVD silicon nitride at moderatetemperatures (~350ºC), many other films can be deposited by this technique.Silicon dioxide is often deposited by PECVD, in spite of the fact that it can bedeposited thermally at 400ºC. This points to the other reason for usingPECVD—that it is possible to vary the stoichiometry of the resulting film. Thisis much more difficult with a strictly thermal process. Similarly, PECVDamorphous silicon has a substantial amount of hydrogen in the film (30 - 40%), and as a result, it can be used to fabricate solar cells. Other materials thatcan be deposited by this technique include refractory metals, refractory metalsilicides, and aluminum.

2.0 REACTOR INFLUENCE ON PLASMA BEHAVIOR

Since we are always dealing with plasmas confined within a reactorchamber, we must study the nature of plasma-surface interactions. Initiallywe discuss the characteristics of AC and DC discharges. Then what happenswhen the two electrodes are of unequal size is covered. Finally, we analyzethe effects of different frequencies on the operation of an AC discharge.

2.1 DC/AC Glow Discharges

Using an applied DC voltage, a nonuniform glow discharge is created ina low pressure gas (~1 Torr), as shown in Fig. 8.1.[4] A sheath is formed

Page 459: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

462 Deposition Technologies for Films and Coatings

next to the cathode where few collisions occur and charge neutrality is notobeyed. In this region, ions are accelerated toward the cold cathode, and uponstriking emit secondary electrons. These secondary electrons sustain thedischarge. An alternative would be to use a hot cathode which serves as athermionic emitter. The sheath includes the Aston, Crookes and Faraday darkspaces as well as the cathode and negative glows. This region has a netpositive charge because of the excess of ions there. The positive columnshows no net space charge, so this is the true plasma. It’s electrical resistivityis low, so only a weak electrical field is necessary to establish a current flow.Ions and electrons recombine to neutral atoms in this region, either by gasphase recombination or diffusion to the tube walls. They are regenerated byelectron impact ionization.

A potential difference is established between the positive column and thetube wall, because the highly mobile electrons tend to flow rapidly out to anysurface, while the heavy ions remain immobile. This creates a negativepotential on the wall which hinders further electron outflows. A sheath formsnext to the wall which has a deficit of electrons and therefore a positive netcharge. The ions in the plasma see the negative wall potential, however, andare attracted to the wall. This ion diffusion to the walls is referred to asambipolar diffusion.

When the glow discharge of Fig. 8.1 is operated under AC conditions(below 10 kHz), two dark spaces are observed. We have essentially createdDC discharges of alternating polarity, since there is time between cycles forthe discharge to extinguish at low frequencies. Depending on geometry andgas, the starting of an AC discharge depends on frequency and pressure.[5]

Finally, when an AC discharge is set up with a blocking capacitor between thepower supply and one of the electrodes, that electrode has a negative self-bias.This causes ions to accelerate toward this electrode at high energies, andplasma etching for ICs uses this phenomena to create anisotropic etches.[6]

Figure 8.2 provides an excellent explanation of why a negative self-biasforms.[7] In the figure on the left, a conducting probe is placed in a plasmawhere a positive voltage causes a large current flow. A negative voltageproduces only a small current because the ions cannot move readily. Thisproduces a zero self-bias. When the probe is attached to a capacitor, thefigure on the right shows what happens. The average applied voltage must benegative to satisfy the condition of no average current flow. Therefore, anegative bias forms.

Page 460: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasmas in Deposition Processes 463

Figure 8.1. A DC glow discharge at low pressure.[4]

Page 461: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

464 Deposition Technologies for Films and Coatings

2.2 AC Discharges with Unequal Area Electrodes

Next, we can evaluate the situation that occurs when one electrode islarger or smaller than the other, as shown in Fig. 8.3. If it is assumed that theion current density to all internal surfaces is the same, then a relationshipbetween V1/V2 and A1/A2 can be derived.[8]

Eq. (1)

4

1

2

2

1

=

A

A

V

V

Some experimental work has been done to examine the validity of Eq. (1).[9]

Here peak-to-peak and AC bias voltages were measured in a 13.56-MHz glowdischarge. Results showed that voltage ratios depended on the electrode arearatios as well as the electrode material, the gas, the pressure and the peak-to-peak voltages. Some of these results are shown in Fig. 8.4 for argon in astainless steel chamber operated at 50 mTorr with a peak-to-peak voltage of600 V.

Under these conditions, V1/V2 = (A1/A2)n is a fair representation of thedata, but n ≅ 4 for only 0.6 < A1/A2 < 1.0 Smaller ratios give n ≅ 1. From theseresults, we conclude that the DC bias that will appear on the electrode carryingwafers will depend on the electrode configuration. It can also be a function ofthe frequency used, as discussed next.

Figure 8.2. Creation of negative self-bias in AC discharge.[7]

Page 462: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasmas in Deposition Processes 465

Figure 8.3. Reactor with unequal size electrodes.

Figure 8.4. Voltage ratio versus area ratio for argon plasma.[9]

Page 463: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

466 Deposition Technologies for Films and Coatings

2.3 Frequency Effects on RF Plasma Reactor Behavior

The prevailing wisdom is that lower frequency discharges (i.e., 50 kHz)will yield films with greater compressive stress because ion bombardment ismore intense. Recent experiments have confirmed this effect.[10] They weredone in a parallel-plate reactor configuration with one electrode having a holein it. Then ions passing through this hole were electrostatically retarded, andthe cutoff voltage measured. This voltage as a function of power level andfrequency is shown in Fig. 8.5.[10]

Figure 8.5. Cl2 plasma beam maximum ion energy. Circles = 27 MHz; triangles= 100 kHz; solid = Cl2*; open = Cl*.[10] (Reprinted by permission of the publisher,The Electrochemical Society, Inc.)

Page 464: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasmas in Deposition Processes 467

One explanation of why the lower frequency produces more intense ionbombardment is that the sheath potential is higher. Then, since the electronsare lighter, they diffuse out of the plasma first and the electrode assumes anegative bias. For higher frequencies, however, there is less time for electronsto diffuse out between cycles, so there is less need for a strong negative biasto form. A weaker negative bias means lower energy ions at the electrodesurface.

Alternately, we recognize that the plasma potential varies with time.[12]

When an ion can cross the entire sheath before a reversal of the applied field,it sees the maximum sheath potential. For higher frequencies, the ion doesnot make it all the way across before the applied field reverses, so it sees onlythe average sheath potential or 1/3 the maximum.

2.4 Adjusting DC Bias for Fixed Electrode Geometry

Since changing the electrode geometry of a PECVD reactor is a majorhardware modification, it would be desirable to change the DC bias on theelectrode holding the wafers by altering the RF power to the system. This canbe done either by inserting a variable LC circuit between this electrode andground[13] or by powering each electrode with separate power supplies.[14]

This latter arrangement is shown in Fig. 8.6. By using a low frequency (50 -400 kHz) on the lower electrode and a high frequency on the upper one (13.56MHz), a stable discharge is created along with the ability to control the DC biason the lower electrode. As discussed in Sec 3.1, this arrangement permitscontrol of film stress, density, step coverage and stoichiometry.

2.5 Plasma-Enhanced CVD (PECVD) Reactors

There are three well-known methods of creating plasmas for thin filmdeposition. In one, a pair of electrodes are placed in a low pressure gas, andeither an AC or a DC voltage applied to create a glow discharge. If the film beingdeposited is a nonconductor, only AC will work, so it is generally used. Asecond approach uses a coil wound around a nonconducting tube containingthe gas. Then an AC field excites strong fields inside the tube and a dischargecan be created. Finally, a pair of electrodes can be placed, one on each sideof a dielectric tube filled with gas, and again an AC voltage applied. This alsoproduces a strong field inside the tube, and a discharge can be created.

Page 465: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

468 Deposition Technologies for Films and Coatings

Figure 8.6. Dual frequency RF configuration.[14]

Cold-Wall, Parallel-Plate PECVD Reactors. The original reactor ofthis type is shown in Fig. 8.7. It has circular symmetry and the wafers sit ona heated platen. Reactants are introduced at the outer edge and exhausteddown the center. The theory was that there would be a stronger discharge inthe center, and that this would be offset by a shorter residence time as gasesflowed over the platen, leading to a more uniform deposition.

Another version that introduced gases at the center was developed byApplied Materials[16][17] and is shown in Fig. 8.8. To improve uniformity ofdeposition, the platen is rotated so it must be heated by radiation. For thisdesign, the platen is grounded and typically operates at ~325°C, and a 50 kHzpower supply is used at 500 - 1000 watts. For 4 inch wafers, a load size of22 wafers is practical so that good throughput is achieved.

Hot-Wall, Parallel-Plate PECVD Reactors. The PECVD reactors justdescribed operate with cold walls to minimize deposition on the reactor.However, this configuration limits the number of wafers in a single load, andtherefore the throughput. Another approach would be to arrange long paralleland narrow electrodes so that they could fit into a hot tube, such as a diffusionfurnace; then batch size could be much larger. Such an arrangement is shownin Fig. 8.9.

Page 466: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasmas in Deposition Processes 469

Figure 8.7. Radial-flow, plasma-enhanced CVD reactor after Reinberg.[15]

Page 467: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

470 Deposition Technologies for Films and Coatings

Figure 8.8. Radial-flow, plasma-enhanced CVD reactor.[16]

Page 468: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasmas in Deposition Processes 471

Five rectangular electrodes are positioned down the length of a quartztube and held equidistant from each other. Wafers sit in pockets in theseelectrodes, which are alternately powered by 400 kHz power. A load of 84 4-inch wafers can be run in this system compared to 22 in the cold-wall system,so throughput is higher.

Electron Cyclotron Resonance (ECR) CVD Reactor. Finally, electroncyclotron resonance[18] has been used with 2.45 GHz power to operate a cold-wall, single-wafer PECVD system such as that shown in Fig. 8.10. Thesystem operates at low pressures (~1 mTorr) with a solenoidal magnetic fieldused to create the resonance condition. In this case, a very high degree ofionization is created away from the wafer, and since the pressure is low, thehigh number density of free radicals created persist until the plasma reachesthe wafer. This prevents undesirable wafer bombardment during deposition.

Figure 8.9. Hot-wall, parallel-plate reactor for plasma-enhanced CVD. (Courtesyof Pacific Western Systems, Inc.)

Page 469: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

472 Deposition Technologies for Films and Coatings

3.0 FILMS DEPOSITED BY CVD

In the present section, we review some of the films that can be depositedby PECVD, with particular emphasis on those that are commercially importantfor fabrication of integrated circuits. Therefore, we concentrate on siliconnitride and silicon dioxide films. Amorphous silicon films are also depositedby PECVD, but they are used commercially in the manufacture of solar cells(not in the IC industry). Many other films can be deposited by PECVD,including conducting films, and these are reviewed briefly. More details areavailable elsewhere.[1]

3.1 Silicon Nitride

PECVD of silicon nitride has generally been done using SiH4 as the silicon-bearing reactant and some combination of NH3, N2 and H2 with one of severalinert gases as diluents. The reactors used are all capacitively coupled and runat RF frequencies. For a particular reactor configuration, some of the parametersgoverning the film are: (i) operating pressure, (ii) operating temperature, (iii)discharge frequency, and (iv) reactant gas mixture.

Figure 8.10. ECR (Electron Cyclotron Discharge) reactor for plasma-enhancedCVD (after Matsuo[18]).

Page 470: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasmas in Deposition Processes 473

The film quality obtained will be related to: (i) stoichiometry, (ii) H2 content, (iii)impurities, (iv) density, and (v) stress. The first three items relate to the filmchemistry, and it is an interesting feature of such films that their compositioncan be controlled far more easily than is possible with a thermal process. Thefilm density and stress relate to the mechanical behavior of the film, andtherefore to its effectiveness as a diffusion barrier.

Most of the development of PECVD silicon nitride has been done insystems such as those shown in Figs. 8.8 and 8.9 operated at a single lowfrequency. For the cold-wall system of Fig. 8.8, a frequency of 50 kHz isgenerally used. The hot-wall system of Fig. 8.9 has usually been operated at400 kHz. More recently, silicon nitride films have been deposited in cold-wallsystems operated at dual frequencies.

When the reactor of Fig. 8.7 is operated at 50 kHz, 200 mTorr, gas flowsof SiH4/NH3/N2 = 140/270/800 sccm, at 500 watts, useful films are deposited.In Table 8.1, we compare these films to ones deposited thermally. Similarexperiments carried out in a hot tube version reactor (see Fig. 8.8) yielded dataon film quality as a function of several operating parameters.[20] For example,stress depends on wafer temperature, RF frequency, and gas pressure asshown in Fig. 8.11. For each of the three curves, the conditions were asspecified below:

Pressure: T = 300°C f = 310 kHzSiH4/N2/NH3 = 100/300/1100 sccm

Frequency: T = 300°C P = 130 PaSiH4/N2/NH3 = 100/700/700 sccm

Temperature: p = 130 Pa f = 310 kHzSiH4/N2/NH3 = 100/200/1200 sccm

In general, a slight compressive stress in the film is the preferred condition. Afilm with high tensile stress will tend to crack, and one with high compressivestress will tend to delaminate. For this film and this system, it is best to staybelow 4 MHz in frequency and 600°C in temperature. Since these siliconnitride films are used to passivate over aluminum, temperatures well below500°C are preferred; and this can lead to compressive stress which is too high.Increasing the pressure can also lower the compressive stress, but this maylead to unacceptable film uniformity in a batch reactor environment.

Page 471: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

474 Deposition Technologies for Films and Coatings

Table 8.1. Physical and chemical properties of CVD and PECVD siliconnitride films.[19]

Property High Temp. Nitride Plasma Dep. Nitride900°C 300°C

Composition Si3N4 SiNxSi/N Ratio 0.75 0.8 - 1.0

Solution Etch RateBuffered HF 20-25°C 10 - 15 Å/min 200 - 300 Å/min49% HF 23°C 80 Å/min 1500 - 3000 Å/min85% H3PO4 155°C 15 Å/min 100 - 200 Å/min85% H3PO4 180°C 120 Å/min 600 - 1000 Å/min

Plasma Etch Rate82% CF4-8% O2, 700 W 600 Å/min 1000 Å/min

Na+ Penetration <100 Å <100 Å

IR AbsorptionSi-N max. ~830 cm-1 ~830 cm-1

SiH minor - 2,200 cm-1

Density 2.8 - 3.1 g/cm3 2.5 - 2.8 g/cm3

Refractive Index 2.0 - 2.1 2.0 - 2.1

Dielectric Constant 6 - 7 6 - 9

Dielectric Strength 1 x 107 V/cm 6 x 106 V/cm

Bulk Resistivity 1015 - 1017Ω-cm 1015 Ω-cm

Surface Resistivity >1013 Ω-cm 1 x 1013 Ω-cmIntrinsic Stress 1.2 - 1.8 x 1010 dyn/cm2 1 - 8 x 109 dyn/cm2

Tensile Compressive

Thermal Expansion 4 x 10-6/°C -

Color, Transmitted None Yellow

Step Coverage Good Conformal

H2O Permeability Zero Low - None

Another very important feature of PECVD silicon nitride films is thehydrogen content, which can be as high as 40%. For those systems operatedat a single frequency, the hydrogen content of the film varies strongly withtemperature and gas mixture, as shown in Fig. 8.12.[21] As can be seen,removing the NH3 from the gas mixture produces a lower hydrogen content.Similarly, increasing the temperature of deposition sharply reduces the H2.

Page 472: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasmas in Deposition Processes 475

As circuit parameters have become more extreme with the advent of VLSIand ULSI circuits, the demands on PECVD silicon nitride films have increased.An excellent review of problems in passivation, such as its influence onaluminum voiding, electromigration, hillock formation, hot carriers, etc. hasbeen published recently.[22]

One way to deal with these new requirements is to use a PECVDreactor operated with dual frequency, such as the one shown in Fig. 8.6.[14]

The use of this feature allows considerably more flexibility in film deposition

Figure 8.11. Stress in silicon nitride films as functions of pressure, frequency andtemperature.[20] (Reprinted by permission of the publisher, The ElectrochemicalSociety, Inc.)

Page 473: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

476 Deposition Technologies for Films and Coatings

and is, in effect, another available system parameter to be adjusted. The effectof the high frequency is to produce a more stable discharge, while the lowfrequency increases the ion bombardment. For example, the stress can bechanged from tensile to compressive simply by adjusting the ratio of lowfrequency/high frequency power. For a constant power level of 0.4 watts/cm2,the film stress is shown in Fig. 8.13. Also, even though the total hydrogencontent of the film may be the same, it is possible to vary the Si-H vs. N-Hbonds. Figure 8.14 shows that the Si-H bonds can be dramatically reducedat higher percentages of low frequency power. Apparently, the Si-H bond ismuch weaker than the N-H bond, so that in films where there is a large amountof Si-H, it is more likely that the hydrogen atoms will be mobile in the circuit.This can cause hot carrier problems as noted earlier.[22]

Figure 8.12. Hydrogen % versus deposition temperature for films deposited withSiH4 + NH3, SiH4 + N2 and SiH4 + NH3 + N2 (one point).[21]

Page 474: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasmas in Deposition Processes 477

Figure 8.13. Film stress as a function of percent of low frequency power.[14]

Figure 8.14. Si-H and N-H content of PECVD silicon nitride as a function of percentof low frequency power.[14]

Page 475: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

478 Deposition Technologies for Films and Coatings

3.2 Silicon Dioxide

PECVD oxide can be grown from a number of reactants. Most work hasbeen done with SiH4 plus any one of a number of oxidizers such as O2, CO2,N2O. Because of the potential for gas phase nucleation, O2 is generallyavoided; the most commonly studied system is SiH4 + N2O.[17] Morerecently, there has been considerable interest in the use of TEOS(tetraethoxysilane) plus O2, because of the improved step coverage possiblewith this system.[23]

To illustrate the process, we can review the SiH4 + N2O PECVD processcarried out in a cold-wall parallel-plate reactor (Fig. 8.8) at 57 kHz, 400 mTorrand 300°C. Typical results are listed in Table 8.2, where they are comparedto similar results for PECVD silicon nitride. Observe that although the powerlevel used for oxide is one-third that for nitride, the deposition rate is twice ashigh. Probably, the most significant finding is that there can be nitrogen aswell as hydrogen in this film. In fact, adjusting the film stoichiometry andcreating only nitrides is one of the most useful features of such a system.

Table 8.2. Plasma oxide and nitride characteristics.[17]

Silicon Dioxide Silicon Nitride

Gases SiH4 + N2O SiH4 + NH3 + N2

% SiH4 2% 9%

% N2O, NH3 resp. 98% 45%

RF Power Density 0.05 W/cm2 0.17 W/cm2

RF Frequency 57 kHz 57 kHz

Operating Pressure 53 Pa 33 Pa

Substrate Temperature 300°C 300°C

Deposition Rate 60 nm/min 38 nm/min

Film Uniformity ± 5% ± 4%

Film Composition SiO1-9N0-15 Si3-2N4(H)

Refractive Index 1.54 2.02

Film Density 2.38 g/cm3 2.75 g/cm3

Etch Rate (B.O.E.) 130 nm/min 20 nm/min

Etch Rate (CF4 + O2 plasma) 10 nm/min 150 nm/min

Page 476: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasmas in Deposition Processes 479

For example, we can adjust the dielectric constant over a range of 3:1by changing the gas phase ratio of N2O to silane, as shown in Fig. 8.15. Theseexperiments were carried out again in a parallel-plate cold-wall reactor at 600mTorr and 350°C at a high frequency, 13.56 MHz.[24] Increasing the siliconcontent of the film appears to increase the dielectric constant.

As noted earlier, one of the most perplexing problems associated withPECVD of silicon oxide films is the fact that the films tend to be nonconformal.Therefore, when covering high aspect ratio holes, the tendency is for the filmto close over, leaving a hole behind as shown in Fig. 8.16.[23] The far moreconformal coverage of the TEOS-based film is either due to a larger mean-freepath for diffusion[23] or a much lower reactive sticking coefficient.[25]

Figure 8.15. Dielectric constant as a function of flow ratio (N2O/SiH4).[24]

Page 477: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

480 Deposition Technologies for Films and Coatings

Figure 8.16. SEM cross section micrographs of plasma oxide deposited from (a)silane and (b) TEOS for an aspect ratio of 0.74 (aspect ratio = metal height/metalspace)[23]

The process conditions for the PECVD TEOS films are shown in Table8.3, and the film properties are reported in Table 8.4. It is interesting to notethat these depositions were done at 13.56 MHz in a cold-wall reactor.[23] Also,in spite of the film deposited from TEOS, there is very little carbon contaminationof the film.

Page 478: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasmas in Deposition Processes 481

Table 8.3. Plasma TEOS Processing Conditions

Gas Composition TEOS + O2

TEOS Flow 35 sccm

O2/TEOS Ratio 20:1

Operating Pressure 2 Torr

RF Frequency 13.56 MHz

RF Power 0.5 watts/cm2

Substrate Temperature 400°C

Deposition Rate 2050 Å/min

Table 8.4. Dielectric Film Properties

Thickness Uniformity ±1.5%

Stoichiometry Si:O = 1.0:2.0 (RBS)

Carbon Content <0.2 atom % (SIMS)

Refractive Index 1.45 ± 0.01

Stress 1.5 x 109 dynes/cm2

Etch Rate (7:1 BOE) 2200 Å/min

Breakdown Voltage 6 - 7 MV/cm

Fixed Charge, QF <2.5 x 1011 cm-2

Mobile Ions, QM <6 x 1010 cm-2

3.3 Conducting Films

The silicon nitride and oxide films just discussed are the only PECVDfilms currently being used commercially in integrated circuit manufacture.There are, however, many materials that can be deposited by this technique.These include other dielectrics, semiconductors (polysilicon, epi-silicon) aswell as conductors. The latter may eventually be of commercial significance,so it is of value to summarize some of the more interesting studies that havebeen done. Table 8.5 lists some of the more interesting PECVD conductingfilms.

Page 479: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

482 Deposition Technologies for Films and Coatings

Table 8.5. Conducting films deposited by PECVD

Material Reactants Temperature Pressure Frequency References

(°C) (mTorr) (MHz)

W WF6,H2 350 200 4.5 26

WSi2 WF6,SiH4 230 600 13.56 27

Mo MoCl5,H2 350 1000 - 28

MoSi2 MoCl5,H2 400 1000 - 28

TaSi2 TaCl5,SiH2Cl2 580 1500 3.5 29

TiSi2 TiCl4,SiH4 450 750 0.05 30

Al Al(CH3)3 - 200 13.56 31

TiN TiCl4,N2,H2 400 3000 13.56 32

TiCl4,NH3 400 1000 12.0 33

In general, if temperature of deposition is a critical issue, then PECVDmay be a viable approach to metal CVD. However, the films are likely to havea higher level of impurities compared to the thermal CVD ones, and this mayprove a significant limitation.

REFERENCES

1. Sherman, A., Chem. Vapor Deposition for Microelectronics, NoyesPublications, Park Ridge, NJ (1987)

2. Sherman, A., J. Electrochem. Soc., 137:1892 (1990)

3. Sherman, A., Thin Solid Films, 113:135 (1984)

4. Brown, S. C., Basic Data of Plasma Physics, John Wiley & Sons, NewYork (1959)

5. Brown, S. C., Handbuch der Physik., Vol. 22, (S. Flugge, ed.), Springer-Verlag (1956)

6. Thornton, J. A., Deposition Technologies for Films and Coatings, (R. F.Bunshah, ed.), Noyes Publications, Park Ridge, NJ (1982)

7. Butler, H. S., and Kino, G. S., Phys. Fluids, 6:1346 (1963)

8. Koenig, H. R., and Maissel, L. I., IBM J. Res. Develop., 14:168 (1970)

9. Horwitz, C. M., J. Vac. Sci. Technol., A1:60 (1983)

Page 480: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasmas in Deposition Processes 483

10. Smith, D. L. and Bruce, R. H., J. Electrochem. Soc., 129:2045 (1982)

11. Bruce, R. H., J. Appl. Phys., 52:7064 (1981)

12. Bruce, R. H., Proc. of the Symp. on Plasma Etching and Deposition, 81-1:243 (1981)

13. Logan, J. S., IBM J. Res. Develop., 14:172 (1970)

14. Van de Ven, E. P., Connick, I-W. and Harrus, A. S., Proceeding of the7th Internat. VLSI Multilevel Interconnection Conf., IEEE, New York,(1990)

15. Reinberg, A. R., Radial Flow Reactor, U.S. Patent 3,757,733, (Sept. 11,1973)

16. Rosler, R. S., Benzing, W. C., and Baldo, J., Solid State Technology,19(6):45 (1976)

17. Van de Ven, E. P. G. T., Solid State Technology, 24(1):167 (1981)

18. Matsuo, S. and Kiuchi, M., Proc. Symp. on Very Large-Scale IntegrationScience and Technology, p. 83, Electrochem. Soc., Pennington, NJ(1982)

19. Hollahan, J. R., Wauk, M. T., and Rosler, R. S., Proceedings of the 6thInternational Conf. on Chemical Vapor Deposition, (L. F. Donaghey, P.Rai-Choudhury and R. N. Tauber, eds.), p. 224, Electrochem. Soc.,Pennington, NJ (1977)

20. Claasen, W. A. P., Valkenburg, W. G. J. N., Willemsen, M. F. C., andv.d. Wijgert, W. M., J. Electrochem. Soc., 132:893 (1985)

21. Chow, R., Lanford, W. A., Ke-Ming, W., and Rosler, R. S., J. Appl. Phys.,53:5630 (1982)

22. Harrus, A. S., and Van de Ven, E. P., Semiconductor International, p.124, (May 1990)

23. Chin, B. L., and Van de Ven, E. P., Solid State Technology, p. 119 (April1988)

24. Yokoyama, S., Dong, D. W., DiMaria, D. J., and Lai, S. K., J. Appl.Phys., 54:7058 (1983)

25. Cheng, L-Y, McVittie, J. P., and Seraswat, K. C., ULSI Science andTechnology, (C. M. Osburn, and J. M. Andrews, eds.), 89-9:586,Electrochem. Soc., Pennington, NJ (1989)

26. Hess, D. W., Proc. of the Matl. Res. Soc. Symp., Vol. 38 (1985)

27. Akitomoto, K., and Watanabe, K., Appl. Phys. Lett., 39:445 (1981)

28. Tabuchi, A., Inoue, S., Maeda, M., and Takagi, M., Proc. 23rd Symp. onSemicond. and IC Tech. of Japan, p. 60 (1982)

Page 481: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

484 Deposition Technologies for Films and Coatings

29. Hieber, K., Stoltz, M., and Wieczorek, C., Proceedings of 9th InternationalConf. on Chem. Vapor Dep., (McD. Robinson, G. W. Cullen, eds.), 84-6:205, Electrochemical Society, Pennington, NJ, (1984). This figure wasoriginally presented at the Spring 1984 Meeting of the ElectrochemicalSociety, Inc. held in Cincinnati, OH.

30. Rosler, R. S., and Engle, G. M., J. Vac. Sci. and Technol., 82(4):733(1984)

31. Ito, T., Sugii, T. and Nakamura, T., Digest of Papers of 1982 Symp. onVLSI Technol., IEEE, New York (1982)

32. Jang, D. H., and Chun, J. S., J. Vac. Sci Technol., A7(1):31 (1989)

33. Hilton, M. R. et al., Thin Solid Films, 139:247 (1986)

Page 482: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

485

9

Plasma-Assisted Vapor DepositionProcesses: Overview

Rointan F. Bunshah

1.0 INTRODUCTION

The previous chapters on Evaporation Deposition, Sputter Deposition,Ion Plating, Chemical Vapor Deposition, and Plasma-Assisted ChemicalVapor Deposition have covered all the major vapor deposition technologies. Aperusal of these chapters shows that plasma-assisted vapor deposition is veryimportant and extensively utilized for the deposition of compounds and noveltechnological materials as illustrated below.

Compounds

Oxides - TiO2, ZrO2, Al2O3, SiO2, Y2O3, etc.

Nitrides - TiN, ZrN, HfN, (Ti, Al)N, Ti, Zn)N, etc.

Carbides - TiC, ZrC, HfC, TaC, WC, W2C, etc.

Carbo-nitrides - TixCyNz, ZrxCyNz, etc.

Sulfides - TiS2, MoS2, MoS3

Novel Technological Materials

Low Tc Superconductors - NbN, Nb3Ge, CuMo6S8

High Tc Superconductors - YBa2Cu3O7-d

Photovoltaic Materials - aSi-H, CuInSe2, CuInS2

Optoelectronic Materials - ZnO, In(Sn)O2

Superhard Materials - Diamond, Cubic Boron Nitride, Amor-phous (Diamond-like Carbon), C3N4

Page 483: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

486 Deposition Technologies for Films and Coatings

This chapter reviews the currently used plasma-assisted vapor deposi-tion processes. They are analyzed in terms of the three steps in depositionprocesses, i.e., generation of the depositing species, transport from sourceto substrate, and film growth on the substrate. The role of the plasma in eachof the steps for the various processes is discussed. All processes involve twosets of parameters, the plasma parameters and the process parameters.These parameters couple to a greater or lesser degree in each of the basicprocesses which reflects on their versatility. The role of plasma volumechemistry and plasma diagnostics is discussed. It is clear that a deeper basicunderstanding of plasma-assisted deposition processes necessitates a muchgreater volume of work on plasma diagnostics coupled with theoreticalestimates. The role of ion bombardment on the structure, composition, andproperties of the films is given. Hybrid processes which attempt to circumventthe somewhat deleterious intercoupling of the plasma and process param-eters are briefly discussed.

There are a large number of processes used to deposit thin and thick filmsof metals, alloys, ceramics, composites, etc., from solutions, gases and in avacuum environment. They can be classified based on the size of thedepositing species.[1] For example:

Atomic deposition processes: electrodeposition, electrolessdeposition, evaporation, sputtering, chemical vapor deposition,etc.

Droplet deposition processes: flame spray, wire spray, plasmaspray, detonation gun, enameling, electrophoretic coating.

Bulk deposition processes: painting, dip coating, printing, spincoating, explosive compaction, roll bonding, weld coating, etc.

Current and future applications in the high technology areas require thedeposition of simple and multiple layers of various materials in thin film form.

The materials being deposited are metals, alloys ceramics, polymers,and composites on a variety of metallic and non-metallic substrates. Theprocesses used are broadly classified into physical (PVD) and chemicalvapor deposition (CVD) processes as illustrated in Table 9.1. In PVDprocesses, the deposition of compounds can be carried out either by directevaporation/sputtering or reactive evaporation/sputtering. The term directconnotes that the target is the same compound as the film. Reactive impliesthat a metal/alloy is evaporated/sputtered in the presence of a reactive gas

Page 484: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasma-Assisted Vapor Deposition Processes 487

to deposit a compound. For example titanium is sputtered in nitrogen todeposit titanium nitride. The properties of the compounds are stronglyinfluenced by their stoichiometry, i.e., anion:cation ratio. Control of stoichi-ometry in a deposited film is therefore very important and can be more readilyachieved with reactive processes as compared to direct processes, asdiscussed in Ref. 2.

This chapter will therefore concentrate on critical issues of plasmaassistance in thin film deposition processes, with the emphasis on reactivedeposition for compound films.

Table 9.1. Classification of PVD and CVD Processes

Page 485: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

488 Deposition Technologies for Films and Coatings

2.0 PLASMA-ASSISTED DEPOSITION PROCESSES

In reactive deposition processes, the introduction of a plasma can be verybeneficial or even essential. It should be noted that a plasma of a working gas(such as argon) is an inherent part of the sputtering process. On the otherhand, for evaporation and CVD processes, plasmas are options that may beused if needed. In that sense, they are more versatile, i.e., offer an extra degreeof freedom to the process. Plasmas are used not only in depositionprocesses, but also for etching and polymerization.

Whenever a plasma is employed in a process, there are two sets ofvariables.

Plasma variables: electron density, electron energy, and itsdistribution function

Process variables: evaporation / sputtering rates, gas com-position, pressure and flow rate, substrate bias, substratebombardment, etc.

Unfortunately, these sets of variables are not independent. Changes ina process variable can effect other process variables as well as the plasmaparameters. The degree of this interdependency varies with the type ofprocess as discussed below.

3.0 MODEL OF A DEPOSITION PROCESS

All deposition processes consist of three basic steps as illustrated in Fig.9.1, i.e., generation of the depositing species, transport from source tosubstrate, and film growth on the substrate. By way of illustration, considerthese three steps for PVD processes.

Step 1: Generation of the Vapor Species. Vapor species can begenerated by: (a) evaporation using resistance, induction, electron beam, orlaser beam heating. (b) sputtering using DC or RF plasma generation.

Step 2: Transport of the Species From Source to Substrate.Various flow regimes can apply.

1. In molecular flow, the mean free path is larger than thesource-to-substrate distance. This occurs at low partialpressures of the depositing specie and residual gas in thesystem, and is responsible for the line-of-sight feature typicalof evaporation-deposition processes and low pressure mag-netron-type sputtered deposition processes.

Page 486: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasma-Assisted Vapor Deposition Processes 489

2. Viscous flow occurs at higher partial pressures, 20 to 120millitorr, typical of diode sputter deposition. It also isintentionally added in the evaporation deposition process tocause gas-scattering of the depositing specie and increasethe throwing power of the process.

An additional feature in Step 2 is the absence or presence of a plasmain the source-to-substrate region and the mode by which the plasma isexcited, e.g., DC, RF, or microwave. The latter is an important aspect sinceit controls the electron energy and distribution function, and thereby, theplasma volume chemistry that takes place. Processes which involve lowelectron energies, such as plasma-assisted evaporation where the electronenergies generating the plasma can be independently controlled, offer a moreversatile and richer plasma volume chemistry than processes such assputtering, where the electron energies are dictated by other considerationssuch as target voltage (50 - 100 eV) which controls the rate of sputtering; insputter deposition, the electron energies thus cannot be controlled indepen-dently of other process parameters. It should be pointed out that the presenceof a plasma is optional in the evaporation process but is an integral part of thesputtering process.

Figure 9.1. The three steps in film deposition.

Page 487: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

490 Deposition Technologies for Films and Coatings

Step 3: Film Growth on the Substrate. The process depends onthe energy of the incident species (which is typically 0.5 eV for evaporation and10 to 100 eV for sputtering) and the substrate temperature. The structure,composition, and residual stress in the film can be substantially changed bybombardment of the growing film by energetic ions or neutrals. They can begenerated by a separate ion source or be attracted to the film from the plasmaby electrical biasing of the substrate/film. Thus, the location of the substrateinside or outside the plasma can substantially change the nature and amountof ion bombardment. In magnetron sputtering, the plasma is confined to anarrow zone near the target. Therefore, if the film is to be bombarded, a secondplasma has to be created near the substrate by a suitable method.

In CVD processes, the same general model applies with the differencesbeing that the source is usually a gas or a vapor incorporated into a carrier gas.The transport phase occurs under vicious flow conditions only.

This three-step model as applied to plasma-assisted deposition pro-cesses is illustrated in Fig. 9.2. Several items can be noted:

1. The plasma is optional for reactive evaporation processes butis an integral part of the reactive sputtering process.

Figure 9.2. General schematic of plasma-assisted vapor deposition process.

Page 488: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasma-Assisted Vapor Deposition Processes 491

2. Plasmas can be localized around the source and/or thesubstrate. Thus one can independently influence the reac-tion occurring in each location. An example of this is givenin Ref. 18 for the deposition of indium tin oxide films byreactive sputtering.

3. The substrate acquires a negative floating potential when itis immersed in a plasma thus causing ion bombardment ofthe substrate/film and the resultant changes in structure,composition, residual stress, and properties.

4.0 MATERIALS DEPOSITED BY REACTIVE VAPOR DEPOSITIONPROCESSES

Examples of ceramic compounds and novel materials that are depositedby the various vapor deposition processes were given at the beginning of thischapter. Many of the materials in this table are thermodynamically stable, i.e.,∆G is a large negative valve. The reactive deposition process is then controlledby the kinetics of the reaction between the constituent species. Conceptually,the kinetic or activation energy barrier is overcome by supplying energy intothe reacting system via a plasma.[3]

There are three materials listed, namely diamond, diamond like-carbon(or i-C, a-C) and cubic boron nitride that are metastable at ambient tempera-tures and pressures, i.e., they are not in a thermodynamically stable state.Here the problem of deposition of these materials is considerably morecomplex. The qualitative picture is to “persuade” the depositing species thatthey are locally in a “different” environmental regime where they are stable (i.e.,at high temperatures and high pressures). This can be achieved bybombarding the film by ions, as well as by the associated chemical activityoccurring in a plasma environment which permits the nucleation and growthof these metastable phases, which are then quenched in. There is anotherimportant difference between the deposition of the thermodynamically stablephases and the metastable phases. More energetic bombardment canactually cause etching away or removal of the deposited film or preclude thenucleation and growth of the film for the metastable phases whereas it can onlychange the composition, imperfection content, structure, and properties of thethermodynamically stable films.

Page 489: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

492 Deposition Technologies for Films and Coatings

An in-between case is the deposition of the high Tc superconductor filmswhere the oxide phase may be thermodynamically stable but its oxygencontent, and hence the properties, are drastically influenced by the processconditions.

5.0 KEY ISSUES IN PLASMA-ASSISTED REACTIVE VAPORDEPOSITION PROCESSES

The objective of any deposition process is to end up with a film with thedesired composition, structure and properties. In plasma-assisted reactiveprocess, there are some key issues.

5.1 Plasma Volume Chemistry

Reactions taking place in the plasma volume to form molecular frag-ments, free radicals, etc., can then (a) condense directly on the substrate,migrate, and react to form a compound film, or (b) form precursor species inthe plasma volume which deposit on the substrate and dissociate to form acompound film.

Plasma diagnostic techniques, such as optical emission spectroscopy(OES), mass spectroscopy (MS), langmuir probe, and laser-induced fluores-cence (LIF) spectroscopy, can be used to ascertain the species present in theplasma volume. While there is considerable published work on end pointdetection in plasma etching processes, there is relatively little work ondeposition processes.

A classic example is the deposition of TiC by reaction of evaporatedtitanium atoms in a hydrocarbon gas plasma to form TiC films by the activatedreactive evaporation (ARE) process.[4] It was observed that if CH4 is used, noTiC films form. On the other hand, using C2H2, TiC films readily form.Furthermore, the C/Ti ratio in the TiC film can be controlled by varying theevaporation rate of Ti or the partial pressure of C2H2.[5a] Plasma diagnosticstudies revealed that C2H2 dissociated into C, CH, CH2, CH3, fragments whichreacted with Ti+ ions to form TiC. On the other hand, (CH4) did not fragment;instead it polymerized into (CH4)n species which did not react with Ti+ and noTiC film was formed.[5b] This still does not answer the question raised above,i.e., did the Ti+ and the CH type fragments deposit on the substrate and reactto form TiC directly or did a precursor species form in the plasma which thendeposited on the substrate and yielded TiC film.

Page 490: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasma-Assisted Vapor Deposition Processes 493

5.2 Type and Nature of the Bombardment of the Growing Film

Any surface immersed in a plasma acquires a small negative potentialwhich results in ion bombardment of the film and hence changes in itscomposition, structure, properties, and residual stress. The bombardmentcan be enhanced by intentionally applying a negative bias to the substrate.

The plasma parameters, i.e., the nature and concentration of the chargedspecies in the plasma, will therefore determine the resultant bombardment ofthe film. It should be mentioned that the substrate can be bombarded prior todeposition to remove surface species and an atomically-mixed interfacebetween the substrate and film can be produced.

In any plasma-assisted vapor deposition process, there are two sets ofparameters to be considered, i.e., the plasma parameters (electron density,electron energy, and electron energy distribution function) and the processparameters (evaporation/sputtering rate, reactive/inert gas pressure, flow rate,substrate temperature, substrate bias, etc.).

The model for film growth by PAVD process can therefore be schemati-cally represented as shown in Fig. 9.2. One might picture, in a plasma-assisted deposition process, that the depositing species undergo varioustypes of reactions in the plasma leading to the formation of excited neutralspecies, ions, free radicals, etc., which may react to form a precursor speciesthat, in turn, deposits on the substrate, migrate on the surface, react, and formthe film. The reactions forming the above species, i.e., the plasma volumechemistry, in turn are controlled by both the process and the plasmaparameters, as discussed below.

The rate of any chemical reaction in a plasma is primarily dependent onelectron density (ne), electron energy (E), and distribution function f(E), asshown below:

( ) ( ) ( )∫=

==

xE

EeeA dEEfEnmENR

02

12/ σ

where N is the number density of colliding species, σ(E) is the collision cross-section, and f(E) is electron energy distribution function.

The electrons are assumed to have a Maxwellian velocity distribution ata temperature Te and the cross-section for a given reaction is approximatedby a step function of magnitude σo and threshold energy Eo. Then,

RA = ne Nσo ve(1 + Eo /kTe) exp(-Eo /kTe)

Page 491: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

494 Deposition Technologies for Films and Coatings

Apart from the plasma parameters, the deposition parameters alsoinfluence the growth and properties of the films produced by any vapordeposition process. The most important deposition parameters are:

1. Rate of generation of vapor species which determine thedeposition rate and stoichiometry of the films.

2. Partial pressure of all species in the gas phase whichdetermines the mean free path of these species and henceaffect the growth rate. In reactive deposition processes,partial pressures also determine the probability of the colli-sional reactions between various atomic and molecularspecies during transit from source to substrate, and henceinfluence the formation of precursor molecular species whichin turn affects the growth and properties of the films.

3. Gas flow rate is an important process parameter, particularlyin reactive deposition processes, since along with the metalspecies in the vapor phase, it controls the stoichiometry ofthe films.

4. Substrate temperature controls the composition, structure,and morphology of the films by affecting the atom mobility onthe substrate as well as the rate of any chemical reactionoccurring on the substrate.

5. Substrate bias, together with substrate temperature, alsoinfluences the structure and morphology since it controls theintensity of the ion bombardment of the growing film. More-over, ion bombardment of the growing film can also lead toreduction of absorbed impurities and trapped gases in thefilms.

In order to achieve better control of film properties, it is desirable toindependently control the above parameters; however, it is not always possiblein all deposition processes to achieve the process parameter flexibilityconferred by the ability to vary them independently of each other. The natureand degree of intercoupling of the variables controlling the above parametersdetermines the advantages and limitations of a given deposition process. Thepresence of a plasma introduces additional constraints as some of thevariables controlling the process parameters also affect the plasma param-eters. To understand and optimize plasma-assisted deposition processes, itis necessary to evaluate this interrelationship between the process param-eters and plasma parameters.

Page 492: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasma-Assisted Vapor Deposition Processes 495

6.0 PLASMA-ASSISTED DEPOSITION TECHNIQUES IN CURRENT US-AGE

The most commonly used plasma assisted techniques for the depositionof compounds can be classified under the following two categories.

1. Plasma-assisted chemical vapor deposition (PACVD) pro-cesses.

2. Plasma-assisted physical vapor deposition (PAPVD) pro-cesses such as (a) reactive sputtering (RS), using DC or RFmagnetron geometries and ion beams,and (b) activatedreactive evaporation (ARE).

Although they have been treated in other chapters, a summary is givenhere for each process with relevance to the main theme of the chapter.

6.1 Plasma-Assisted Chemical Vapor Deposition

Plasma assisted chemical vapor deposition involves forming soliddeposits by initiating chemical reactions in a gaseous discharge.[6] Thedischarge can be excited by using either RF, microwave, or photonicexcitation. It produces a wide variety of chemical species in ionized andexcited states, free radicals as well as ions and electrons. The nature, type,concentration, and energy of these species determine the growth andproperties of the films. The important parameters controlling film growth byPACVD are as follows: (a) reactant partial pressure and flow rate; (b) RFpower; and (c) substrate temperature and substrate bias.

The above variables affect process parameters such as deposition rateon the one hand, and plasma parameters such as electron density, electronenergy, and distribution function on the other. For example, the partialpressure of the reactant gas together with RF power determines the rate ofdissociation of the reactive gas and hence the deposition rate. These sameprocess variables also determine the electron energy, and electron density;moreover, the substrate floating potential depends on the average electronenergy, so pressure and RF power also control the substrate bombardment.The substrate bombardment of the growing film and deposition rate both aretherefore dependent on the same set of process variables, i.e., pressure andRF power. This interdependence of process and plasma parameters makesit difficult to obtain high deposition rates by PACVD processes.

A variety of reactor designs have been used for carrying out PACVD inthe laboratory; however, only parallel plate reactors have been used for

Page 493: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

496 Deposition Technologies for Films and Coatings

production applications. Detailed information on theory and practice ofPACVD processes can be found in excellent reviews by Reinberg,[6] Hollahanand Rosler,[7] Rand,[8] Yasuda,[9] and Hollahan and Bell.[10]

6.2 Sputter Deposition

The sputter deposition process involves a target and a plasma of a neutralworking gas such as argon. The target material is transferred to the vaporphase by positive ion bombardment from the plasma via momentum transferfrom the ions to the target atoms. The most important parameters controllingthe growth and properties of the films by sputter deposition processes are:

1. target voltage and current,

2. reactant partial pressure and flow rate, and

3. substrate temperature and substrate bias.

Similar to PACVD processes, these variables affect both processparameters as well as plasma parameters. For example, in conventional diodesputtering using either DC or RF, the deposition rate is dependent on targetvoltage and current as well as on pressure. However, these same parametersalso determine the average energy of the secondary electrons, which in turninfluences the floating potential and hence the bombardment of the growingfilm.

The target voltage determines the energy of the secondary electronsejected at the target. These are accelerated across the cathode sheath by apotential equal to target potential. The partial pressure on the other handdetermines the mean free path and hence the collision frequency (number ofcollisions per unit length) of the electrons. As electrons lose energy in eachcollision, the average electron energy functionally depends on pressure. Thusthe target voltage in conjunction with the operating pressure determines theaverage electron energy. Due to the relatively high voltage levels involved indiode sputtering, the energy of the secondary electrons is very high. Bombard-ment of the substrate by such high energy electrons leads to substrateheating, and radiation damage, and is thus a limiting factor in conventional DCand RF sputtering processes using the diode geometry.

The target voltage/current and reactive gas flow rate exhibit a complexrelationship in reactive sputter deposition processes due to target poisoningeffects. This issue has been discussed in detail by Bunshah et al.[11]

Page 494: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasma-Assisted Vapor Deposition Processes 497

There are many variants of the sputter deposition processes:

1. Diode geometry using DC or RF excitation.

2. Magnetron geometries with DC or RF excitation.

3. Reactive sputtering using diode and/or magnetron geom-etries with DC or RF excitation. In this process, a workinggas (argon) is used in combination with a reactive gas. Fora detailed review of physics and applications of sputterdeposition processes, refer to review articles by Vossen andCuomo,[12] and Thornton.[13]

6.3 Activated Reactive Evaporation (ARE)

The activated reactive evaporation (ARE) process developed byBunshah[14] involves evaporation of metal in the presence of a plasma of thereactive gas alone. There is no working gas in the ARE process.

The two basic variants of the ARE process are: (i) the activated reactiveevaporation process with an electron beam evaporation source, and (ii) theARE process with a resistance heated source. Both of these processes areillustrated in Fig. 9.3.

In ARE using an e-beam source, the metal is evaporated by an electronbeam in presence of a reactive gas. The plasma is generated by acceleratingthe secondary electrons from the plasma sheath above the molten pooltowards a probe biased to a low AC, or positive DC, potential.

Nath and Bunshah[15] modified the ARE process for use with resistanceheated sources. The metal is evaporated from a resistance heated source inthe presence of the reactive gas. The plasma is generated by acceleratingthermionically emitted electrons from a heated filament towards a positivelybiased anode. A transverse magnetic field is applied to cause the electronsto travel in spiral paths thereby increasing the probability of ionization.

Apart from the above two basic geometries, many other variants of theARE process have been developed. For further details, the reader is referredto a review by Bunshah.[16] The important process parameters controlling thegrowth and properties of films by the ARE process are:

1. evaporation rate;

2. plasma parameters such as electron density, electron en-ergy and distribution function; and

3. substrate temperature and bias.

Page 495: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

498 Deposition Technologies for Films and Coatings

Figure 9.3. Schematic of the activated reactive evaporation system: (a) using anelectron beam evaporation source, and (b) using a resistance-heated evaporationsource.

Page 496: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasma-Assisted Vapor Deposition Processes 499

Unlike PACVD and sputter deposition processes, the above variablescan be controlled independently. For example, one can control the depositionrate via the evaporation rate by controlling the e-beam current or the heatingcurrent passing through the boat source. This does not significantly affect theplasma parameters, which are controlled through an auxiliary anode potential.This ability to control plasma and deposition parameters relatively indepen-dently offers the ARE processes much greater flexibility to deposit films withvarying stoichiometry, structure, and properties at high rates, as compared toPACVD and RS processes.

7.0 LIMITATIONS OF CURRENT PLASMA-ASSISTED TECHNIQUES

As discussed earlier, the presence of the plasma in the source-substratespace significantly affects the processes occurring at each of the three stepsin film deposition, which are: (a) generation of species, (b) transport fromsource to substrate, and (c) film growth on the substrate. Moreover, the effectof the plasma on the above three steps differs significantly between variousprocesses. Such differences are manifest in terms of the types andconcentration of the metastable species, ionized species, and energeticneutrals which, in turn, influence the reaction paths or steps involved in theoverall reaction for film formation and the physical location of these reactionsites. Deshpandey et al.[11] have discussed in detail the role of plasma inplasma-assisted deposition processes. They have shown that the advantagesand limitations of various plasma-assisted deposition techniques can beaddressed in terms of the differences in plasma interactions at the source,during transport, and at the substrate in the respective processes. Compari-sons between the three currently used plasma-assisted deposition tech-niques via reactive sputtering (RS), activated reactive evaporation (ARE) andplasma-assisted chemical vapor deposition (PACVD) in terms of plasma/source–plasma/volume and plasma/substrate interactions is shown in Table9.2. Also indicated in this table are the limitations/advantages inherent to eachprocess.

As can be seen from this table, each of the above processes suffers fromlimitations in terms of one or more of the following:

1. Control over the supply of the source material in vapor form.

2. Control of the number density and energy distribution ofelectrons and hence the associated plasma volume chemistry.

Page 497: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

500D

epo

sition

Tech

no

log

ies for F

ilms an

d C

oatin

gs

Table 9.2. Comparison of Plasma-Assisted Deposition Proceses

Page 498: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasma-Assisted Vapor Deposition Processes 501

Most of the above limitations are due to the interdependency of the threereactions, i.e., plasma-source, plasma-volume, and plasma-substrate reac-tions. For an ideal plasma-assisted process, one should be able to controleach of the above reactions independently of each other.

In view of the above, attempts have been made to develop hybridprocesses by combining various features of the plasma-assisted depositiontechniques to extend the processing capabilities and to overcome thelimitations of the individual techniques. Many modifications of the PACVDhave been developed and have been discussed by Deshpandey and Bunshah.[17]

8.0 HYBRID PROCESSES

In view of the facts discussed in the previous section, attempts have beenmade to develop hybrid processes by combining different plasma-assisteddeposition techniques to extend the processing capabilities and to overcomethe limitations of the individual techniques. The general thrust is directedtowards:

1. Separation of the various parts of the process so as to exertindependent control over each part and avoid complicationsdue to overlap between the parts.

2. Use substrate/film bombardment with different species ofcontrolled energy as contrasted to a spectrum of energies.

A representative list of such hybrid techniques together with theiradvantages in processing is given in Table 9.3 and illustrated in Fig. 9.4

9.0 CONCLUSIONS

It is clear that we have barely scratched the surface in our understandingof the detailed mechanisms of plasma assisted deposition processes. Muchwork remains to be done on experimental plasma diagnostics as well as therelevant theoretical modeling. This becomes particularly true when complexmolecules instead of sample atomic shears are used as the reactants. It isunfortunate that very little systematic long-range support on studies leadingto a basic understanding of these processes is available either from govern-ment or industrial sources. Until such a detailed understanding is developed,plasma-assisted deposition processes are still somewhat in the realm ofenlightened witchcraft.

Page 499: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

502D

epo

sition

Tech

no

log

ies for F

ilms an

d C

oatin

gs

Table 9.3. Hybrid Processes Currently Used for Materials Synthesis

Page 500: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasm

a-Assisted

Vap

or D

epo

sition

Pro

cesses503

Table 9.3. (Cont'd)

Page 501: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

504D

epo

sition

Tech

no

log

ies for F

ilms an

d C

oatin

gs

Figure 9.4. Schematics of hybrid deposition processes.

Page 502: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Plasma-Assisted Vapor Deposition Processes 505

REFERENCES

1. Bunshah, R. F. and Mattox, D. M., Physics Today, 33:50 (1980)

2. Bunshah, R. F., Films and Coatings for Technology, (R. F. Bunshah,ed.) pp. 122-127, Noyes Publications (1982)

3. Bunshah, R. F., ibid., p. 128

4. Bunshah, R. F. and Raghuram, A. C., J. Vac. Sci. Technol., 9:1385-88(1972)

5a. Raghuram, A. C. and Bunshah, R. F., J. Vac. Sci. Technol., 9:1389-94(1972)

5b. Deshpandey, C. V., O’Brien, B. P., Doerr, H. J., and Bunshah, R. F.,Surface and Coatings Technology, 33:1-16 (1987)

6. Reinberg, A. R., Ann. Rev. Mater. Sci., 9:341-372 (1979)

7. Hollahan, J. R. and Rosler, R. S., Thin Film Processes, (J. L. Vossenand W. Kern, eds.), pp. 335-360, Academic Press, New York (1978)

8. Rand, M. J., J. Vac. Sci. Technol., 16;420-427 (1979)

9. Yasuda, H., Thin Film Processes, (J. L. Vossen and W. Kern, eds.), pp.361-400, Academic Press, New York (1978)

10. Techniques and Application of Plasma Chemistry, (J. R. Hollahan andA. T. Bell, eds.), Wiley, New York (1974)

11. Deshpandey, C. and Bunshah, R. F., Surf. Coat. Technol., 27 (1986)

12. Vossen, J. L. and Cuomo, J. J., Thin Film Processes, (J. L. Vossen andW. Kern, eds.), pp. 12-75, Academic Press, New York (1978)

13. Thornton, J. A. and Penfold, A. S., ibid, pp. 75-114

14. Bunshah, R. F., "The Activated Reactive Evaporation Process", U.S.Patent #3,791,852 (Feb. 1974)

15. Nath, P. and Bunshah, R. F., Thin Solid Films, 69:63-68 (1980)

16. Bunshah, R. F., Thin Solid Films, 107:21(1983)

17. Deshpandey, C. V. and Bunshah, R. F., Thin Solid Films, 16:131-147(1988)

18. Karim, A. A., Deshpandey, C., Doerr, H. J., and Bunshah, R. F., ThinSolid Films, 172:111-121 (1989)

Page 503: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

10

Deposition from Aqueous Solutions:An Overview

Morton Schwartz

1.0 INTRODUCTION

Electrodeposition, also called electroplating or simply plating, is aneconomical technology to protect and enhance the functionality of parts usedin many diverse industries including home appliances, jewelry, automotive,aircraft/aerospace, and electronics−−−−−in both decorative and engineeringapplications. Although decorative applications have diminished somewhatprimarily due to added expenses and problems associated with plant effluent(pollution) control and waste treatment, its applications in engineering,electroforming, and electronics have increased. The emphasis is on thelatter applications, and the structures and properties of deposits.

The purpose of decorative plating is to provide a durable, pleasing finishto the surfaces of manufactured articles, so the corrosion characteristics ofthe deposits and their ability to protect the substrate are important factors.These and other deposit properties involved in the selection and performanceof decorative coatings including hardness, wear resistance, ductility, andstress are also important to the engineering applications of plated coatings.

Engineering applications of plated coatings involve imparting special orimproved properties to significant surfaces of a part or assembly and/orprotecting or enhancing their function in their operating environment. Otherapplications include salvage of mismachined or worn parts and other typesof reworking as well as material savings, use of less expensive materials,and substitution of materials more easily fabricated, Special technologies

506

Page 504: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 507

such as electroless deposition, electroforming, anodizing, thin films, magneticcoatings, and printed wiring (circuit) boards have been selected for discussionas representing specific engineering applications.

Although electroplating and vacuum deposition processes are generallyconsidered competitive processes, there are increasing applications inwhich they are (or can be) highly complementary. These involve utilizing theadvantages of both deposition technologies:

Vacuum Deposition Aqueous Deposition

! Close tolerances ! Lower costs! Wide choice of substrates ! Thicker coatings! Wide choice of coatings ! Coating complex shapes

! Control and modificationof deposit properties

! Control of residual stress

A combined process permits almost any substrate to be coated with amuch wider range of deposits than either used alone. It extends theapplication of aqueous deposition to substrates which are difficult to coat,particularly non-metallics (ceramics) or active metals not readily orsatisfactorily processed.

Examples of combined processing include the electrodeposition ofsuch metal substrates as Mo, Ti, and Be by initially sputtering a thin Cu or Audeposit to provide substantially improved adhesion.[1] The plating of plasticsusing chemical preparation is expensive, requires rigorous control, andpresents formidable waste treatment problems. A pre-plate treatment usingvacuum techniques to condition the surface by RF glow discharge followedby sputtering or electron beam evaporation of 1000 angstrom Ni and 1000angstrom Cu deposits permits direct electroplating to final thicknesses.[2]

In printed wiring board (PWB) fabrication, plasma processing is beingemployed to clean drilled holes and to remove drill smear. However, in somecases, this leaves undesirable interfering ash and decomposed residuesrequiring further chemical clean-up. Sputtering processes for depositing theinitial Cu deposit on PWB’s and through-hole deposition have been developedto replace the electroless Cu deposition processes.[3] It has been predictedthat such processes may replace the presently used electroless Cusystems completely.[4]

Page 505: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

508 Deposition Technologies for Films and Coatings

Electrodeposition has been used to fabricate magnetron sputteringtargets of well defined shapes. The advantages include deposition preciselywhere needed or desired, eliminating waste. Such electrodeposited targetsare quite pure with a minimum of oxygen or other gases. Sputtering targetsof Ag, Cr, Au, Fe, Ni, Co and alloys have been prepared by electroplating.[5]

2.0 GENERAL PRINCIPLES

Figure 10.1 represents a simplified plating cell. A DC source, usuallya rectifier or motor generator, supplies current flowing in one directionthrough the external portion of the circuit when a potential difference isimposed across the system. The current flow is that of electrons in theexternal conductors. The mechanism of electrical transfer in the solution isby means of electrically charged “particles” called ions. Positive ions(cations) travel toward the negative electrode (cathode) and negative ions(anions) travel toward the positive electrode (anode) when the potential isapplied, thus completing the electrical circuit. The electrolyte usuallycontains other components which influence the process (see Fig. 10.7 laterin this chapter).

Figure 10.1. Plating Cell

Page 506: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 509

The cathode or deposition reactions are characterized as reductionreactions since electrons are “consumed,” and the valence states of the ionsinvolved are reduced. The anodic reactions are oxidation reactions whereinelectrons are liberated, and the valence states are increased. Each set ofreactions represents half-cell reactions and proceeds independently of theother, limited by a condition of material balance, i.e., electrons liberated in theanode reactions must equal the number of electrons “consumed” in thecathode reactions.

The above describes systems such as nickel or copper deposition fromacidic solutions* of their simple ions. Since these are divalent ions (Ni2+,Cu2+), the equations shown in Fig. 10.1 would involve two electrons.

Deposition from solutions in which the metallic ions are combined withother ions or ligands as complex ions involves more complicated mechanisms.The cyanide-containing electrolytes represent the largest group of suchsystems. Some of these complex ions are so tightly constituted, i.e., theionization constant of the metal ion is so small, that reduction or depositionof the metal atoms at the cathode occurs directly from the complex ions. Thisappears to be the mechanism involved with copper, silver and gold cyanidecomplex ions:

Eq. (1) [Cu(CN)3]= + e- " Cuo + 3(CN)-

Eq. (2) [Ag(CN)2]- + e- " Ago + 2(CN)-

Eq. (3) [Au(CN)2]- + e- " Auo + 2(CN)-

The stability of the gold cyanide complex ion is such that it exists in mildlyacidic gold plating solutions.

It should be noted that the complex ions described above and othertypes are anionic and would migrate to the anode during electrolysis. Yet,deposition still takes place at the cathode, indicating that mechanisms otherthan simple electron reactions are involved in the cathode film. Thesecomplex anions approach the cathode by convection and/or diffusion wherespecific adsorption effects can occur in the double layer as discussed byWagner, citing Frumkin and Florianovich.[6] The influence of simple cationspresent in the film are also involved in the reduction process.

Faraday’s Laws of electrolysis (1833) are basic to electrodeposition.

* Most acidic plating solutions fall into this category, involving the simple ions.

Page 507: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

510 Deposition Technologies for Films and Coatings

* Thickness in ∝ m ξ 0.0394 = thickness in mils.

** The Faraday can be derived from the fact that 1 gram-atomic weight of an elementcontains 6.023 x 1023 atoms (Avogadro’s Number, N). If the charge on the ion is A,then Z x N electrons are required to deposit 1 gram-atomic weight, and Z x N/A =6.023 electrons are required to deposit (or dissolve) 1 equivalent weight of anelement. Since the charge on an electron is 1.602 x 10-19 coulombs, 6.023 x 1023

electrons x 1.602 x 10-19 coulombs = 96,496 coulombs.)

They relate the current flow, time, and the equivalent weight of the metal withthe weight of deposit and may be stated as follows:

1. The amount of chemical change at an electrode is directlyproportional to the quantity of electricity passing through thesolution.

2. The amounts of different substances liberated at an electrodeby a given quantity of electricity are proportional to theirchemical equivalent weights.

Faraday’s Laws may be expressed quantitatively:

I · t EqEq. (4) W = ____________

F

where: W = weight of deposit in gramsI = current flow in amperest = time in seconds

Eq = Equivalent weight of deposited elementF = Faraday, a constant, = 96,500 coulombs (approx.)

I · t is the quantity of electricity used (coulombs = ampere-seconds) and Eq,the equivalent weight of the element, is the atomic weight divided by thevalence change, i.e., the number of electrons involved. If the current is notconstant, then I · t must be integrated:

t2 I dtt1

From a practical standpoint, the weight of the deposit is converted to themore meaningful thickness of the deposit using the relationship, W (gms) =volume (cm3)/deposit density, with the volume of the deposit equal to thethickness (in µm)* times the area (in m2). The Faraday**, F, can beexperimentally determined by rearranging Eq. (4):

Page 508: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 511

I · t EqEq. (5) F = ____________

W

Rearranging Eq. (4) to

W FEq. (6) I t = _________

Eq

permits the determination of the charge passing through a circuit by theknown deposition or dissolution of an element, usually silver. Devices whichutilize this application of Faraday’s Laws are known as coulometers.Coulometers are used to determine the efficiency of a deposition process.They are also employed as either timers or integrators possessing“electrochemical memory” and producing sharp potential “end-points,” i.e.,significant changes in electrode potentials which activate electronic circuits.Figure 10.2 schematically illustrates such a device—an electrochemical cellcalled an E-cell as part of an electronic circuit.

Figure 10.2. Microcoulometer − E-Cell (Courtesy Plessey Electro-Products)

Faraday’s Laws are absolute laws, and no deviations or exceptionshave been found. Apparent exceptions can be shown to be incorrect orexplained by failure to take into account all the chemical or electrochemicalreactions involved at the electrode. Thus, the efficiency of an electrochemicalreaction can be determined:

Page 509: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

512 Deposition Technologies for Films and Coatings

Eq. (7) deposit of wt.ltheoretica

deposit of weightactual100Efficiency Electrode % ×=

Table 10.1 indicates typical cathode current efficiencies for some commondeposits from various electrolytes. With knowledge of the actual efficiency,predicted (average) thickness of deposit can be obtained, limited by thecontrol of the current distribution.

Table 10.1. Cathode Current Efficiencies of Various Plating Solutions_____________________________________________________

Deposit Electrolyte Range, %_____________________________________________________

Ag CN 100

Au AcidNeutral 50 - 100CN

Cd CN 85 - 95

Cr CrO3 /H2SO4 10 - 15CrO3 /SO4-F 18 - 25

Cu Acid SO4

97 - 100CN (low eff.) 30 - 45CN (high eff.) 90 - 95P2O7 ~100

Fe Acid 90 - 98

In Acid or CN 30 - 50

Ni Acid 93 - 98

Pb Acid 95 - 100

Rh Acid 10 - 50

Sn Acid 90 - 95Alkaline 70 - 95

Zn Acid ~95CN 50 - 80

___________________________________________________

Page 510: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 513

The current flowing through a conductor is driven by a potentialdifference or voltage, the magnitude of which is determined by the relationshipexpressed as Ohm’s Law (1826-27):

Eq. (8) E = IR

where E = volts, I = amps, and R = ohms. This law regulates both the currentflow and its paths in an electrodeposition cell. A commercial electroplatinginstallation and operation involves a multiplicity of series and parallelelectrical circuits with only the total current and applied voltage controlled.The current distribution on each individual part or portion of a part (andresulting deposit thickness and properties) depends on the electrode potentialsand resistances involved in the “mini-circuits” as well as the geometry andspacing of parts. Since the resistances of the solid, metallic conductors inthe circuit are several orders of magnitude lower than the electrolytic(solution) resistances, they can usually be neglected. The potentials withinthe electrolyte and, more importantly, the electrode-electrolyte interfaces,are fundamental controlling factors and are not as straight-forward assuggested by Ohm’s Law.

When a metal is immersed in a solution containing its ions, an equilibriumcondition is set up between the tendency for the metal to go into solution andthe tendency for the metallic ions in solution to deposit on the metal: M0 ←Mn+ + ne-. However, before this equilibrium is established (i.e., the exchangecurrents or current densities are equal |i+| = |i-| = i0), one of the reactions maybe faster than the other, resulting in a “charge separation.” If the reactionproceeding to the right is faster than to the left, the metal surface would benegatively charged. If the deposition reaction (to the left) is faster, then thesurface would be positively charged. This resulting potential between themetal and the solution (at unit activity) is called the single or standardelectrode potential. Since this is a half-cell reaction, a reference electrode,the saturated hydrogen electrode (SHE) is used to complete the circuit andis given the arbitrary value of zero potential. In many instances otherreference electrodes such as the calomel electrode are substituted withappropriate corrections. Potential measurements made in this manner (orvalues derived thermodynamically) result in a series known as theElectromotive Force (EMF) Series.

This origin of the electrode potential was first formulated by W. Nernst(1889). The magnitude of the potential difference between the metal and itsionic solution is given by the Nernst equation:

Page 511: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

514 Deposition Technologies for Films and Coatings

RT ax (products)Eq. (9) E = Eo + _____ ln ______________

nF ay (reactants)*

where E = observed EMF, potential difference (volts)Eo = standard EMFR = gas constant, 8.314 (j · oK-1 mol-1)T = absolute temperature, oKn = valence change (electron transfer)F = Faraday, 96,500 coulombs (A · sec mol-1)a = activity (apparent degree of dissociation)

If the natural logarithm is converted to logarithm base 10, and T is 298oK(25oC), then Eq. (9) becomes:

Eq. (10) E = Eo + (0.059/n)** log a (or log c approx.)

Thus, a tenfold change in ion concentration changes the electrode potentialby 59 mV/n (a negative change makes the electrode potential less positive).This is significant when complexing agents are present since the ionicconcentration can be reduced drastically with the accompanying change inelectrode potential.*** For example, Eo = -0.76 volts for zinc. But, when zincis complexed with cyanide:

Eq. (13) Zn2+ + 4(CN)- → [Zn(CN)4]=

the electrode potential shifts to approximately -1.1volt. The standardelectrode potential for the Cu1+/CuM half cell is +0.52 volts which shifts to ~-1.1 volts when complexed with cyanide:

* Since the metal (solid) is the reactant in a plating cell, its activity is considered =1 for all practical purposes and can be neglected. Also, as a practical approximation,the concentration in moles/L can be substituted for activities.

** 0.059 = 2.303 x 8.316 x 298.1 / 96,496.

*** When complex ion reactions are involved:

Eq. (11) Mn+ + qXp- ← [MXq]n-pq

where q is the coordination number, then the Nernst equation is modified:

Eq. (12) E = Eo - RTnF ln Kf +

RTnF ln

a

a

Mn pq

Xq

q

p

Kf is the stability constant of the complex ion. Since Kf will be quite large for verystable complexes, the potential can shift substantially negatively.

Page 512: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 515

Eq. (14) Cu1+ + 3(CN)- → [Cu(CN)3]=

The practical significance is that a copper cyanide strike provides the bestundercoat on a zinc surface since the potentials are essentially the same.Attempts to use an acid copper (Cu2+) (Eo = +0.34 volts) solution wouldprovide a potential difference of 1.1 volts, resulting in an immersion ordisplacement deposit with poor adhesion. The closeness of the electrodepotentials for the [Zn(CN)4]= and [Cu(CN)3]= complexes also permits thesemetals to be deposited simultaneously as a brass alloy deposit from cyanidesolutions.

For electrodeposition reactions to occur, an additional potential isrequired to overcome the equilibrium conditions discussed above, i.e., toprovide a non-equilibrium, irreversible condition. Referring to Fig. 10.1, thetotal plating voltage is the sum of three components. E2 represents thepotential required to overcome the resistance of the electrolyte and obeysOhm’s Law; it would be the only potential required if only the single electrodepotentials were involved in the electrodeposition process. E1 and E3 are thepotentials at the electrodes required to sustain the electrolysis process whenthe current is flowing and exceed the single electrode potentials. Theadditional voltage is called polarization which usually increases as thecurrent increases. The electrical energy is converted to heat according toJoules Law:

Eq. (15) Eheat = I E t = I2R t

resulting in increased temperatures of the electrolytic solutions.Polarization, also called overpotential or overvoltage*, is an important

controlling factor in electrodeposition processes. A minimum energy whichthe reactants must possess is a requisite for any chemical reaction to occur.For an electrochemical reaction to proceed, an overpotential is required toovercome the potential barrier at the electrode/solution interface; this iscalled the activation overpotential. It is the overpotential required for thecharge-transfer reaction itself and is kinetically controlled. Cathodic activa-tion overpotential shifts the energy level of the ions in the inner electricaldouble layer nearer to the potential barrier, so that more ions can cross it in

* In more rigorous treatments, the term overvoltage is restricted to the excesspotential required for a single reaction (usually irreversible) to proceed at a specifiedelectrode whereas the term polarization is more general and includes all reactionsat the electrode.

Page 513: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

516 Deposition Technologies for Films and Coatings

Figure 10.3. Concentration polarization

a given time, producing a deposit on the surface. Activation overpotentialalso exists at the anode but in the opposite direction.

Changes in the ion concentrations at the electrodes are major contribu-tions to polarization. Figure 10.3 depicts the increased metallic ionicconcentration at the anode and the decreased concentration at the cathodeas a result of the dissolution and deposition processes. This results incorresponding changes in the equilibrium potentials per the Nernst equation(Eq. 9) since it changes the value of log CE/CS, CE being the ionic concen-tration at the electrode and CS the concentration in the bulk of the solution(see Eq. 10). This effect due to the concentration changes is calledconcentration polarization and is mass transport controlled.

Figure 10.4 illustrates a typical current/potential curve indicating theregions of activation polarization (ηa), concentration polarization (ηC), limit-ing current followed by a post limiting region (with gas evolution). The opencircuit (rest) potential is indicated by (EM)R. Where anodic and cathodicpolarization curves intersect, |io| is indicated.

Increased anode concentration polarization ultimately results in theevolution of oxygen which reacts with the electrode to produce oxideinsulating films increasing the ohmic resistance. The oxygen may alsoreact with various solution constituents such as organic compounds or cyanides,

Page 514: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 517

Figure 10.4. Typical polarization curve

thereby consuming them and/or converting them into other compounds whichmay be detrimental to the electrodeposition process. In some pro-cesses, such as anodizing of aluminum or where insoluble anodes areinvolved such as in chromium plating, anode polarization is desirable.

Cathodic concentration polarization may result in the evolution ofhydrogen as the competing reaction. The pH of the cathode film increasesand hydrates or hydroxides may precipitate and be occluded in the deposit.The co-deposition of hydrogen may result in brittleness of the deposit and,by migration and diffusion into the substrate, result in hydrogen embrittlement.

Hydrogen overvoltage which is the polarization for the specific reactiondischarging hydrogen at a specified electrode surface involves at least twosteps:

Eq. (16) 2H+ + 2e → 2Hadsorbed → H2 (gas molecule)

The latter step is usually the slower, rate-determining step, and a higherpotential is required to discharge the gas.

The factors influencing hydrogen or oxygen overvoltage include:a. Electrolyte compositionb. Type of metal electrodec. Nature of electrode surfaced. Current densitye. Temperature

Page 515: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

518 Deposition Technologies for Films and Coatings

Agitation and increased operating temperature of the solution helpminimize concentration polarization, permitting higher current densities andfaster plating rates.

The "throwing power" (TP) of a plating solution or, more properly,macrothrowing power since it indicates the degree of plate uniformity(thickness distribution) over the substrate surface contours, is an importantcharacteristic because the deposit properties and overall quality are af-fected. Factors influencing deposit (plate) distribution are shown in Table10.2. Generally, electrolytes containing free metallic ions exhibit poorerthrowing power than those in which the ions are complexed or containsupporting, non-depositing, ions, the latter improving solution conductivity.The overall “geometry” of the plating system influences current distribution.Significantly increased cathode polarization at higher current densitiesresults in decreased current efficiency, improving throwing power. Thus,cathode current efficiency-current density curves are useful in predicting thethrowing power of a plating solution. If the cathode efficiency decreases withincreased current density, the throwing power improves proportionately.The shape and slope of the curves are indicative of the throwing power.However, if the cathode efficiency remains high over a wide range of currentdensities, the throwing power is usually poor. Examples of desirablecathodic polarization are complex ion-containing solutions such as alkalinestannate (tin plating) and alkaline-cyanide (zinc plating) solutions.

Based on Haring-Blum %TP values, Schaefer and Pochapsky[7]

reported that conventional plating solutions generally fall into four classes:(i) alkaline stannate and zincate (%TP > 50), (ii) most other cyanidesolutions (%TP = 25 to 50), (iii) most acid solutions (%TP > 0 to 25), (iv)chromium plating (%TP = -100 to 0). The wide range of “negative”macrothrowing power for chromium (from chromic acid solutions) is due tothe fact that, within limits, the cathode current efficiency increases withincreasing current density, thereby greatly exaggerating the non-uniformityof the deposits.

Rothschild[8] showed substantial improvement in the throwing power ofthe so-called high throw acid copper sulfate plating solutions containing lowmetal/high acid concentrations employed for through-hole plating of printedcircuits boards, 87% vs. 14% for a conventional high metal/low acid concen-tration solution.

Foulke and Johnson[9] investigated the throwing power characteristicsof various precious metal plating solution formulations. Percent throwingpower values for both macro- and microthrowing power characteristics werereported.

Page 516: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 519

The term throwing power is sometimes mistakenly applied to anotherproperty of plating solutions: namely, covering power. Covering powerrelates to the lowest applied current density at which a plating solutionproduces a deposit, i.e., it is a measure of a solution’s ability to deposit intogeometric recesses of an article to be plated. At very low current densitiesin some plating solutions, the potential required for metal deposition (some-times referred to as the decomposition potential) may not be reached, andsome other electrode reactions support the passage of current; these mayinclude hydrogen evolution or the reduction of addition agents or otherreducible species or ions. Poor covering power or the inability to depositmetal into areas of low current density can sometimes be overcome oravoided by using a high current density (“strike”) to initiate plating into therecess and then reducing the current density to the normal operating range.

Table 10.2: Factors Influencing Current/Plate Distribution

Type of ElectrolyteSimple “Free” IonsComplex Ions

Supporting Ions

Polarization

ConductivityCathode Efficiency-

Current Density Curves

Geometry of Plating SystemOther Factors

Substrate Composition and StructureSurface Preparation and Pre-treatment

Current distribution over the electrode surfaces influence plate distribu-tion and is differentiated as primary, secondary, and tertiary. Primary currentdistribution involves the plating system geometry with the potential constantover the electrode surface and negligible polarization influences. Secondarycurrent distribution involves activation overpotential (ηa), electrode kinetics,and solution conductivity. Tertiary current distribution involves concentra-tion overpotential (ηC), the diffusion (boundary) layer, and solution agitation,i.e., mass transport is a factor.

Current and plate distributions, and methods and calculations fordetermining throwing power, such as the Hull Cell,[10] the Haring-Blum

Page 517: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

520 Deposition Technologies for Films and Coatings

Cell,[11] and Wagner number are reviewed and analyzed by Ibl.[12] Shawki etal[13] devised a method for measuring throwing power into recesses and holesusing cathodes with varying tube diameters and determining plate thicknessalong the depth of the tubes.

The concept of microthrowing power is discussed later.

3.0 ELECTRODEPOSITION

3.1 Mechanism of Deposition

Metal deposition differs from other electrochemical processes in thata new solid phase is produced. This dynamic process complicates andintroduces new factors in elucidation of the mechanisms involved in thedischarge of ions at the electrode surfaces. Factors determining depositionprocesses include:

1. The electrical double layer (~10 angstroms thick) and ad-sorption of ions at the surface-some 2 - 3 angstroms away.At any electrode immersed in an electrolyte, a double layer ofcharges is set up in the metal and the solution ions adjacentto the surface. At solid electrode surfaces, which are usuallyheterogeneous, the character and constitution of this doublelayer may exhibit local variations, resulting in variations in thekinetics of the deposition process. This could affect theelectrocrystallization processes involved in the overall growthprocess.

2. The energy and geometry of solvated ions−−−−−especially thoseinvolving complex ions. All metal ions are associated witheither the solvent (water) molecules or complexed with othersolution constituents either electrostatically or by coordi-nated covalent bonding. Desolvation energy is required intransferring the metal ion out of solution to the growingcrystal lattice.

3. Polarization effects. A symposium[14] on Electrode Pro-cesses was held by the Faraday Society in 1947. Theexcellent papers pioneered the concepts upon which themodern concepts of the deposition mechanism are based.Schaefer and King[15] compiled a chronological annotatedbibliography on polarization covering the period 1875 - 1951.

Thus, the condition of the metal surface to be plated is a basicdetermining factor in the kinetics of the deposition process and the morphol-

Page 518: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 521

ogy and properties of the final deposit. The presence of other inorganic ionsand organic additives in the double layer or adsorbed on to the surface cangreatly modify the electrocrystallization and growth process (Fig. 10.5).

Figure 10.5. (a) The distribution of ions and dipoles in the electrical double-layer.(1) Cations, (2) anions, (3) specifically adsorbed anions, (4) adsorbed additives, (5)adsorbed water dipoles, (6) electrons. (b) The potential as a function of distance inthe double-layer [corresponding to (a)] measured from the metal surface.[16]

Page 519: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

522 Deposition Technologies for Films and Coatings

Based on these considerations, several deposition mechanisms havebeen proposed.[16]-[21] The basic or essential steps as shown in Fig. 10.6include:

1. The aquo- or complexed metal ion is transferred or deposited asan adion (still partially bound) to a surface site. Such sitesinclude the plane surface, edges, corners, crevices or holes withthe plane surface providing the primary sites.

2. The adion diffuses across the surface until it meets a growingedge or step where further dehydration or desorption occurs.

3. Continued transfer or diffusion steps may occur into a kink orvacancy or coordinate with other adions, accompanied by moredehydration until it is finally fully coordinated with other ions (andelectrons) and becomes part of the metal being incorporatedinto the lattice.

Deposition of metal ions results in depletion in the solution adjacent tothe surface. These ions must be replenished if the deposition process is tocontinue. This replenishment or mass transport of the ions can be accom-plished in three ways:

1. Ionic migration is least effective. The mobility of the metal ion isvery low, its migration rate being dependent on the current and thetransport number which is usually less than 0.5. When otherconducting salts, are added, these conduct most of the current,

Figure 10.6. Diagram of the crystallization process according to the theory ofKossel and Stranski. Different atom positions: (a) another phase (gas phase, melt,electrolyte), (b) in the lattice plane [ad-atom (ad-ion)], (c) edge (step) site, (d)growth (kink) site.[18]

Page 520: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 523

reducing the metal ion migration approaching zero. In the caseof complex ions where the total charge is negative (complexedas anions). the migration is actually in the reverse direction.

2. Convection is the most effective, involving substantial move-ment of the solution. This is accomplished by mechanicalstirring, circulation or air agitation of the solution or moving theelectrodes (parts) through the solution. Any one or combina-tion may be employed.

3. Diffusion is the effective mechanism for ionic migration in thevicinity of the electrode surface itself where convection be-comes negligible. The region near the electrode surface wherethe concentration of the ions differs from that of the bulk ofsolution is called the diffusion or boundary layer. It is definedsomewhat arbitrarily as the region where the concentrationsdiffer by 1% or more.[22] The diffusion layer is much thicker thanthe electrical double layer (approximately 15,000 to 200,000times thicker, depending on agitation and temperature.

Figure 10.7 illustrates the diffusion/boundary layer, differentiating theNernst diffusion layer (δN) from the actual diffusion layer. The diffusion rateof the reacting species is given by:

Eq. (17) R = D (Cs - Ce) / δN

where: R = diffusion rate (moles cm-2 s-1)

D = Diffusion coefficient (cm2 s-1)

Cs = solution concentration (bulk concentration)

Ce = concentration at electrode

δN = the Nernst diffusion thickness

The diffusion rate increases as δN decreases. On flat, smoothelectrode areas, the diffusion layer is fairly uniform. At rough surfacesor irregularities which have a roughness profile with dimensions aboutequal to the diffusion layer thickness, the diffusion layer cannot follow thesurface profile, being thinner at the micropeaks than in the microvalleys.The deposit may be thicker at the peaks than in the valleys, a conditioncharacterized as poor microthrowing power. A reverse condition mayalso exist resulting in good microthrowing power or leveling, i.e., makingthe surface smoother after plating than before plating. Figure 10.8represents the three types of microthrowing power. The plating solutioncomposition, especially organic additives, greatly influences the charac-ter of microthrowing power and brightening.

Page 521: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

524 Deposition Technologies for Films and Coatings

Figure 10.7. Relation of Nernst diffusion layer (δN) to actual diffusion layer (δ).

The limiting current (or current density) (see Fig. 10.4) can be defined*as:

Eq. (18) iL = nFD (Cs - Ce) /,δN

where n = electron transfer, F = Faraday constant, D = diffusion coefficient.When the overpotential is sufficiently high, Ce can be neglected and Eq. (18)becomes:

Eq. (19) iL = nFDCs / δN

and D/ δN equals the mass transfer coefficient.Increasing the current density increases the plating rate. However, the

deposit deteriorates when the current density exceeds some value depend-ing on the solution composition and operating variables. Rough, burnt,dendritic, or powdery deposits maybe obtained when the limiting currentdensity region is approached. Landau[23] observed that it is not the absolutevalue of the current density which determines the quality of the deposit, but

* Electroplaters consider the limiting current density as the maximum current densitywhich will still produce acceptable deposits. This value is generally lower thancalculated values using Eqs. 18 and 19.

Page 522: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 525

rather the ratio of actual plating current density to the limiting currentdensity,i/iL. He determined that when the ratio exceeded 0.6, rough depositsgenerally resulted, with the concentration overpotential, ηC, becomingappreciable. The limiting current density is higher as agitation is increased,especially in the turbulent regime.

Figure 10.8. Types of microthrowing power.

Page 523: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

526 Deposition Technologies for Films and Coatings

3.2 Parameters

The parameters generally controlling the composition, structure andproperties of the deposit are shown in Fig. 10.9. These are briefly reviewed.

Basic Electrolyte Composition. This includes the compounds sup-plying the metal ions (to be deposited) and the supporting ions. The basicfunctions of the supporting ions or compounds are to stabilize the electrolyte,to improve solution conductivity, to prevent excessive polarization andpassivation (especially anodic), and to provide compatibility with the desiredplating conditions. Supporting ions or conducting salts reduce the currentshared by the metallic ions or complexes, making convection (agitation) amore significant factor.

Additives. Additives, commonly called addition agents (A.A.), arefrequently added to plating solutions to alter desirably the character of thedeposit. Read[24] discussed the effects of A.A. on the physical and mechani-cal properties of deposits−−−−−intentional or accidental. They are usually organicor colloidal in nature although some are soluble inorganic compounds. Whenadditives produce a specific effect, they are descriptively called brighteners,levelers, grain-refiners, stress-relievers, anti-pitters, etc.

Profound effects are produced with small concentrations, ranging froma few mg/L to a few percent. In general, the effective concentration range isof the order of 10-4 to 10-2 moles/liter. The mechanisms by which theseeffects are achieved are not clear in spite of a considerable amount ofresearch and published literature (including a voluminous patent literature,since most commercial additives are proprietary). However, the additivemust be adsorbed or included in the deposit in order to exert its effect, andthus appears related to its role in the diffusion layer. Kardos[25] reviewedcomprehensively the “diffusional” theory of leveling, which, experimentallyderived, provides a scientific basis to explain the phenomenon.

To date, no generally acceptable mechanism has been devised toexplain satisfactorily the brightening action of addition agents. Brightness,of course, is related to the absence of roughness on a very small scale. Thediffusion-controlled leveling theory may be involved for rough surfaces butbecomes inapplicable on smooth surfaces or on the sustained growth ofbright deposits. Kardos[25] recognized this limitation, and for the latterfavored the selective adsorption of inhibitor on certain growth sites withoutbeing diffusion-controlled. The selective adsorption of brightening agents onactive sites (lattice kinks, crystal projections, growth steps) or random

Page 524: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 527

Figure 10.9. Factors influencing the properties of deposition

Mechanical Properties

HardnessDuctility

Tensile StrengthStress

Modulus of elasticity

Physical Properties

DensityCoefficient of thermal

expansionElectrical resistance

Additives

LevelingBrighteningAnti-pitting

Grain refiningStress relieving

Composition andStructure of

Deposit

Thickness

CurrentCharacteristics

DC-% ripplePRIC

Superimposed ACAsymmetrical AC

Pulsed

Basic ElectrolyteComposition

Impurities

Corrosion resistance

Magnetic properties

Controlled PlatingVariables

pHC.D.

TemperatureAgitation

Time

Page 525: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

528 Deposition Technologies for Films and Coatings

adsorption to suppress crystallographic differences in the deposit representother proposals of the mechanism, but these are still highly conjectural.Other reviews,[26]-[29] with extensive references, discuss the problemsassociated with elucidating a brightening mechanism. It seems likely that the“trial and error” method of selecting brightening agents will remain the reallyeffective approach−−−−−at least for the near future.

While neither leveling nor brightening may be considered “properties”of the deposit, the resulting grain size can greatly influence the physical andmechanical properties due to the inclusion of these additives or the decom-position products−−−−−especially sulfur and/or carbon−−−−−in the deposit. Thecorrosion characteristics of these deposits are also affected, usually ad-versely.

The functions of the other types of addition agents are evident. In manyinstances, the same addition agent performs several of these functions oracts synergistically with other solution constituents or other addition agents.

Controlled Plating Variables. The influence and effects of theoperating variables are somewhat dependent upon the solution composition.They are also interdependent. All exert an influence on the structure andproperties of the deposit. They are not always predictable, and establish-ment of optimum ranges is usually determined empirically.

The use of ultrasonic energy agitation in electroplating solutions, i.e., itseffect on the polarization, the diffusion layer and properties of deposits hasreceived considerable interest since the 1950’s. Rich[30] determined that lowfrequency vibrations (16 - 30 kHz) produced more uniform results, andRoll[31] obtained best results in the frequency range 20 - 50 kHz with intensity(power) range at 0.3 - 0.5 watt cm-2. However, Hickman[32] found that resultsbased only on reported frequencies and intensities provide an inadequatedescription and suggested the use of the limiting current method withcharacterization of the ultrasonic agitation intensity in terms of diffusion layerthickness. The considerable research by Russian investigators is reportedby Kapustin and Trofimov.[33] Walker and Walker[34] reviewed the effects ofultrasonic agitation on properties of deposits and noted that the conflictingresults reported in the literature may be due to the differences in frequency,intensity and methods of application. Forbes and Ricks[35] were able toreduce the number of preparatory steps required to silver-plate aluminumbus bars from 11 to 4, using ultrasonic agitation in key operations. In thisconnection, ultrasonic agitation has been widely employed in degreasing,cleaning and pickling pre-plating operations.

Page 526: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 529

Some of the advantages attributed to the use of ultrasonics in elec-trodeposition are;

1. Higher permissible current densities resulting in higher rates ofdeposition.

2. Suppression of hydrogen evolution in favor of metal deposition,i.e., a shift in limiting current density.

3. Improved adhesion

4. Reduced porosity

5. Reduced stress

6. Increased brightness

7. Increased hardness (especially in chromium deposits)

The influence of ultrasonic agitation on grain size appears to be the mostimportant factor, controlling most of the other property changes. However,no specific effects or trends can be attributed to ultrasonics.[34]

Walker and Holt[36] applied hydrosonic agitation as an alternative toultrasonic agitation. The plating solution is circulated under pressure throughan hydrosonic generator, converting solution velocity to acoustical energywith a pulse waveform from 5 kHz to the ultrasonic range solely bymechanical action. Results similar to plating with ultrasonic agitation werereported without some of the disadvantages associated with ultrasonics.

Impurities. It is practically impossible to maintain a plating solution freeof impurities. Common or potential sources include:

1. Chemicals used for make-up and maintenance.

2. Impure anodes.

3. Improperly cleaned anodes, anode bags and filters.

4. Rubber or plastic linings and hoses.

5. Rack coatings or maskants.

6. Decomposition of addition agents.

7. Improper rinsing and drag-in of solution from the previous step

8. Accumulated dissolution of parts during plating.

9. Corrosion of electrical bus bars suspended above the solution.

10. Improper or insufficient cleaning or preparation of parts prior toplating.

11. Fall-in of airborne dirt and oil particles.

12. Chemicals in water used for volume replenishment (e.g., hardwater).

13. Generally poor housekeeping.

Page 527: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

530 Deposition Technologies for Films and Coatings

Particles suspended in the solution may become attached to thesurface, resulting in rough, nodular deposits, or leave pits if they fall off; eitherresult produces adverse effects on the integrity and corrosion resistance ofthe deposit. (A notable exception is the dispersion of controlled particles tobe included into the deposit; see Dispersion Coatings in Sec. 5.2.)

Organic impurities generally contribute to pitting, poor covering power,poor adhesion, and harder, more brittle, stressed, darker deposits.

Metallic impurities contribute to pitting, poor throwing power, pooradhesion, lower cathode efficiency, stress and cracking, brittleness, burn-ing and off-color deposits. These cations may co-deposit or becomeentrapped in the deposit, altering its structure and properties. Furthermore,the distribution of the impurity in the deposit may be current densitydependent−−−−−usually more concentrated in the low C.D. areas. (Dummying,i.e., removal of impurities from solution by electrolysis, is a common practicein certain plating solutions−−−−−especially nickel−−−−−at C.D.’s between 0.2 and 0.5amps/dm2.) The effects and removal of metallic impurities (copper, zinc andiron) in nickel deposition were studied in detail by D. T. Ewing et al.[37]

Current Characteristics. All plating processes−−−−−with very few excep-tions−−−−−require unidirectional or direct current (DC). Current sources aremotor generators or rectifiers which convert alternating current (AC) to DC,with the latter almost completely supplanting the former. At present, siliconrectifiers are the most widely used.

Depending on the number of rectifying elements, the type of AC (singleor three phase), and the circuitry, the output wave form can be half wave or(usually) full wave with varying percentages of ripple, ranging from 48% toless than 4%. In most plating processes, especially from complex ion typesolutions, ripple may not be too significant. However, it can be a significantfactor in some plating operations, notably chromium where the ripple shouldbe low (5 - 10%), since higher ripple may co-deposit excessive oxides andadversely affect the deposit’s structure and result in dull deposits. DCrectifiers used in gold and other precious metal plating require ripple to be aslow as 1% for optimum deposit characteristics.

Figure 10.10 represents examples of the modulated current formsemployed in attempts to reduce the magnitude and effects of polarization andto alter the structure and properties of deposits.

Superimposed AC on DC, the earliest approach, has not had extensiveapplication. Zentner[38] employed this technique to raise the coercive force(Hc) and decrease remanence (BR) in cobalt-nickel alloys developed for hardmagnetics.

Page 528: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 531

Figure 10.10. Examples of pulsed wave forms[47]

Page 529: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

532 Deposition Technologies for Films and Coatings

Periodic reverse, or PR,[39] under the proper conditions, producesdense fine-grain, striated, leveled and bright deposits. It has its greatesteffect and applications on deposits from cyanide solutions, notably copper,permitting smooth, heavy deposits. [Copper deposits produced with DCfrom cyanide solutions generally become nodular when thicknesses exceed0.075 - 0.1 mm (3 - 4 mils).] A typical PR cycle is 15 seconds plating and 3seconds deplating; the longer the deplating (reversal) cycle, the smoother isthe deposit.

The extended plating time or increased current density required by PRto deposit a given thickness led to the use of interrupted DC employing similarcycles. The interrupted or off duty segment’s function is to permit thediffusion layer to be replenished.

Asymmetric AC can be considered a variation of PR. In an interestingapplication, Rehrig[40] used high frequencies (500 Hz), and very high currentdensities [cathodic C.D. ~82.5 A/dm2 - 110 A/dm2 (~750 - 1000 A/ft2) andanodic C.D. at 25% of cathodic C.D.] for high speed spot plating of gold onlead frames to obtain good bonding properties. In contrast, DC currentdensities in excess of 33 A/dm2 (300 A/ft2) produced deposits with poorbonding characteristics. Co-deposited metallic impurities were removedduring the anodic phase; the degree of effectiveness was proportional to theanodic C.D. with a minimum of 22 A/dm2 (200 A/ft2) required. The deposithardness decreased and the bond pull strength increased as anodic C.D.increased.

Considerable work is being done applying pulsed current modificationin plating, especially in electronic plating applications. Wan et al.[41] andPuippe et al.[42] reviewed the literature to 1979. Two symposia[43] and amonograph, “Theory and Practice of Pulse Plating,”[44] present currentpractices and applications involving pulse plating. Pulse plating may bedefined as on/off DC as is interrupted DC mentioned above. The primarydifferences are that the on pulses are of very short duration, generally 5 - 15milliseconds, and the off time is approximately ten times longer; much highercurrent densities are applied. The so-called duty cycle is the ratio of on time(Ton) to off time (Toff):

Eq. (20) Duty Cycle = Ton / (Ton + Toff)

The time interval (on + off) is the reciprocal of the frequency, the on time beingthe product of the time interval and duty cycle.

The average current density is calculated as the peak current densitytimes the duty cycle. The average current density in pulse plating cannot

Page 530: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 533

exceed the diffusion limiting current density determined for DC plating[45][46]

The size of a pulse rectifier, i.e., the peak current required, is determined bythe ratio of the average current to the duty cycle. The duty cycle is usuallyreported as percent. Each variable influences the properties and quality ofthe deposit and the optimum conditions are usually determined experimen-tally.

Osero[47] evaluated the equipment associated with pulse current modi-fication. Avila and Brown[48] detail the circuitry and power requirements.They indicated that the off time is critical since it is based on and determinesthe requirements of the diffusion layer returning to equilibrium.

Cheh et al.[49] indicated that the cathode current efficiency (CCE)dropped from 100% to a 93.7 - 80.4% range due to pulse plating, shorterpulses (0.5 msec) resulting in lower CCE than longer ones (2 - 10 msec).They hypothesized that this may be due to the 2-step reduction mechanismas advanced by Mattson and Bockris:[50]

Eq. 21 Cu2+ + e- → Cu1+

Eq. 22 Cu1+ + e- → Cu0

where Eq. 21 is faster than Eq. 22 during the first interval of the pulse. Thus,the cuprous ion (Cu1+) accumulates and during the relaxation (off) perioddisproportionates:

Eq. 23 2Cu1+ ← Cu2+ + Cu0

The throwing power of copper, as measured with the Haring Cell, wassomewhat reduced by pulse plating while that of gold from a citrate-phosphate solution was improved; however, the improvement diminishedrapidly with increasing peak current densities. Using a rotating discelectrode, they found a slight improvement in the microthrowing power of thegold solution due to pulsing; however, the current densities and especiallythe agitation used had more significant effects.

Reid[51] found that pulsed plating in cobalt-hardened gold depositsvirtually eliminated polymer formation under low C.D. (~5 mA/cm2) and highoff-to-on ratios (100 ms/10 ms). Other effects in the properties noted were:

1. Improved ductility without any significant decrease in hard-ness.

2. Increased density−−−−−even in the presence of polymer−−−−−from 17.1gm/cm3 for DC plating (1 mA/cm2) to 19.2 gm/cm3.

Page 531: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

534 Deposition Technologies for Films and Coatings

3. Significant reduction in electrical resistance for Co-hardenedgold, from 14 µΩ-cm to 6 µΩ-cm, but an insignificant reductionin pure gold deposits, from 3 µΩ-cm to 2.4 µΩ-cm.

Effects of pulsed plating on the deposit compositions and properties ofgold and gold alloys are reviewed by Raub and Knödler.[52] They showincreased alloying element content (Ni or Co) and a decrease in carboncontent as a function of off-time. The tensile stresses are reduced in alloydeposits, while the hardness is about 10% higher than that of comparable DCplated alloys. The gas content (H2, N2, and O2) of pulsed plated deposits isalso substantially reduced. Knödler[53] reviewed the use and effects of pulseplating of the precious metals. Hosokawa et al.[54] found that desirableproperties of gold and rhenium deposits sometimes lie within a narrow rangeof pulse parameters. They found that the CCE was five times greater thanwith DC plating when the duty cycle exceeded 50% with a pulse duration of3 - 5 µsec. Puippe and Ibl[55] studied the influence of Ton and Toff on themorphology of cadmium, copper, and gold deposits. The influence of Toffproved to be important with regard to electro-crystallization; it also stronglyinfluenced other properties unrelated to the morphology of the deposits.

The effect of pulse plating on current distribution and throwing powerwas reviewed by Dossenbach.[56] He indicated that pulse plating does notaffect primary current distribution and compared to DC plating provides aless uniform secondary current distribution whereas the tertiary distributioncan be improved, especially for short duration high current density pulses.

Avila[57] reviewed pulse plating of alloys. The pulse plating of other(individual) metals have been discussed in Refs. 43 and 44.

Fundamental aspects of pulse plating were presented by Ibl.[43a][58]

The influence of pulsing and the effect on the double layer at the electrodesurface are discussed by Puippe and Ibl.[59]

Some of the advantages claimed for pulse plating are:

1. Faster plating rates due to increased permissible current den-sities.

2. Denser deposits (less porosity).

3. Higher purity of deposits, less tendency for impurities to deposit.

4. Smoother, finer-grained deposits.

5. Reduced need or elimination of addition agents.

6 Less hydrogen evolution, providing sharper, finer lines at mask-ing interfaces and possibly less hydrogen embiittlement.

7. Decreased stress in deposits.

8. Increased Ni or Co contents in alloy-hardened gold depositswith less polymer formation.

Page 532: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 535

Some of the effects and advantages attributed to pulse plating and othermodulated current wave forms are very similar to those for ultrasonicagitation. Both attempt to reduce the adverse polarization effects bydecreasing the Nernst diffusion layer thickness while increasing masstransfer of the reacting species, permitting the use of higher current densi-ties. In many instances, pulse plating has an effect similar to organic additionagents, especially as related to grain size.

A more recent approach to modification of plating processes andresulting deposits involving the simultaneous application of laser energy wasfirst reported by von Gutfeld et al.[60] The impingement of a laser beam onthe cathode surface resulted in increased plating rates by as much as a factorof 1000. The mechanisms responsible for this deposition rate increase wereinvestigated by Puippe et al.[61] The absorption of laser energy resulted inlocalized increase in temperature at the cathode/solution interface whichproduced vigorous agitation (microstirring), a shift in the rest potential (opencircuit potential), and an increase in both the charge transfer and masstransfer rates.

Gutfeld and Romankiw[62] described the application of laser-enhancedplating to gold patterning, i.e., the selective deposition on spots and patternsor tracks with the ability to “write directly” without the use of masks as well aspotential use in repair of electronic circuitry. Bocking[63] described platingequipment and set-up combining laser-enhanced plating with high speed jetselective plating with plating rates as high as 16 ∝ m/s. Pure gold wasdeposited on both metallic and metallized ceramic substrates without theneed for any masking. Gutfeld et al.[64] developed a method for selectivepattern plating by applying a dielectric coating and utilizing a laser (Nd-YAGlaser) to produce the desired pattern leaving a clean surface which could thenbe plated by conventional means. Gelchinski et al.[65] found that laser-enhanced jet plating of gold increased deposit smoothness and decreasednodularity and voids with increasing laser power density; hardness of thedeposits was in the range characteristic of soft gold.

Kuiken et al.[66] indicated that laser-enhanced plating was not veryeffective on good heat-conducting substrates since only a limited tempera-ture rise results. They suggested depositing an undercoat of a relatively poorheat-conducting material such as a nickel-phosphorus alloy (which is almost1/20 that of nickel), significantly improving the effectiveness of laser-enhanced plating and reducing the need for high laser power densities.

Page 533: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

536 Deposition Technologies for Films and Coatings

Zahavi et al.,[67] using a Nd/YAG laser, deposited Au and Pd-Ni alloysdirectly on semiconductor and polymeric substrates with conventionalelectroplating solutions but without external current. The deposition washighly selective and accomplished without masking or any surface prepara-tion. The deposits exhibited Schottky contact behavior on n-type silicon andGaAs substrates.

4.0 PROCESSING TECHNIQUES

The preparation of metal surfaces for plating involves the modificationor replacement of interfering films to provide a surface upon which depositscan be produced with satisfactory adhesion. The type and composition of thesoils present as well as the composition and metallurgical condition of thesubstrate determine the “preparation cycle” and the materials used. Theoperations involved are designed to accomplish these objectives:

1. Clean the surface.

2. Pickle or condition the surface.

3. Etch or “activate” the surface.

4. Stabilize the surface. Strike

In some cycles, several objectives are combined in the same operation.Rinsing steps follow each treatment step.

Each of these steps is examined separately.Cleaning. The cleaning steps serve two functions: (i) Removal of bulk

soils (oils, grease, dirt). This may involve mechanical operations such as wetor dry blasting with abrasive media, brushing or scrubbing or chemicalcleaning with solvents (degreasing) or emulsions. (ii) Removal of last “trace”residues. Usually chemical soak (or spray) and electrochemical cleanersare employed. These can affect the substrate and therefore should becompatible with it. Such cleaners may contain alkaline chemicals, surfac-tants, emulsifying or dispersing agents, water softeners, inhibitors, andchelating agents. Acidic formulated cleaners are also available.

Pickling or Conditioning. These are acid dips which neutralize andsolubilize the residual alkaline films and micro-etch the surface. Thecommon acid dips are either sulfuric acid (~5 - 15% v/v) or hydrochloric acid(~5% to full strength) and are satisfactory for most alloys. Where undesirablereactions or effects may occur, the acid dip should be formulated to becompatible with the substrate composition.

Page 534: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 537

Etching or Activating. Undesirable (from the plating viewpoint)metallurgical micro-constituents are removed or rendered non-interfering; e.g.,silicides in aluminum alloys or nickel, or chromium in stainless steels or superalloys, or these steps remove or reduce oxides or other passive conditionsprevalent to some surfaces.

High nickel and/or chromium containing alloys usually have a tenaciousoxide or passive films which must be destroyed with strong acids or anodicetching in strong acids. Solutions containing 15 - 25% v/v or more sulfuric acidare usually employed at low current densities, 2.2 A/dm2 - 5.5 A/dm2 (20 - 50A/ft2) for metal removal, or at high current densities, 10 - 30 A/dm2 (100 - 300A/ft2) for smut removal or oxide alteration. Both current density ranges may beemployed to maximize adhesion of thick deposits.

In special cases, activation may be accomplished by cathodic treatmentin acid or alkaline (cyanide) solutions. Hydrogen is deposited at the surface toreduce superficial oxide films. Solution contamination must be avoided orminimized since such contamination especially heavy metal ions may be co-deposited as smut.

Stabilizing. Very active materials alloys of aluminum, magnesium ortitanium tend to oxidize or adsorb gases readily, even during rinsing andtransfer. These continue to interfere with adhesion of deposits. Therefore, anecessary step involving an immersion deposit of zinc or tin, electrolesscoating, or modified porous oxides is required to make the surface receptive toan adherent electrodeposit.

The electrodeposition of thin coatings from specially formulated solutionscalled strikes are considered stabilizing steps since they provide new, homo-geneous, virgin surfaces upon which subsequent deposits are plated. Thesestrike solutions and plating conditions are usually designed to be highlyinefficient electrochemically. The considerable hydrogen gas evolution assistsany final cleaning, reduction of oxides, and activation of the surface while thethin deposit covers surface defects and remaining soils (smut).

The most widely used strike is the cyanide copper strike. The pH and“free” cyanide content are varied depending on the alloy being plated. Atypical formulation range is:

Copper cyanide, CuCN 15 - 25 g/L (2 - 3.5 oz/gal)Sodium cyanide, NaCN 22 - 40 g/L (3 - 5.3 oz/gal)“Free cyanide”, NaCN 2.5 - 11 g/L (0.3 - 1.5 oz/gal)Sodium carbonate, Na2CO3 15 - 60 g/L (2 - 8 oz/gal)

pH 10.5 - 13.0Temperature RT or slightly elevated, 38 - 45oCC.D. 0.55 - 1.1 A/dm2 (5 - 10 A/ft2)

1.1 - 2.2 A/dm2 (10 - 20 A/ft2)

Page 535: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

538 Deposition Technologies for Films and Coatings

The lower pH’s and free cyanide are used for sensitive metals such asaluminum or zinc alloys; the higher pH is used for steels. The cleaning oractivating ability is increased with increasing pH, free cyanide, C.D. andtemperature. The deposit thickness is approximately 0.25 - 0.50 ∝ m (0.01- 0.02 mils); thicker deposits—1.25 - 2.5 ∝ m (0.05 - 0.1 mils)—are appliedat slightly higher temperatures.

The second most common strike is the Woods nickel strike or itsmodifications. This strike is effective (and preferred) on high nickel orchromium containing alloys. A typical formulation is:

Nickel Chloride, NiCl2· 6H2O 240 g/L (32 oz/gal)Hydrochloric Acid (Conc.): 125 ml/L (16 fl. oz/gal)

Temperature RT (20 - 30oC)C.D. 5 - 20 A/dm2 (50 - 200 A/ft2)Time 0.5 - 3 minutes

Silver or gold strikes are used prior to plating thicker deposits of thesemetals. Either a gold strike or the strike of the particular precious metal isused prior to plating the specific metal. These are generally formulatedsimilar to the plating solution except that they contain approximately one-tenth the metal ion concentration. The strikes may be applied directly to thesubstrate or, more commonly, on the copper or nickel strikes discussed. Theuse of these strikes minimizes the possible contamination of precious metalplating solutions.

Unusual strikes are sometimes employed in special procedures. Forexample, a chromium strike appears to be most effective for plating onmolybdenum alloys or an acid copper or electroless nickel strike on titaniumalloys followed by a thermal diffusion treatment to obtain adhesion ofsubsequent deposits.

Properly designed preparation cycles and the establishment of a stablereceptive surface are prime requisites for good quality deposits. However,the condition and integrity (or lack of it) of the surface prior to plating alsoaffect the quality of the deposit; this is becoming more evident as quality andfunctional requirements of electrodeposits are increased.

Some plating processes require post-plating treatments. To improvethe corrosion resistance of zinc or cadmium deposits or the tarnish resis-tance of silver, chromate conversion coatings are applied by chemical orelectrochemical treatments; these gel-like films also improve adhesion ofpaint films.

Since most preparation and plating processes generate hydrogenwhich can be occluded and can migrate into the substrate, possibly causing

Page 536: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 539

hydrogen embrittlement, stressed articles or high-strength materials areusually given a stress relief bake in air at 190oC (350 - 400oF) for 3 - 24 hourswithin 3 - 4 hours after plating.

Procedures for the preparation of difficult-to-plate substrates havebeen prepared as “Standard Recommended Practices” by ASTM. These arelisted in Appendix A. The “Standards” reference the literature upon whichthey are based. Included in the Appendix is a discussion of preparation ofless common metals.

5.0 SELECTION OF DEPOSIT

5.1 Individual Metals

Only nineteen or so of all the known individual (single) metals arepresently of practical interest in aqueous electrodeposition. Of these, onlyten have been reduced to large scale commercial practice. These areindicated in Table 10.3 with the most widely used ones underlined. Holt[68]

reviews the electrodeposition of “uncommon” elements from aqueous,organic and fused salt media.

Alloy deposition, electroless deposition and deposition with dispersedparticles (inclusion plating) extend the practical use of aqueous coatingsystems considerably. These are discussed separately.

In order to make a proper selection of a deposited coating, one must becognizant of the fact that these coatings can vary widely in structure andphysical and chemical properties, depending on the electrolyte compositionand operating conditions as discussed above. For example, the hardnessof as-plated chromium deposits can be varied from 350 to 1100 DHN, andnickel from about 150 to 650 DHN. The corrosion protection afforded by acoating depends upon its electrochemical relationship to the substrate, itsthickness, continuity (porosity), and the environment as well as its overallquality. The important factors to be considered in the selection of a depositare the purpose of the deposit and the use (function) of the finished article.Other factors which must be considered are the size, shape, and expecteduseful life of the article and the costs and environment involved.

Table 10.4 comprises a list of various engineering functions of depos-ited coatings and the deposits usually employed. Table 10.5 gives “repre-sentative” hardness values for various deposits in relation to some commonmaterials and hardness scales. Spencer[69] discusses selection factors forcoatings, their properties and characteristics, and uses.

Page 537: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

540D

epo

sition

Tech

no

log

ies for F

ilms an

d C

oatin

gs

Table 10.3. The Periodic Table

Page 538: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 541

Table 10.4. Selection of Deposits________________________________________________________________________

Primary Function Most Widely of Coating Used Coating Representative Application_________________________________________________________________________

Corrosion Zn, Cd Sacrificial coatings, fasteners,Resistance hardware fittings

Sn Food ContainersNi, Cr Food processing equipment

(wear resistance required)

Decorative Cu/Ni/Cr Household appliances,composite, automotive trim

Brass (Cu-Zn)Ag, Au, Rh Jewelry

Dielectrics Anodized oxide Condenserscoatings of CapacitorsAl & Ti, Ta Coatings

Electroforms Ni, Cu, Fe, (Cr) Radar “plumbing,” screens,Co, composites bellows, containers, molds

High temp. oxidation Cr, Rh, Pd, Pt, Air and space craft resistance Au, Ni Electronic devicesDiffusion Barrier

Maskant Cu, Sn Bronze Selective carburizing, nitridingSn, Pb-Sn Etch Resists

Reflectors Ag, Rh, Cr Visible light reflectorsAu Infra-red reflectors

Salvage Cu, Ni, Cr, Fe Mismachined, worn parts

Soldering, Pb, Sn, Sn-Pb Containers, printed circuitBonding Cu, Ag, Au and other electronic

Sn-Ni, Cd, Ni assemblies and chassis

Wear Resistance Ni, Cr, E-Ni, Air and space craft,Hard Anodizing hydraulicsRh, Au, Au alloys Electronic contacts

_________________________________________________________________________

Page 539: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

542 Deposition Technologies for Films and Coatings

Table 10.5. Comparison of "Normal Hardness" of Commonly DepositedCoatings in Relation to Hardness Scales

(Modified and based on Metal Progress, p. 131, Sept. 1959)

Page 540: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 543

5.2 Alloy Deposition

Alloy deposition extends the availability and applicability of coatingsfrom aqueous solutions. It is an area of increasing research and develop-ment, although most of the systems have not attained commercial applica-tion. An extensive literature has developed. Brenner’s two-volume compre-hensive, definitive monograph[70] details compositions, operating condi-tions, structures and properties of the deposits, covering developments upto 1960. A Russian monograph[71] details their extensive research in thisarea. Brenner[72] updated the state of the art to 1964. Krohn and Bohn[73]

reviewed the literature to 1973 with a count of more than two hundred binaryalloys; Fig. 10.11 summarizes the binary alloy combinations reported toJune, 1972. Over one thousand abstracts on alloy deposition were reportedin Chemical Abstracts between 1964 and 1972. Sadana et al.[74] annuallyreview developments in alloy plating.

Figure 10.11. Binary alloys which have been electrodeposited form aqueoussolution: % indicates alloys reported up to 1960, $ indicates alloys electrodepositedfor the first time between 1961 and 1964, and & indicates alloys reported since1964.[73]

Page 541: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

544 Deposition Technologies for Films and Coatings

The most widely used plated alloys are:

Cu-Zn brasses ranging from red brass to white brass, primarilydecorative and for rubber bonding.

Cu-Sn bronzes, decorative, antiquing and as corrosion resistantundercoats substituting for a copper strike.

Sn-Pb compositions ranging from 5% Sn to 65% Sn. Applicationsinclude bearings, corrosion resistant coatings, solderablecoatings and etch-resists in electronic assemblies.

Au-Co, hardened gold alloy deposits used for electronic contactsAu-Ni and wearing surfaces.

Sn-Ni for corrosion resistance and solderability.

Ni-Fe as substitute for nickel plating (decorative), soft magneticson computer heads (Permalloy).

Ni-P deposited either electrolytically or (more prevalently)electrolessly for its hardness, wearability and corrosionresistance and as non-magnetic undercoat on computerhard disks.

Co-Ni for decorative plating, magnetic applications electroforming(molds for plastics).

Co-P for hard magnetics, sometimes as ternary alloys containingNi, Fe, Zn, W, Mo, etc.

The electrodeposition of tungsten alloys[75]-[78] of Fe, Ni and especiallyCo is commercially feasible but has remained largely experimental althoughtheir properties should be of sufficient interest for engineering applications.While the as-deposited hardness is lower than chromium or Ni-P, thesealloys can be precipitation hardened. One drawback is the high optimumtemperature (600oC) for the Co-W alloys, which can be detrimental to thesubstrate. The deposits retain hot hardness similar to the Stellites.

Binary and ternary alloys of Fe, Ni and Cu have been produced almostas stainless steel coatings[79]-[83] other studies[22][73][84] include reviews.Machu[85] investigated the problems with anodes especially oxidation tohigher valence states and the use of insoluble anodes, alone and incombination with soluble anodes. Other work with ternary alloys has been

Page 542: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 545

with gold alloys to increase hardness; Au-Ag-Sb alloys[86] reportedly showedwear resistances 25 - 33 times greater than pure Au. Srivastava[87] reviewedthe electrodeposition of ternary alloys with special reference to solutioncompositions and characteristics and applications.

Amorphous coatings, i.e., coatings exhibiting no x-ray diffraction pat-terns, have been produced by electrodeposition and electroless deposition.Aqueous deposition possibly is the best means for producing amorphousmetals and alloys since low operating temperatures are involved and rapidsolidification (as with metallurgically produced alloys) is not involved. Iron,nickel and cobalt-based alloys containing sufficient phosphorus or boron aregenerally amorphous in the as-plated condition. Thick amorphous electro-forms of Ni-P have been produced.[88] The deposition of amorphous alloysis not restricted to alloys containing these light non-metallic elements.Amorphous single metal electrodeposits have also been produced, e.g.,amorphous chromium deposits.[89] Amorphous deposits are generally hard,and corrosion and wear resistant.

Methods other than co-deposition have been developed to producealloy coatings. These include diffusion of sequential deposits, dispersion ofparticles or fibers in deposits (electro-composites), electrophoretic phenom-ena, and mechanical plating.

Diffusion coatings. These processes involve the deposition ofcoatings sequentially similar to the composite; Cu under Ni under Cr fordecorative finishes, followed by a thermal diffusion treatment. Such tech-niques have been applied to improve the adhesion of deposits on difficult-to-plate substrates (diffusion bonding). They have not, however, been exten-sively applied to producing alloy coatings by deposition possibly due totemperature requirements and the formation of intermediate diffusion zoneswith undesirable properties (brittleness, etc.).

A proprietary alloy of Ni-Zn called “Corronizing”[90] was used commer-cially as an improved corrosion resistant coating. (Subsequently, co-deposited Ni-Zn alloys were developed.) The substitution of Cd for Zn byMoeller and Snell[91] produced a corrosion preventive coating for jet engineparts, permitting the use of low alloy steels operating at temperatures up to535oC (1000oF). The coating consisted of 5 - 10.2 ∝ m (0.2 - 0.4 mils) Ni plus2.54 - 5 ∝ m (0.1 - 0.2 mil) Cd diffused at 332oC (630oF) (M.P. of Cd = 321oC(611oF)). The satisfactory function of this diffused alloy coating is dependenton the quality and characteristics of the Ni component.[92]

Sequentially deposited coatings of Co-W alloy and Cr diffused in air andin a carburizing atmosphere are shown in Fig. 10.12 to illustrate the potentialof producing unique alloy coatings by controlled heat treatments.

Page 543: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

546 Deposition Technologies for Films and Coatings

Figure 10.12. Diffused Co-W/Cr/Co-W composite coatings. (a) H.T. in air, 1680°F,10 hrs (500x) (unetched), (b) H.T. in carburizing atmosphere, 1680°F, 10 hrs (500x)(unetched), (c) H.T. in atmosphere, 1680°F, 10 hrs (500x) (etchant: hot Murakami).

(a)

(b)

(c)

Page 544: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 547

Dispersion Coatings. One of the common problems in electroplatingis roughness of the deposit, the primary cause of which is the presence andsuspension of discrete particles in the solution with subsequent entrapmentin the deposit. To overcome this problem, continuous or periodic filtration ispart of the operation for many types of plating solutions; so it is not difficultto include foreign material into a deposit. The purposeful addition of asecond, dispersed phase of controlled particle size into a plating solution, isreferred to variously as: dispersion, inclusion, occlusion, composite orelectrophoretic plating, deposition or coating.

The requirements are simple:

1. The particles must be insoluble (or only slightly soluble) in thesolution.

2. The particles must be compatible with the solution, i.e., notproduce any detrimental effects.

3. The particles must be dispersed either “naturally” (as colloidalsize particles) or mechanically (stirring, agitation) in order tocontact physically the surface being coated.

4. The particle size is usually in the colloidal range (~0.005 - 0.2∝ m) or slightly larger, usually less than 0.5 - 1.0 ∝ m althoughthere are exceptions for certain applications.

The possibilities are numerous. Satin nickel deposits[93] were devel-oped to reduce glare on automotive trim, also providing improved corrosioncharacteristics. Kilgore[94] described various applications including: (a)non-galling Ni deposits containing 1000 mesh silicon carbide for pistons andcylinder walls on internal combustion engines, (b) inclusion of Cr in Nideposits producing nichrome by subsequent heat-treatment, (c) 120 gritdiamond dust in nickel to produce permanent abrasive grinding wheels. Thehardness and wearability of Cd deposits from acid baths were improved byinclusion of corundum or boron carbide particles.[95]

An important, desired result of dispersion plating is the improvedstrength, hardness, creep and other properties of the deposit, including theretention of strength after thermal treatments. Sautter[96] reported in-creased yield strength from 8 kg/mm2 (11,375 psi) for pure Ni deposits to35 kg/mm2 (50,000 psi) for dispersed alloys containing 3.5 - 6.0 volumepercent (v/o) Al2O3; the particle size ranged from 0.01 - 0.04 ∝ m to 0.3 ∝ mand the plating parameters other than agitation had little or no effect.Electroformed lead and lead alloys were strengthened only by additions of

Page 545: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

548 Deposition Technologies for Films and Coatings

TiO2 (0.01 - 0.03 ∝ m) although Al2O3, BaSO4, Pb3O4 and W additions werealso studied,[97] indicating the possibility of specificity with respect to thedispersoid. Greco and Baldauf[98] found 2 - 15% of Al2O3 to be the effectiverange for dispersion-hardening of Ni deposits from a sulfamate bath. Theincrease in hardness appeared to be linear to the square root of the volumefraction of the dispersoid with Al2O3 showing a higher slope than TiO2. Thedeposits contained three times (v/o) more TiO2 than Al2O3 at the samesolution concentrations and plating conditions; the particle size averaged0.074 ∝ m Al2O3 (0.013 - 0.339 ∝ m range) and 0.2 ∝ m TiO2 (0.037 - 0.313∝ m range).

Table 10.6 indicates the variations in mechanical properties of particle-dispersed nickel alloys due to the dispersoid material and the plating solutioncomposition.

Electrophoresis is the term used to describe the migration, by virtue ofthe electric charge on their surfaces, of colloidal or near-colloidal particles ina suspending medium when a potential is applied. This migration isanalogous to ionic migration through a solution. The electrical double layerof charges discussed above is involved. The process has been applied tothe deposition of a variety of materials including metal powders, oxides,cermets and other particles to metal substrates. Usually the particles rangingin size between 0.5 and 45 ∝ m are suspended in a non-conducting (or poorlyconducting) medium and a high potential (50 - 1000 V) is applied to theelectrodes. High rates of deposition are obtained and coating thicknessescan be varied by controlling voltage, electrode spacing, suspension concen-tration and time. The coating is air dried and baked to remove the solventmedium. The coating is nonadherent and must be processed further bycompression and/or sintering or by subsequent electrodeposition to bond itto the substrate. Electrophoretic deposition has been applied to produce Ni,Ni-Cr, Ni-Cr-Fe coatings to base metals as well as inclusion of suchdispersoids as molybdenum disulfide or silicon carbide.[99] Ortner[100]

applied electrophoretic deposition of TaC-Fe-Ni coatings onto graphite,sintered at 2300oC (4170oF) for the protection of rocket nozzle inserts andoxidation resistant coatings for refractory alloys.

A mechanical method of applying a coating involves peening softmetals (Cd, Zn) and alloys onto a substrate with glass beads in an aqueousmedium in a tumbling operation. The equipment is similar to a cement mixer.“Alloys” of Cd-Sn deposited in this manner exceeded two thousand hours insalt fog corrosion tests.

Page 546: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Dep

ositio

n fro

m A

qu

eou

s So

lutio

ns

549Table 10.6. Mechanical Property Data for Nickel-Particle Composites[122]

Page 547: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

550 Deposition Technologies for Films and Coatings

Alloy deposits, however produced, offer certain advantages over singlemetal deposits:

1. Increased corrosion resistance due to greater density and finer grainstructure.

2. Combination of properties of the individual constituents.

3. New properties, unlike the individual constituents.

4. “Tailor-made” properties by proper selection of the constituents.

The limitations include the greater control required, the difficulty ofreproducing the alloy composition, the greater attention to the anodesystems used and their effects on the solution constituents and complexes.

6.0 SELECTED SPECIAL PROCESSES

6.1 Electroless Deposition

Electroless plating processes differ from electroplating processes inthat no external current source is required. Metal coatings are produced bychemical reduction with electrons supplied by a reducing agent (R.A.)present in the solution:

catalytic

Eq. (24) M+n + ne- (supplied by R.A.) →→→→→ Mo (+reaction products) surface

The uniqueness of the process is that the reduction is catalyzed bycertain metals immersed in the solution and proceeds in a controlled manneron the substrate’s surface. The deposit itself continues to catalyze thereduction reaction so that the deposition process becomes self-sustaining orautocatalytic. These features permit the deposition of relatively thickdeposits. Thus the process is differentiated from other types of chemicalreduction: (a) simple immersion or displacement reactions in which deposi-tion ceases when equilibrium between the coating and the solution isestablished (e.g. copper immersion on steel from copper sulfate solutions),and (b) homogeneous reduction where deposition occurs over all surfacesin contact with the solution (e.g. silvering-mirroring).

To prevent spontaneous reduction (decomposition), other chemicalsare present; these are generally organic complexing agents and bufferingagents. Other additives provide special functions as in electroplatingsolutions: additional stabilizers, brighteners, stress relievers.

Page 548: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 551

The reducing agents most widely used are:

Sodium hypophosphite (for Ni, Co)

Sodium borohydride (for Ni, Au)

Dimethylamineborane (or other substituted amine boranes) forNi, Co, Au, Cu, Ag)

Hydrazine (for Ni, Au, Pd)

Formaldehyde (for Cu)

The process was reported by Brenner and Riddell[101] in 1946 for nickeland cobalt coatings and has enjoyed very active interest since, resulting inextension* to electroless plating of copper, gold, palladium, platinum, silverand a variety of alloys involving one or more of these metals. Comprehensivereviews[102]-[108][175] with extensive bibliographies cover the considerabletechnology, solution composition and operating conditions, and literature(including patent) which have accumulated. Representative solution formu-lations are given in Appendix B.

Nickel deposits produced with hypophosphite or the boron-containingreducing agents are alloys containing the element P or B. They are very finepolycrystalline supersaturated solid solutions or amorphous metastablealloys[109]-[111] with hardness ranging approximately 500 - 650 VPN and canbe precipitation hardened, being converted to crystalline nickel and nickelphosphide (Ni3P) or boride (Ni3B). Maximum hardness ranging from 900 -1100 VPN is obtained at 400oC (750oF) for 1 hour (Fig. 10.13). The effectsof heat treatment at various times and temperature on the hardness ofelectroless Ni-P have been extensively investigated.[109][112]-[114] Johnsonand Ogburn[115] supplement more fully previous work, showing the influenceof phosphorus contents and the specific heat treatments on the range ofhardness obtained (Fig. 10.14). Higgs[116] investigated the effects of heattreatments on the hardness and structure of the deposits reporting thepresence of several NixPy compounds present other than the usuallyreported Ni3P. Alloys containing more than 7 wt. % P do not exhibitferromagnetism in the as-plated condition. Schwartz and Mallory[117] founddifferences in the increasing ferromagnetism of alloys from various solutionsas a result of heat treatments.

The phosphorus content of the deposit increases as the hypophosphiteconcentration increases and the pH decreases in the solution. The boron

* These are commercially available. Other electroless processes for iron,chromium, cadmium, and tin have been reported but either not confirmed orcommercially applied. Undoubtedly, new developments will continue to be reported.

Page 549: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

552 Deposition Technologies for Films and Coatings

Figure 10.14. Hardness of Ni-P alloy: $ as-plated, X after 8 hrs at 200°C, Oafter ½ hrs at 400°C.[115]

Figure 10.13. Hardness of electroless Ni alloys as a result of heat treatments(1 hr).

Page 550: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 553

content in Ni-B systems is generally similar. The complexing agents in thesolution influence deposition rate[118] (along with pH) and may also have aneffect on the as-plated deposit; Mallory[119] related differences in salt fogcorrosion tests to this factor. It appears that the properties of the deposit mayvary considerably depending on the phosphorus content which, in turn, isdetermined by the solution used and its operating pH. Graham et al.[110]

observed abrupt changes in structure, strength and ductility of deposits at aphosphorus content of about 7 w/o with both strength and ductility increasingwith increasing phosphorus content. They also observed that the lamellarbanded structure was 10 times broader (5 ∝ m ≡ 0.2 mils) in deposits fromalkaline solutions than in acid solution deposits (0.5 ∝ m ≡ 0.02 mils).

Parker and Shah[120] determined that the stress in electroless Ni-P alloysvaries from tensile to compressive as the phosphorus content of the depositincreases. They also observed variations in stress depending on the thermalexpansion coefficient of the substrate. However, increased thickness reducedthe stress on most substrates. Baldwin and Such[121] indicated that zero stresscan be obtained by adjustment of solution pH and that any desired valuebetween 11.25 kg/mm2 (16,000 psi) (tensile) and 5.6 kg/mm2 (8,000 psi)(compressive) is achievable; maximum ductility was obtained with a 5.5 w/o Palloy from a solution at pH 5.6 ± 0.2. The least wear of hardened electroless Ni-P vs. quenched annealed steel was obtained with deposits containing 8 - 12 w/o P and the maximum and minimum values of average friction coefficient were0.43 and 0.57, respectively, compared to 0.63 - 0.64 for pure nickel.[114]

Thus, it is evident that the compositions, structures and properties ofelectroless deposits can vary widely and are dependent on many factors.Safranek[122] reviewed those for electroless nickel and cobalt, and Okinaka[123]

those for electroless gold. Saubestre[124] studied various reducing agentsfor electroless copper, concluding that formaldehyde was the mostsuitable. He also studied the effects of inhibitors or stabilizers to extendthe useful life of the solution.[125]

The costs of the complexing and reducing agents used in electrolessplating solutions make them non-competitive with electroplating processes.The application of electroless plating is usually based on one or more of thefollowing advantages over electroplating:

1. Deposits are very uniform without excessive build-up on cor-ners or projections or insufficient thickness in recessed areas.Internal surfaces are also evenly coated. The uniformity islimited only by the ability of the solution to contact the surfaceand be replenished at the surface.

Page 551: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

554 Deposition Technologies for Films and Coatings

2. Deposits are usually less porous and more corrosion resistantthan electroplated deposits (of equal thickness).

3. Almost any metallic or non-metallic, non-conducting surfaces,including polymers (plastics), ceramics, glasses can be plated.Those materials which are not catalytic (to the reaction) can bemade catalytic by suitable sensitizing and nucleation treatments(see Sec. 6.4, Plating on Plastics).

4. Electrical contacts are not required.

5. The deposits have unique chemical, mechanical, physical andmagnetic properties.

The disadvantages of electroless plating compared to electroplatinginclude;

1. Solution instability

2 More expensive

3. Slower deposition rates

4. Frequent replacement of tanks or liners

5. Greater and more frequent control for reproducible deposits.

Properties and Trends of Electroless Nickel Deposits. Tensilestrength increases from 40 to 60 kg/mm2 for deposits containing 5 - 7% P toas high as 85 kg/mm2 for deposits containing more than 9% P. Ductility alsoincreases with increasing P content but decreases with increasing hardness.Ductility is reduced ~75% by heat treatment at 400oC (750oF). Severe strainor impact results in cracking with no plastic deformation.

Heat treatment above 250oC (480oF) causes recrystallization andprecipitation of Ni3P or Ni3B and other phases in a Ni matrix, resulting inincreased hardness. Figures 10.13 and 10.14 show the effect of heattreatment on hardness of the deposits. Heating at 400oC (750oF) for 1 hourproduces maximum hardness for most compositions.

High internal stress is reported for thin deposits (≤≤≤≤≤1500 angstroms) inthe range of 28 - 35 kg/mm2, tensile. Stress values for thicker deposits varyconsiderably, from -10.8 (compressive) to +15 kg/mm2 (tensile). Hightensively stressed deposits usually contain ≤≤≤≤≤7% P. Generally, stress valuesvary inversely with the phosphorus content. Deposits containing ≥≥≥≥≥9% P areusually compressively stressed. Heat treatment increases stress tensively,compressively stressed deposits becoming tensively stressed even afterheating at 200oC (390oF) or less for several hours.

Wear test data vary greatly since many factors are involved, makingcomparisons and trends difficult. Some of the variables include: type of

Page 552: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 555

solution and operating conditions, % P and thickness of deposit, heattreatment temperature and time, and the kind of wear test used. Figures 10.15and 10.16 indicate some trends in the wear resistance of electroless Nicoatings. Abrasion resistance as measured by the Taber Abraser Testindicates that heat treatment improves resistance. However, the hardestdeposits do not necessarily provide the greatest abrasion resistance.Generally, NiB deposits are superior to NiP deposits.

The excellent wear resistance of electroless nickel coatings may bedue, in part, to the presence (and amount) of P which may improve the (dry)lubricity of the coating and prevent seizure or galling except at high loads orsharp impact conditions. However, the deposits do not break off as discreteparticles under heavy loads as do chromium deposits, the latter causingexcessive scoring. Although electroless nickel and chromium depositshave similar hardness ranges, they perform well as a wearing combination.

The coefficient of (dry) friction for NiP varies from approximately 0.3against grey iron, to 0.38 against steel, to 0.43 against chromium, with onlyslight differences due to phosphorus content or heat treatment. NiB depositsgenerally show higher values than NiP deposits.

Figure 10.15. Wear of electroless Ni in Taber Wear Test. Adapted from Ma andGawne.[126]

Page 553: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

556 Deposition Technologies for Films and Coatings

Figure 10.16. Wear of electroless Ni (8.5% P, 40 ∝ m)Falex Test: EN Plated Pin

Unplated V-grooved Blocks.Adapted from Ma and Gawne.[126]

Page 554: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 557

Composite Electroless Nickel Coatings. Electroless nickel coatingsare readily produced containing dispersed inert particles from highly stabi-lized solutions (to minimize solution decomposition) in which the particles aremechanically dispersed. Parts are usually rotated to obtain uniform particledistribution. The particles are physically entrapped and not co-deposited.Particle size range from 0.5 to 10 ∝ m. Hard particles such as diamond, boroncarbide, silicon carbide, tungsten carbide, titanium carbide, aluminum oxide,and chromium have been used to produce composite coatings with theparticles constituting up to 30 volume % of the coatings.

Applications include metal forming dies, molds for plastics, oil wellequipment, textile (yarn) spinning equipment, and friction disks. The as-plated coatings are rough and dull but can be polished and lapped to providesmooth, semi-bright finishes.

Heat treatment increases hardness and wear resistance as it does fordeposits without particles. However, such treatments should not exceed400oC (750oF) for composites containing carbides since nickel carbide isproduced and hardness and wear resistance are greatly reduced.

Other applications involve the incorporation of soft or polymeric par-ticles such as PTFE (polytetrafluoroethylene) into the electroless nickeldeposits. These provide excellent lubricating characteristics, wear resis-tance and corrosion resistance. PTFE composites containing between 18and 25 volume % are commercially produced for many wear, mold release,and corrosion resistance applications.[127] Figure 10.17 shows the reducedwear resistance of PTFE-composite coating over conventional electrolessnickel with extended testing.

Parker[128] has compiled literature data on hardness, wear resistance,coefficient of friction, stress and other properties and characteristics ofelectroless nickel coatings with and without dispersed particles.

6.2 Electroforming

Electroforming is defined[129] as the “production or reproduction ofarticles by electrodeposition upon a mandrel or mold that is subsequentlyseparated from the deposit.” (Occasionally the mandrel may remain in wholeor in part as an integral functional part of the electroform.) The mandrels usedare classified as permanent or expendable. The choice, composition, designconsiderations, preparation cycles, and methods of removal of mandrels areprobably the most vital aspects of electroforming.[129]-[132] Various types ofmandrels are given in Table 10.7.

Page 555: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

558 Deposition Technologies for Films and Coatings

Since the electrodeposits, called electroforms, are used as separatestructures, they are usually substantially thicker than plated coatings. Thefixturing or tooling of the mandrel and the anode positioning are quite critical.These determine the current distribution and resulting thicknesses of thedeposit. A wide range of current densities produces changes in the structure,concentration of impurities and properties of the deposit which, in view of thefunction as an electroform, now are of paramount interest.

Braddock and Harris[133] reported increases in carbon content of nickeldeposits from 0.004 w/o to 0.008 w/o and sulfur contents from 0.0002 w/o to0.0014 w/o when the C.D. was reduced from “normal” (537 A/m2 ≡ 50 A/ft2) to very low C.D. (21.5 A/m2 ≡ 2 A/ft2). Dini et al.[134][135] discussedthe effects of variations in carbon and sulfur contents of nickel electroformsfrom sulfamate solutions; these are shown in Figs. 10.18 and 10.19. Sulfurin nickel deposits causes embrittlement and cracking, limiting high tempera-ture applications (370oC ≡ 700oF max.) (Fig. 10.20).

Nickel, copper and iron are the most widely used electroformingdeposits. Knowledge of the solution compositions, operating conditions andresulting structures and properties of deposits makes it possible to specifya given solution and the desired results. These are tabulated by DiBari.[130]

Figure 10.17. Taber wear test on as-plated PTFE-electroless nickel compositecoatings.[127]

Page 556: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 559

DisadvantagesAdvantagesMaterialType

Table 10.7. Comparison of Mandrel Materials (from Spencer, Ref. 131)

Attacked by some plating solutions,such as acid copper and hot ferrouschloride.

Chromium coatings may be pitted byhot chloride type baths.

Costly. Soft surface of non-hardenabletypes is easily scratched.

Costly, poor machinability.

Surface easily scratched.

Costly, fragile and requires aconductive coating.

Large tolerances. Requires aconductive coating and/or sealing.

Costly. Surface easily scratched.

Acid stripping solution more likely toattack electroform than caustic solutionused for dissolving aluminum.

Cannot be used in hot plating baths.May swell in some baths. Requiresconductive coating.

Difficult to remove from electroformcompletely.

Easily scratched. May deform bycreep. Requires a conductive coating.

Availability, low cost

Improved hardness and/or corrosion resistance.Coating may be strippedand renewed.

Inert to most platingsolutions.

Natural oxide filmprevents adhesion of mostdeposits.

Low temperaturecoefficient of expansionfacilitates removal fromelectroform. Non-adherent.

Good machinability, lowcost.

Close tolerance, highfinish.

Low cost. Moldable.Flexible types can bewithdrawn fromundercuts.

Good machinability. Goodfinish. Close tolerancescan be held in complexn o n - w i t h d r a w a b l eshapes. Soluble in sodiumhydroxide.

Can be die-cast

Moldable. Low cost. Fairlyclose tolerances.

Can be cast at low cost.

Can be cast or molded atlow cost.

Carbon steel

Carbon steel,chromium or silverplated

Stainless steel

Inconel

InvarKovar

Brass, Ni Cr, Agplated

Glass, Quartz

Wood, plaster,plastic, etc.

Aluminum

Zinc and zinc basealloys

Plastics

Low melting alloys(Pb-Sn-Bi types)

Waxes

Permanent

Soluble

Fusible

Page 557: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

560 Deposition Technologies for Films and Coatings

Electroforming with fiber re-inforced composites and methods used aredescribed by Withers and Abrams[136] and Wallace and Greco.[137] Repre-sentative fibers or filaments included tungsten, boron, carbides and borides.Greco et al.[138] investigated the bond strength characteristics of electrode-posited nickel on boron and silicon carbide filaments.

Electroforming is very costly and is a very slow method for producingparts. It finds application when:

1. Producing parts by mechanical or other means is unusuallydifficult or costly.

2. Extremely close dimensions and tolerances must be held,especially on internal dimensions or surfaces with irregularcontours.

3. Very fine reproduction of surface details is required.

4. Thin walls are required.

5. Unusual physical, chemical and/or mechanical properties arerequired in the part.

6.3 Anodizing

Anodizing is an electrochemical process in which the part is made theanodic (positive) electrode in a suitable electrolyte. Sufficiently high voltageis deliberately applied to establish the desired polarization to deposit oxygenat the surface (O2 overvoltage). The metal surfaces or ions react with theoxygen to produce adherent, oxide coatings, distinguishing the process fromelectrobrightening or electropolishing processes.

Industrial anodizing processes are confined mainly to aluminum and toa much lesser extent to magnesium and titanium alloys. Anodized tantalumis used in capacitors. Anodic coating applications include:

1. Protection corrosion, wear and abrasion resistance.

2. Decorative clear coatings on polished or brightened surfaces,dyed (color) coatings.

3. Base for subsequent paint or organic coating.

4. Base for plating an aluminum.

5. Special based on some specific property or the coating, e.g.,thermal barrier films, refractory films, electrolytic condensers,capacitors (dielectric films).

Anodizing of aluminum has been investigated intensively. Wernick andPinner[139] definitively discuss the various processes and the nature andproperties of the oxide coatings produced.

Page 558: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 561

Figure 10.19. Influence of sulfur content on impact strength of electroformednickel.[135]

Figure 10.18. Influence of carbon on tensile strength: full curve, all data[134]

Page 559: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

562 Deposition Technologies for Films and Coatings

The anodic films are classified according to the solvent action of theelectrolyte. The films produced in sulfuric or chromic acids are porous typefilms. Phosphoric acid has even greater solvent action, resulting inoxides with a greater degree of porosity; these coatings are used foradhesive bonding and for plating on aluminum processes to providedeposit adhesion by mechanical locking in the enlarged pores. Onthe other hand, less aggressive mild electrolytes such as tartaric acid,ammonium tartrate, boric acid, borate compounds, citric acid, etc., have little orno ability to attack the anodic oxide. These films are essentially non-porous andthin (approximately 0.5 ∝ m = 20 ∝ in) and are considered barrier type coatings.Due to their unique electrical characteristics, the barrier type films are used forsuch applications as electrical capacitors; they are also applied as protective

Figure 10.20. Fracture surface of part with sulfur content varying from 88 to 210 ppm(x 1000).[135]

Page 560: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 563

coatings (“overlays”) for vacuum deposited aluminum on precision mirrorsfor optical equipment.

Specification MIL-A-8625 (see latest revision), used for both militaryand non-military applications, describes the most widely used processesand the expected requirements and tests for quality coatings. Three typesof anodized coatings are called out:

Type I from chromic acid solutions

Type II from sulfuric acid solutions

Type III from cold sulfuric acid processes (plus additives),producing thicker deposits (12.7 - 127 µm) (0.5 - 5.0mils). primarily for wear and abrasion resistance.(Table 10.8 presents the most widely used pro-cesses in the U. S. A.)

Types I and II are usually sealed with a 5% (w/v) sodium dichromate solution(Class 1) or after absorption of a dye (Class 2) with a nickel (or cobalt) acetatesolution. Typical processing cycles are illustrated in Figure 10.21.

The advantages and limitations of these three types of anodizingprocesses are analyzed in Appendix C.

ASTM Specification B 580-73 designates seven types of anodizing:

Minimum ThicknessType Description µm mils

A. Hard Coat 50 2.0 B. Architectural, Class I 17.5 0.7 C. Architectural, Class II 10 0.4 D. Automotive - exterior 7.5 0.3 E. Interior - Moderate Abrasion 5.0 0.2 F. Interior - Limited Abrasion 2.5 0.1 G. Chromic Acid 1.2 0.05

The chemical composition of unsealed sulfuric acid anodized films isapproximately:

80% aluminum oxide18% aluminum sulfate 2% water* + traces of alloying elements

The coatings can probably be considered as approximating 2Al2O3·H2O andafter sealing convert to Al2O3·H2O with accompanying increased volume,providing enhanced corrosion resistance. Hot sealing reduces the hardnessof the coating as much as 40%.

* The water content may vary between 1 - 6 %, probably entrapped.

Page 561: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

564 Deposition Technologies for Films and Coatings

Type II

H2SO

4 — 10 - 20 w/o

1 - 2 A/dm2

(10 - 20 A/ft2)12 - 20 v

21 - 30oC (70 - 85oF)

Dye

Cold Rinse

Dye SealingNi or Co acetate

(4 - 12 g/l)

Hot Rinse Hot Rinse

Hot Rinse Seal

Anodize

Cold Rinse

Brightening

Mechanical

Chemical

Electrochemical

Etch

Alkaline

Acid

Clean

Rack

DryUnrack

Cold Rinse

Special Sealing

Dichromate — 5 w/oor

Silicateor

Other(98 - 100oC, 208 - 212oF)

Type I

CrO3 — 3 - 10 w/o

0.15 - 0.5 A/dm2

(1.5 - 5 A/ft2)40 (-50) v32 - 50oC

(90 - 120oF)

Figure 10.21. Anodizing Aluminum – Sequence of Operations

Page 562: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 565

Table 10.8: Aluminum Hard Anodizing Processes[141]

________________________________________________________________________________________________________

Conditions Alumilite Martin Hardas Sanford________________________________________________________________________________________________________

Solution 12 w/o 15 w/o Sulfuric, Sulfuric, Composition Sulfuric Acid Sulfuric Acid, Oxalic Acids Organic

+ 1/w/o saturated with AcidsOxalic Acid CO2

Temperature (oC) 9 - 11 -3.9 - 0 0 -17.8 to -9.5

C.D. (A/dm2) 4 2.7 - 3.2 10.8 - 32.4 1.3 - 1.6

Voltage* 10 - 60 10 - 75 DC or DC/AC 15 - 150(or higher) in various

proportions

Film Growth 25 µm/hr 25.4 µm 25.4 µm 25.4 µm Rate /40 min /5-10 min /10-20 min

Alloy 4% Cu 5% Cu ? ? Limitations 7% Si

7 - 9% Cu+Si

* At a film thickness of approximately 50 µm, voltage requirement is approximately 40 - 45 volts.

__________ __________

Typical Properties of Hard Anodize Coatings [140]

Hardness: usually ranges between 350 - 450 DPH (35 - 55 Rc).Abrasion resistance (Taber): 30,000 - 40,000 cycles/µm.Porosity: 5 - 15%Heat resistance: to approximately 400oC (750oF).Break-through voltage: 7 - 10 v/µm.

____________________________________________________________________________________________________________

The oxide coating consists of two different structures: an inner (non-porous) barrier or dense structure, and an outer, thicker, porous cell-likehexagonal structure.[142] The barrier layer is approximately 250 angstromsthick and constitutes about 1 to 2% of the total anodic film thickness. Thepore diameter may range from 100 angstroms to 300 angstroms, dependingon the electrolyte, operating temperature, and voltage. The porosity of thecoating is very high; a coating which exhibits 15% porosity contains approxi-mately 62 x 109 pores/cm2 (400 x 109 pores/in2).

Spooner[143] emphasized the importance of sealing methods, operat-ing conditions (temperature and time), water quality, and the detrimentaleffects of contaminants in the water seal on the quality of the sealed coating,

Page 563: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

566 Deposition Technologies for Films and Coatings

especially corrosion resistance. The suggested maximum contaminantlevels in the sealing solution are:

Sulfate (SO4)= 250 ppm

Chloride (Cl)- 100 ppm

Silicates (SiO3)= 10 ppm

Phosphates (PO4)= 5 ppm

Fluorides (F)- 5 ppm

In the 1980’s, cold sealing (temp. 20 - 30oC) processes, claimed to beequivalent to conventional hot sealing, were developed. These processesare based on heavy metal salts (e.g., Ni), fluorides or silicates in water/various alcohol mixtures. Apparently, the pores are sealed by “plugging” withprecipitated compounds; the term “impregnation” is considered more appro-priate by some. Wernick[144] reviewed the development of cold sealingprocesses; Short and Morita[145] discussed the mechanism(s) involved.

Since the oxide film is a growth film at the expense of the aluminumsubstrate (and not simply an add-on-film as in electrodeposition) the dimen-sional changes depend on the equilibrium set up between film growth and thedissolving action of the electrolyte. For Type I and II films, it may be assumedthat the dimensional increase per surface is about one-third the actualthickness of the film. For Type III, Hard Anodized coatings, the dimensionalincrease per surface is about one-half the actual oxide thickness. Thus,stripping and re-anodizing would require approximately twice the original filmthickness to meet the same dimensional requirements. This could presentserious problems in salvaging rejected parts.

The wear resistance of Hard Anodized coatings may vary significantlywith coating thickness and alloy composition. George and Powers[146]

proposed a more concentrated modified Alumilite (Alcoa’s Hard Anodizingprocess) solution which appeared to provide improved wear characteristicsfor difficult-to-coat alloys.

Some of the trends of the effects of operating conditions on theproperties of the coatings are summarized in Table 10.9. The followingobservations are noted:

1. Recesses of parts receive lower current densities (at leastinitially) resulting in softer coatings.

2. Conversely, projecting surfaces, especially sharp corners, re-ceive higher current densities which produce harder coatings,resulting in cracking.

3. Cracking can occur at either concave or convex corners due tostresses.

Page 564: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 567

Table 10.9. Effect of Operating Conditions on Anodic FilmCharacteristics (from Wernick and Pinner, Ref. 147)

__________________________________________________________________________________________________________________

LimitingFilm Corrosion Adhesion/

Condition Thickness Hardness* Resistance Porosity Dye Absorption_________________________________________________________________________________________________________

Temperatureincreased ' ' " ( (

CurrentDensity ( ( " ' 'increased

Anodizingtime ' ' ( ' (increased

Acidconcentration ' ' " ( (increased

Use of lessaggressive ( ( " ' 'electrolyte

Alloyhomogeneity ( ( ' ' 'increased

( = increases, ' = decreases, " = passes through a maximum

* Hardness of sealed coatings is approximately 60% of unsealed coatings. Sealingtime also affects hardness, inversely; increased sealing time results in decreasedhardness.

Notes: − Effects on hardness and dye absorption ability of coating are usually in opposite directions.

− Voltage requirements increase for all above conditions.

Page 565: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

568 Deposition Technologies for Films and Coatings

4. Coatings which grow laterally as the dielectric film spreads aresofter than coatings formed rapidly.

5. Properties of the coatings are influenced by the geometry of theparts as well as the alloying constituents or the electrolyte andits operating conditions.

Additions of certain organic acids* to sulfuric acid anodizing solutionsproduce integral colored anodized coatings, ranging from a light bronze orgold to black. These have been used in architectural applications.[148]

Another approach to coloring anodized coatings involves a 2-stepprocess.[149] After the anodizing step, the parts are immersed in a solutioncontaining nickel or tin salts and after one minute immersion, current isapplied at 10 to 18 volts. The desired colors are produced by varying eitherthe time (voltage constant) or the voltage (time constant). The colorsproduced range from light bronze (in 10 - 15 sec) to black (in 15 min). Theadvantages over the more widely used organic dyed coatings include betterlight-fastness and better protection since the precipitated inorganic depositsare at the base of the pores prior to subsequent hot sealing.

Pulsed current modifications have been applied to both conventionaland hard anodizing.[150] Superior coatings produced at slightly lowervoltages in shorter times are claimed for more alloys. Konno[151] reviewedthese processes for aluminum, magnesium, and zinc.

The anodizing of magnesium alloys has not found extensive use,possibly because it is somewhat more difficult than anodizing aluminum.Magnesium oxide (MgO) is more water-soluble and considerably softerthan aluminum oxide (Al2O3). The anodizing processes are similar andsealing is also required. The primary purpose is as a preparatory coatingfor painting or for corrosion and abrasion resistance. The older processesare referred to as Dow 12, Dow 14, and Manodyzing; these are AC or DClow voltage processes. The “newer” processes are fluoride-containingsolutions and include Dow 17, CR 22 and HAE (Hardcoat). These are highvoltage (from 80 V up to 320 V) processes. CR22 and HAE processesrequire alternating current. Solution formulations and operating conditionscan be found in the referenced Handbooks.[107][130][152]

Titanium and its alloys are anodized to provide:

1. Protection from galvanic corrosion when assembled or incontact with dissimilar metals by reducing or minimizing potentialdifferences.

* Sulfosalicylic acid, Kaiser Aluminum & Chemical Company, U.S. Patent 3,031,387(April 24, 1962) and Sulfophthallic acid, Aluminum Company of America, U.S.Patent 3,277,639 (June 4, 1966).

Page 566: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 569

2. Anti-galling, anti-fretting properties to the surfaces of parts inmoving assemblies.

3. Part identification using a range of integral colors produced bythe particular anodizing process.

Both acid and alkali solutions have been used. Table 10.10 indicatestypical solution formulations and operating conditions. The colors produceddue to variations in current densities and voltages are also indicated.

Table 10.10. Representative Titanium Anodizing Formulations andOperating Conditions (153)____________________________________________________________________________________________________________

Composition H2SO4 H2SO4 - 100 NaOHg/L 150 - 180 H3PO4 - 800 50

Temperature, oC 18 - 24 20 90 - 95

Current Density 0.2 - 0.4 3.0 - 5.0 5(A/dm2)

Voltage 20 - 25 30 - 110 35 - 40

Color Range Blue to Blue to Dull GreyBlue-Violet Opaque Grey

____________

Colors Produced on Pure Titanium Anodized in 15% H2SO4

Color C.D. (A/dm2) Volts

Yellow 0.15 5 - 12

Violet to 0.3 13 - 22Blue-Violet

Dull Blue 0.75 23 - 30

____________________________________________________________________________________________________________

Page 567: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

570 Deposition Technologies for Films and Coatings

6.4 Plating on Plastics

Commercial plating on plastics became feasible with the developmentof electroless plating processes−−−−−especially the low temperature electrolessnickel and copper processes. Large scale, high production automaticdecorative (Cu/Ni/Cr) plating on plastics is increasing on automotive trim,houseware and other articles. The technology for manufacturing printedcircuit (PC) boards is another development of electroless plating. Thisdiscussion is limited to these developments.

The plastics most widely plated today for decorative applications are (indecreasing order and increasing difficulty): Acrylonitrile-butadiene-styrene(ABS), polyphenylene-butadiene-stryene (Noryl), polysulfones, polypropy-lenes, nylons and polytetrafluoroethylene. It should be noted that most ofthese plastics are “filled”, i.e., they contain mineral fillers, additives, modifi-ers, or are co-polymers or mixtures of co-polymers. ABS is a mixture ofacrylonitrile-styrene and butadiene-styrene; polysulfones generally containABS; nylons are mineral-filled. In the etching step, one or more of thecomponents is selectively etched, providing a non-uniformly roughenedsurface for improved mechanical bonding of the deposits (with possiblechemical bonding).

The plating cycle[154]-[156] for decorative coatings (may) include:

1. Surface deglazing−−−−−mechanically or chemically2. Etch3. Neutralize4. Activation (catalyze)5. “Accelerate” (remove residual tin hydroxide)6. Electroless Deposit (Cu or Ni)7. Racking (if above steps done in bulk)8. Clean (if required)9. Strike (if required)

10. Plate: Bright acid copperBright nickel or dual nickelChromium, microcracked preferred

(Note: Rinses are critical between various steps.)

The etch step is a critical one. Usually chromic acid, either supersatu-rated*, or mixed with sulfuric acid or with sulfuric-phosphoric acids[156] isemployed. An alternative etching technique involves the use of plasmas.[157]

* L. Kadison, U.S. Patent 3,668,130 (June 6, 1972), (assigned Crown City PlatingCompany).

Page 568: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 571

The adhesion of the deposits is also related to the activation of the surface.The most widely used system is the stannous chloride (SnCl2)/palladiumchloride (PdCl2) 1- or 2-step treatment based on the redox reaction:

Eq. 25 Sn+2 + Pd+2 → Sn+4 + Pd0

The 2-step activation involves first adsorption of SnCl2 on the etched surface,followed by the redox reaction in a solution of PdCl2. The 1-step or mixedcatalyst system includes both components and is considered either a complexedchloride of Sn and Pd or a colloidal mixture. There is considerable controversyregarding the nature of the system.[158]

Perrins[156] determined that the adhesion of electroless nickel and elec-troless copper (on polypropylene co-polymers) was dependent on the amountof palladium deposited. Low palladium gave high copper adhesion and lownickel adhesion. High palladium initially gave low adhesion to both whichimproved, peaking, with 3 - 5 week aging. Accelerated aging at 70oC for 1.5hours gave a 70% improvement over control values. (Improved adhesion byheating is also found with other plastics.) An oxidation mechanism at thedeposit/polymer interface is suggested as being responsible for increasedadhesion.

Selective plating of plastics[159] can be accomplished by applying anorganic stop-off which remains on the surface as a finish coat. Deposition isprevented on the stop-off film by use of a chromating treatment after etching.

6.5 Plating Printed Circuit Boards

The printed circuit board (PCB), also called printed wiring board, has maderapid advances since its development in the late 1930’s. It is a pre-determinedelectrical conducting design or path, on a non-conductive base, whose primaryfunction is to carry an electronic impulse or signal. The non-conductive baseor board can be made of a wide variety of materials including wood, masonite,or resins such as epoxy, epoxy-glass, phenolics (flame-retardant or paper-reinforced), polybutadienes, polyimides, and ceramics. Presently, the mostpopular materials seem to be phenolics, epoxies (and glass), and polyimides.

The types of PC boards fabricated today include:

1. Print-and-Etch*

* Print-and-Etch involves no plating. A (photo) resist is applied exposing unwantedcopper (on a copper-clad board) which is etched away. Holes are drilled and eyeletsinserted for connecting circuitry.

Page 569: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

572 Deposition Technologies for Films and Coatings

2. Plate-and-Etch

3. Plated-Through-Hole (PTH)a. Panel Plateb. Pattern Plate

4. Multi-Layered (MLB)*

5. Additive Circuits

6. Integrated Circuits

7. Flexible Circuits

The pre-plating preparation steps involve alkaline cleaning, acid etching as doother plating cycles. Additional steps such as abrasive cleaning or honing to removesmeared polymer in the drilled holes and “etch-back” (of polymer) to expose theintermediate layers of copper in MLB’s (Fig. 10.22) are required. Also required forthrough-hole plating is the SnCl2/PdCl2 activation treatment discussed above. Afteractivation, electroless copper is deposited over the exposed outer circuits andthrough the hole. This is followed by electrodeposited copper.

Rothschild and Schwartz[160] and Smith[161] describe fabrication and platingoperations. A trouble-shooting chart[162] and manual[163] identify possible sourcesof trouble and their rectification or suggested cures.

Rothschild and Kilgore[164] discuss the problems of plate distribution (throwingpower, T.P.) in MLB’s and relate T.P. to the ratio of surface to minimum hole thickness(S/H) and the ratio of total board thickness (hole length) to hole diameter (B/D). Theyalso discuss fabrication and plating steps, the choice of deposits (Au, Sn-Pb, Sn-Ni),solderability and diffusion and/or migration problems.

Copper plating is used for through-hole plating. Acid sulfate and acid fluoborateplating solutions possessing high throwing power have been developed. These arelow-metal ion, high acid concentration formulations (to promote desirable polariza-tion at high current density surfaces) with grain refiners to eliminate columnarstructures which may develop cleavage planes at corners, resulting in cracking. Thepyrophosphate copper solution is the other type of solution employed. (Cyanidecopper formulations damage the board due to the high alkalinity and cyanidecontent.) Which solution is the preferred plating solution is a moot question andinvokes considerable controversy among the “practitioners of the art.” However, the“high throw” bright acid copper sulfate solutions are the most widely used; they areeasier to control and are more economical.

* This is similar to Plated-Through-Hole except two or more PCB's are bondedtogether using an epoxy/glass pre-preg. Interconnections are made by drillingholes after laminating layers. The individual layers are referred to as details orinner layers.

Page 570: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 573

Figure 10.22. Through-Hole solderplate on multilayer printed circuit board (x 50)(Courtesy of B. F. Rothschild.)

Page 571: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

574 Deposition Technologies for Films and Coatings

A high-throw Sn-Pb, solder plate, has also been developed for through-hole plating.[165]

Other electrodeposited coatings used on PCB’s include: Sn-Pb, Sn-Ni,Sn, Ni, Au with various functions as etch resists and to provide solderability,corrosion resistance, wear resistance, or low contact resistance.

7.0 STRUCTURES AND PROPERTIES OF DEPOSITS

The structure and properties of a deposit are related to the depositionparameters and deposit thickness (Fig. 10.9). Changes in these parametersmay produce significant differences in a deposit, making generalizationsdifficult, if not misleading. Some investigators have omitted indicating importantplating parameters or deposit thickness or testing conditions when reportingproperty measurements, making these data at least suspect. Further, extrapo-lation from a narrow set of conditions and data could also be misleading.Figures 10.18, 10.19, and 10.23 emphasize the influence of impurities andthickness on properties of nickel from sulfamate solutions. They also illustratethe importance of taking measurements in a thickness range related to theintended application of the deposit. There appears to be a certain degree ofspecificity, yet trends have been established that correlate structure withdeposition parameters and properties. Weil[166] reviewed how nucleation andgrowth, including epitaxy, twinning, and dislocations determine deposit struc-ture and properties. A few examples and data for copper, nickel and chromiumdeposits are used in an attempt to illustrate these points.

Since approximately 1947, the American Electroplaters’ and SurfaceFinishers’ Society (AESF) has initiated and supported research programs atvarious institutions on structure and properties of electrodeposits. Thesestudies and other published data (about 1500 references) have been “compiledand systematized” into a single source book by Safranek.[122] Dini provides acomprehensive materials science approach relating deposition parameters tostructure, texture, properties of deposits, and the interrelationship betweendeposits and substrates. Test methods and data to evaluate deposit propertiesand performance are presented.[176] Most of the data presented here are basedon these sources.

The structures of electrodeposits are classified as:

Columnar

Fibrous

Fine-grained (usually equiaxed)

Banded (or striated or lamellar)

Page 572: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 575

Figure 10.23. Influence of thickness on mechanical properties of deposits.[167]

Page 573: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

576 Deposition Technologies for Films and Coatings

Columnar structures are characteristic of deposits from solutions(especially acid solutions) containing no additives, high metal ion concentra-tion solutions at low deposition rates. They usually exhibit lower tensilestrength, percent elongation and hardness than other structures; they aregenerally more ductile. Such deposits are usually of highest purity (highdensity) and low electrical resistivity.

Fibrous structures represent a grain refinement of columnar structure.Stress relieving additives (such as saccharin or coumarin) promote suchrefinement as do high deposition rates. These may be considered interme-diate in properties between columnar and fine-grained structures.

Fine-grained deposits are usually obtained from complex-ion solutions(such as cyanide) or with certain addition agents. These deposits are lesspure, less dense and exhibit higher electrical resistivities due to presence offoreign material.

Banded structures are characteristic of bright deposits (as a resultof brightening addition agents—usually S-containing organic compoundswhich result in small amounts of S and C in the deposit) and some alloydeposits. These deposits generally possess higher tensile strength, hard-ness, and internal stress and decreased ductility than other structures. Theuse of plating current modifications (PR, IC, pulse) favors the conversion ofstructure from a solution to a banded structure.

Electro- and electroless deposits generally conform to the Hall-Petchrelationship.

Eq. 26 H (or YS) = σo + kd-1/2

where H = hardness of the depositYS = yield strength of the depositd = grain size

σo , k = constants

That is, factors which decrease grain size increase hardness, yield andtensile strength of the deposit. In aqueous deposition, grain size of thedeposit decreases as:

) Current Density increases

) Cathode potential increases

) Solution agitation increases

) Solution temperature decreases

) Metal ion concentration decreases

) Addition agents are added

) Complexing agents are present

Page 574: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 577

Also, the brightness and smoothness of the deposit increase as grain sizedecreases.

Grain size can vary widely from 100 to 50,000 angstroms; the grain sizeof fine-grained or banded deposits is usually between 100 and 1000 angstroms.Read[168] observed that frequently the grain size of electrodeposits is muchlarger than indicated by etched specimens (the metallographic proceduresusually used) and that x-ray techniques are more reliable, especially formeasuring larger grain sizes. As indicated previously, certain deposits,especially alloys, show no grain structure, i.e., are amorphous.

Some metals (notably Cu, Ni, Co, and Au) can be deposited in all four typesof grain structures depending on the solution composition and plating condi-tions. This is shown in Figure 10.24 for copper deposits. Typical properties ofthese structures are given in Table 10.11.

Zentner, Brenner, and Jennings[169] (AESF Research Project No. 9)studied the structure-property relationships of nickel electrodeposits to platingsolution composition and operating variables. The effect of current density, pH,temperature, and chloride content on deposit structure are shown in Figs. 10.25- 10.28. The trends appear to be:

1. Grain structure changed from fine-grain to coarse-grain as tem-perature increased.

2. Significant structural changes occurred at both low and highcurrent densities. Typical columnar structure is obtained between2 and 25 A/dm2 (20 - 250 A/ft2) in Watts-type solutions. Thestructural changes at low C.D. may be explained by the increasedsulfur and carbon contents of the deposit as shown in Table 10.13.Thus, low C.D. produced a banded structure similar to bright nickeldeposits.

3. There is essentially no structural change in Watts-type deposits inthe pH range 1 - 5. At pH’s above 5 there is a distinct change fromcolumnar to fibrous or fine-grained which is probably due toinclusion of basic material (Ni(OH)2?).

4. Deposits from Watts solutions produced the coarsest, columnardeposits. Increasing the chloride content of the solution results infiner-grained deposits. All-sulfate (no chloride) solution showed asomewhat finer columnar structure than a Watts deposit, withsome evidence of a banded structure.

A good correlation was found to exist between structure and properties asshown in Fig. 10.29. Typical values of the mechanical properties of nickel depositedfrom various engineering electroplating solutions are given in Table 10.12.

Page 575: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

578 Deposition Technologies for Films and Coatings

Figure 10.24. Structure of copper deposits (x 500) (etchant: ferric chloride).Structures are typical for: a) acid sulfate (no A.A.); b) acid sulfate with A.A. (gelatin+ phenolsulfonic acid; c) acid sulfate with brighteners or pyrophosphate solution;d) cyanide solution with PR.[22]

Page 576: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 579

Figure 10.24. (Cont'd)

Page 577: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

580 Deposition Technologies for Films and Coatings

Figure 10.25. Effect of temperature of the plating solution on the structure of nickeldeposited at 5 A/dm2 (46 A/ft2). Cross section x 250. Etchant: glacial acetic and nitricacid.[169]

Page 578: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 581

Figure 10.25. (Cont'd)

Page 579: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

582 Deposition Technologies for Films and Coatings

Figure 10.26. Effect of current density on the structure of nickel deposited from theS

IIIC

I solution at 55oC (131oF), and a pH of 3.0. Cross section x 250. Etchant: glacial

acetic and nitric acid.[169]

Page 580: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 583

Figure 10.26. (Cont'd)

Page 581: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

584 Deposition Technologies for Films and Coatings

Figure 10.27. Effect of the pH of the plating bath on the structure of nickel depositedfrom the S

IIIC

I solution at 5 A/dm2 (46 A/ft2)and 55oC (131oF). Cross section x 250.

Etchant: glacial acetic and nitric acid.[169]

Page 582: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 585

Figure 10.28. Effect of increasing chloride content of the solution on the structureof nickel deposited at 55oC (131oF), 5 A/dm2 (46 A/ft2)and a pH of 3.0. Cross sectionx 250. Etchant: glacial acetic and nitric acid.[169]

Page 583: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

586D

epo

sition

Tech

no

log

ies for F

ilms an

d C

oatin

gs

Table 10.11. Comparison of Structure and Properties of Copper Deposited at 4 A/dm2 in Several Different CopperSolutions.[122] (From The Properties of Electrodeposited Metals & Alloys by W.H. Safranek, published by AESFS, 1986.Reprinted with permission.)

Page 584: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Dep

ositio

n fro

m A

qu

eou

s So

lutio

ns

587Table 10.12. Nickel Solutions for Heavy Plating[22]

Page 585: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

588 Deposition Technologies for Films and Coatings

Figure 10.29. Range and trend of physical properties of nickel deposited from 5different types of solutions, each point is the average of the properties of 5 or moredeposits obtained under various conditions of plating.[169]

1 equals a, b, c, d, e, f, OB, and OBT solutions. Bright nickel.2 equals S

IC

III, C, Ac, C (-4N) solutions. Chloride nickel.

3 equals SIC

I solution.

4 equals S and oS solutions.5 equals S

IIIC

I, oS

IIIC

I, S

IIIC

I (-1N), NH

4, Na and F solutions. Watts nickel.

Table 10.13. Results of Elemental Analysis of Nickel Electrodeposits[133]

Page 586: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 589

Table 10.14. Recommended Basis Metal Hardness and Chromium-PlateThickness for Various Applications[22]

Table 10.15. Coefficient of Friction for Various Metal Combinations[22]

Page 587: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

590 Deposition Technologies for Films and Coatings

Properties of chromium deposited under a wide variety of platingconditions and solution compositions were extensively covered by Brenner,Burkhead and Jennings.[170] The deposits especially the bright depositsare very fine-grained, as small as 10 angstroms on the basis of x-ray data.They concluded that the oxide content of the deposit had far greater influenceon the properties than crystal orientation or structure. Increased platingtemperature from 10oC to 100oC caused reduction of oxygen content from~1 w/o to ~0.1 w/o. The hydrogen content of the deposit also decreases withincreasing plating temperatures.

The hardness of chromium is probably its most important engineeringproperty. The oxygen content to the deposit is one of the most importantfactors affecting its hardness. Above 0.12 w/o O2, the hardness rangesbetween 850 - 1000 KHN (Knoop Hardness Number) and when below 0.12w/o O2, the hardness ranges from 625 to 325 KHN. However, it was notedthat hardness values may fluctuate as much as 200 points KHN for the sameoxygen content. It also appears that bright deposits are hardest. Thehardness of chromium deposits, therefore, is probably the result of oxideinclusion, small grain size and internal stress.

The hardness of the substrate along with that of the deposit is animportant factor in the application for improved wear resistance of varioustools (Table 10.14). In other wear applications the coefficient of friction is afactor; Table 10.15 gives values for various combinations.

Different etching techniques reveal interesting structural characteris-tics in chromium deposits.[171] In fact, no single etchant reveals all possiblefeatures and it is advisable to use several techniques. Structures which havebeen observed include: fibrous texture, banded or striations associated withthe crack pattern (and not found in crack-free deposits), bands delineatingchanges in plating variables (C.D. and temperature) during deposition.

The internal stress, negative coefficient of thermal expansion (initialshrinkage) and the effect on fatigue strength of the substrate are properties(besides hardness) of interest in engineering applications. These areadequately covered in references already cited.[22][122][170] The reportedstress values for chromium deposits cover a very broad range, from highlytensile to compressive in microcracked deposits (>1000 cracks/linear inch).It is influenced by the solution composition and concentration, C.D., tempera-ture, deposit thickness and probably other factors. The high tensile stressand resulting cracking lower the fatigue* limits of substrates (primarily steel,but possibly also aluminum and titanium).

* The higher the stress (in tension) of the deposit, the greater the reduction in fatiguestrength.

Page 588: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 591

No ductility was found for chromium deposits from aqueous solutions.In general, the physical properties of electrodeposits approach those of

metallurgical wrought metals as the purity increases. Observations regard-ing the physical properties are:

1. The density is related to pores, voids and impurities in thedeposit. Corrosion and high temperature characteristics can besignificantly affected by low density.

2. The coefficient of thermal expansion is also affected by impuri-ties in the deposit. Thermal properties are not too well estab-lished for electrodeposits. Most deposits expand with thermalcycling, notable exceptions being chromium and cobalt-tung-sten alloys. Deposits which expand appreciably develop voidson thermal cycling and could not be considered for high tem-perature service since they would exhibit decreased corrosionand oxidation resistance.

3. Electrical resistivity is quite sensitive to the presence of smallconcentrations of impurities. Most deposits, therefore, exhibithigher values than wrought counterparts. Impurities such asoxides, sulfides, hydrates or inclusions tend to concentrate atgrain boundaries especially after a thermal treatment or an-nealing.

With respect to mechanical properties, the relationship of hardness tostrength is not always similar to wrought metals where a constant relation-ship exists. Although the generalization that the strength of a depositincreases with hardness and ductility varies inversely with strength andhardness holds in many cases, the exceptions are too numerous to make itreliable. Other observations regarding mechanical properties of deposits aredescribed below.

Hardness. Hardness (microhardness) of the deposit is the most widelymeasured property (probably due to the ease of measurement). It may alsobe the most abused. The literature is replete with inconsistencies andcontradictions. This may be due, in part, to techniques of specimenpreparation, methods of measurement, differences in deposit thickness,plating solution differences, quality of deposit, inadequacy in reporting data,neglect to indicate load applied*, type and condition (hardness) of substrate,and other factors.

* Hardness values should be reported with designated loads, e.g., VHN100

, or KHN25

,where 100 and 25 (as subscripts) represent the load in grams. Loads less than 25grams are subject to serious errors and are undesirable due to poor reproducibility.

Page 589: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

592 Deposition Technologies for Films and Coatings

To obtain reasonably reliable microhardness measurements:

1. The deposit thickness should be at least ten times the depthof the indent. For the same load, the depth of a Knoop indentis approximately 1/7 that of a Vickers indent.

2. The distance of the indent from the substrate interface shouldbe at least 1/2 the diagonal of the indent (the short diagonal forthe Knoop indent) to minimize the “anvil” effect.

3. When taking multiple measurements on the same specimen,a transverse track should be followed with the distancebetween indents as in 2.

Vickers microhardness measurements are less sensitive to errors arisingfrom elastic properties than are Knoop measurements and result in lessserious errors as loads are increased.

It appears that too much value is sometimes placed on hardnessmeasurements. The assumed relationship between hardness and strengthwas discussed above. The same may be said to some degree for thecorrelation of hardness to wear resistance. The excellent wear resistantcharacteristics of chromium deposits are related to the low coefficient offriction (Table 10.15) as much as to hardness. The wear resistant charac-teristics of electroless nickel alloys is related to the presence of phosphorus(or boron) as well as to the hardness.

Despite these comments, hardness measurements are useful in evalu-ating deposits and predicting their usefulness. They are especially useful inevaluating alloy deposits since changes in hardness reflect (possibly)changes in structure or composition of the alloy deposit.

It is not unexpected that the hardness values of deposits (of the samemetal) vary greatly (Fig. 10.30). Noteworthy are the great ranges reportedfor chromium and iron deposits and the ability of some alloy deposits toundergo precipitation hardening.

Tensile strength. In many instances, the tensile strengths of depositsexceed those of annealed metallurgical counterparts (Table 10.16). Theprimary reason is the finer-grain structure of electrodeposits. Coarsergrained or columnar structures may exhibit lower strengths.

Ductility. The ductility of electrodeposits may equal metallurgicalcounterparts but is usually lower in the as-plated condition.

Stress. The mechanism of internal (residual) stress in electrodepositsis not completely understood, but undoubtedly a distorted atomic lattice isinvolved. If the deposited atoms are closer together than normal latticespacing, the tendency is for the atoms to “push” further apart, pulling on thesubstrate and resulting in tensile stresses. Conversely, if the depositing

Page 590: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 593

atoms are farther apart than they should be in a normal lattice spacing, theytend to pull closer together, exerting a compressive stress on the substrate.

Stress measurements are subject to variations in testing proceduresand conditions and are generally not reproducible. A particular stressmeasuring instrument or technique is useful in controlling a plating solutionand its operating conditions as well as in predicting the quality of the depositwithin parameters experimentally established and observed. Weil[172]

reviewed the various methods used to measure internal stress of electrode-posits and discussed the reasons for possible variances between measuredvalues and those actually present in plated parts. He also made a compre-hensive analysis of the various types of stresses encountered in electrode-posits.[173] The various mechanical methods and calculations (formulas)used to measure macrostress were examined critically (microstresses canbe measured only from broadening of x-ray diffraction lines). It contained anextensive review of the literature dealing with stress, including the varioustheories proposed on the origin of stresses in electrodeposits.

Stress Corrosion. The tensile strength and ductility and internalstress of the deposit are interrelated in determining the degree of resistanceto stress corrosion cracking when deformation may be involved or antici-pated.

Magnetic Properties. Magnetic properties of deposits are usuallyrestricted to ferromagnetism and characterized by B-H hysteresis loops,where H is the applied field and B the induced magnetic flux density.Magnetic materials are classified as soft or hard, depending on the value ofthe coercive force, Hc, which is the magnitude of H when B = O, i.e. the forcerequired to cause random orientation to the domains.

If Hc is small, the magnetic material is considered “soft”. These aregenerally materials which are mechanically soft, i.e., they have a low yieldstrength. Permalloy (80 Ni, 20 Fe) is such an alloy. If Hc is large, usually >200oe, the material is considered a hard magnetic material, useful in fastswitching computer memory components. Alloys of Co with P and otherconstituents are usually of this type.

The saturation flux density (BS) is a physical property determined by thechemical composition of the material. The remanent flux density or retentiv-ity (BR) and Hc are structure-sensitive properties. The composition, micro-structure (grain size and orientation and defects), stress, thickness andimpurities of the deposit affect these properties. Romankiw and Thomp-son[174] reviewed the magnetic properties and applications of plated mag-netic films as well as methods of measurements.

Page 591: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

594 Deposition Technologies for Films and Coatings

Figure 10.30. Microhardness ranges.[122]

(a)

(b)

Page 592: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Dep

ositio

n fro

m A

qu

eou

s So

lutio

ns

595Table 10.16. Strength and Ductility Data for Electrodeposited Metals[122]

Page 593: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

596 Deposition Technologies for Films and Coatings

Epitaxial Growth. If the lattices of the substrate and deposit aresimilar, the substrate structure can be extended into the deposit. This iscalled epitaxial growth. High rates of deposition, the presence of additionagents and impurities tend to break down epitaxy. If the lattices differ, thenthe initial epitaxial growth shifts toward the structure of the deposit. Thethickness of the epitaxial transition zone may vary from 0 to >5 µm before thedeposition variables control growth. Also, certain crystal faces grow morerapidly than others, resulting in grain orientation. These factors may besignificant for thin film applications such as semiconductor or magneticapplications.

8.0 SUMMARY

Aqueous deposition is a complex process; the structure and propertiesof the resulting deposits depend on many factors (see Fig. 10.9). It is theoldest deposition technology and is receiving renewed and increasinginterest. Research and new applications are providing increased under-standing of electrode processes and solution chemistry with the develop-ment of new alloy and multilayered coatings and films. Electro- andelectroless deposition are much more suitable than other deposition tech-nologies for depositing films on complex geometric surfaces and intothrough-holes and blind recesses (vias).

It has a wide and varied range of applications (see Table 10.4).Continued development of the newer techniques will undoubtedly result infurther engineering and electronic applications of strip line, very high speedplating, improved selective and maskless plating. These include currentmodifications; laser, ultrasonic, and jet enhanced deposition; new celldesigns; computer-controlled processes, solution analyses, and chemicaladditions.

Improved and new processes and techniques to control, treat, mini-mize, and recycle plating solutions, wastes, and effluents are being studiedand developed. These may result in near-zero discharge from platingprocesses and installations.

Page 594: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 597

APPENDIX A - Preparation of Substrates for Electroplating

ASTM Recommended Practices*

Number Title

B 177-68 (73) Rec. Practice for Chromium Plating on Steel for Engineer-ing Use

B 183-72 Rec. Practice for Preparation of Low-Carbon Steel forElectroplating

B 242-54 (71) Rec. Practice for Preparation of High-Carbon Steel forElectroplating

B 253-73 Rec. Practice for Preparation of and Electroplating onAluminum Alloys by the Zincate Process

B254-70 Rec. Practice for Preparation of and Electroplating onStainless Steel

B 281-58 (72) Rec. Practice for Preparation of Copper and Copper-BaseAlloys for Electroplating

B 322-68 (73) Rec. Practice for Cleaning Metals Prior to Electroplating

B 343-67 (72) Rec. Practice for Preparation of Nickel for Electroplatingwith Nickel

B 431-69 Rec. Practice for Processing of Mandrels for Electroplating

B 450-67 (72) Rec. Practice for Engineering Design of ElectroformedArticles

B 503-69 Rec. Practice for Use of Copper and Nickel ElectroplatingSolutions for Electroforming

B 480-68 Rec. Practice for Preparation of Magnesium and Magne-sium Alloys for Electroplating

B 481-68 (73) Rec. Practice for Preparation of Titanium and TitaniumAlloys for Electroplating

* Book of ASTM Standards, Vol. 2.05, Sec. 2, revised annually. Also approved bythe American National Standards Institute.

Page 595: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

598 Deposition Technologies for Films and Coatings

B 482-68 (73) Rec. Practice for Preparation of Tungsten and TungstenAlloys for Electroplating

B 488-71 Spec. for Electrodeposited Coatings of Gold for Engineer-ing Uses

B 558-72 Rec. Practice for Preparation of Nickel Alloys for Plating

B 580-73 Spec. for Anodic Oxide Coatings on Aluminum

Preparation for electroplating of less common substrates includingthose used in nuclear, electronic or high temperature alloys of Fe, Co, Ni orCr usually requires activation treatments* in order to obtain satisfactoryadhesion. Other techniques involve diffusion bonding with thermal treat-ments.

Beach and Faust** and Friedman*** review procedures for light metalsand for high temperature applications including plating on refractory metals−−−−−U, Mo, W, Th, Zr, Nb and Si.

For plating Cr on previously plated Cr, the following procedure has beensatisfactory:

1. If Cr is oiled (due to grinding), degrease and polish lightly. Thenclean in alkaline cleaner by immersion or scrubbing, or cleancathodically.

2. Provide a light etch anodically in alkaline, sulfuric or chromicacid solutions.

3. Immerse in Cr plating solution and allow parts to reach solutiontemperature.

4. Plate at low C.D. (77.5 mA/cm2, ~0.5 A/in2) to deposit onlyhydrogen to activate the surface, for 0.5 - 3 minutes approxi-mately.

5. Slowly increase C.D. to (0.5 - 1.0 A/cm2, ~3 - 6 A/in2) for 15 - 30seconds to guarantee coverage, then reduce to normal platingC.D. (0.15 - 0.5 A/cm2, ~1 - 3 A/in2).

* See C. Levy, Proc. AES, 43, 219 (1956) for activation for Cr plating and W. W.Sellers and C. B. Sanborn, Ibid., 44, 36 (1957) for Ni and Ni alloys prior to Ni platingfor detailed formulations.

** Modern Electroplating, 3rd ed., Ch. 27, 618, (F. Lowenheim, ed.), John Wiley &Sons (1974)

*** Plating, 54 (No. 9), 1035 (Sept., 1967)

Page 596: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 599

APPENDIX B - Representative Electroless Plating SolutionFormulation

1. Nickel-Phosphorus(See reference below) (a) (a) (a) (b)

Nickel sulfate 35 g/L 35 g/L 30 g/L 25 g/LSodium hypophosphite 10 10 10 25Sodium hydroxyacetate 10Sodium acetate 10Sodium citrate 100Sodium pyrophosphate 50Ammonium chloride 50

pH 4.5 - 5.5 4.5 - 5.5 9.0 - 9.5 10 - 10.5Temp. oC 90 - 95 90 - 95 90 - 95 25 - 75w/o P in deposit 7 - 9 7 - 9 5 - 7 4 - 6

2. Nickel-Boron (c)

Nickel chloride 30 g/LDimethylamine borane 3.5Malonic acid 34

pH 5.5Temp. oC 77

3. Cobalt-Phosphorus (d)

Cobalt sulfate 24 g/LSodium hypophosphite 20Socium citrate 70Ammonium sulfate 40Sodium laurylsulfate 0.1

pH 8.5Temp. oC 92

Page 597: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

600 Deposition Technologies for Films and Coatings

4. Copper (e)

Copper sulfate 29 g/LSodium

potassium tartrate 142Versene T 17Sodium hydroxide 42Sodium carbonate 25Formaldehyde (37%) 167 ml/L

Temp. oC 25

5. Palladium (f)

Palladium chloride 5.4 g/L(as ammino complex)

EDTA Na2 33.6Ammonium hydroxide 350Hydrazine 0.3

Temp. oC 80

6. Gold (g) (g) (g)

Potassium cyanoaurate 5.8 g/L 0.86 g/L 5.8 g/LPotassium cyanide 13 6.5 1.3Potassium hydroxide 11.2 11.2 45Potassium borohydride 21.6 10.8Dimethylamine borane 23.6

Temp. oC 75 75 85

7. Silver (h)Sodium silver cyanide 1.83 g/LSodium cyanide 1.0Sodium hydroxide 0.75Dimethylamine borane 2.0

(thiourea 0.25)

Temp. oC 55 - 65

Page 598: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 601

8. Platinum (i)Sodium platinate 10 g/L

(Na2Pt(OH)6)Ethylamine 10Hydrazine (as sulfate) as required for reductionSodium hydroxide as required for pH 10

Temp. oC 30

REFERENCES (for Appendix B)

(a) Brenner, A. and Riddell, G., Surf. Technol., 10:81 (1980)(b) Schwartz, M., Proc. AES, 176 (1960)(c) Mallory, G. O., Plating, 58:319 (1971)(d) Ransom, L. D. and Zentner, V., J. Electrochem. Soc., 111:1423

(1964)(e) Saubestre, E. B., Proc. AES, 46:264 (1959)(f) Rhoda, R. N., Tans. Inst, Met Finish, 36:82 (1959)(g) Okinaka, Y., Plating, 57:914 (1970)(h) Pearlstein, F. and Wightman, R. F., Plating, 58:1014 (1971)(i) Rhoda and Vines, U.S. Patent 3,486,928 (1969)

Note: (1) Some of the above formulations are protected by U.S. Patents.Their listing here does not imply any right to infringe.

(2) See Ref. 175 for additional solution formulations.

Page 599: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

602 Deposition Technologies for Films and Coatings

APPENDIX C - Comparison of Aluminum Anodizing Processes(Types I, II and III)

Advantages of Type I coatings

1. Corrosion resistance of coatings are as high (if not higher) than TypeII coatings.

2. Provide excellent bond for organic coatings.

3. Chromic acid is a corrosion inhibitor, therefore it is not essential toassume (or provide for) complete removal from crevices, joints orrecesses due to spot welding, riveting, bolting or blind holes.

4. It has practically no effect on the fatigue strength of the part.

5. Although thinner, less porous, and somewhat opaque due to pick up ofchromate ion and alloying constituents, the coating is capable ofabsorbing dark dyes for Class 2 requirements.

6. It is preferred as a maskant for selective Hard Anodize since it is lessporous than Type II films, especially for assemblies with joints orrecesses.

Limitations of Type I coatings

1. A smaller increase in abrasion resistance is obtained as compared toType II coatings due to lower thickness and structure differences.

2. Limited to alloys containing less than 5% copper or 7% silicon.

3. Higher voltage is required with extended time as compared to Type IIcoatings.

4. Under conditions used for wrought alloys, casting alloys tend to useexcessive current and “burning” may occur. In such cases, conditionsmight require changes to 30 - 35 volts at 90oF with compensatingincrease in time to obtain adequate coating thickness.

5. Alloys in the annealed condition do not anodize satisfactorily, Heattreatable alloys should be tempered by solution heat treatment andapproved aging.

Page 600: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 603

6. Wrought and cast alloys with high alloy content (such as 7075) tend todevelop thinner coatings and may behave erratically or poorer in saltspray tests.

Advantages of Type II coatings

1. Less expensive (compared to Type I coatings) with respect to chemi-cals involved (and waste treatment thereof), heating and powercosts, length of time to obtain required coating.

2. More alloys can be treated

3. Coatings are harder than Type I coatings.

4. Coatings may be slightly more corrosion resistant after sealing thanType I coatings (due to thicker and more porous coating).

5. Clear coating permits dyeing with greater variety of colors.

Limitations of Type II coatings

1. Cannot be used where possibility of solution entrapment exists,especially joints, laps or recesses since any sulfuric acid residue maybe corrosive.

2. Reduces the fatigue characteristics of the alloy.

3. Difficult to control where small dimensional changes are desired orrequired since coatings grow faster and are thicker for corrosionresistant requirements as compared to Type I coatings. (Thus, TypeI coatings should be considered on close tolerance parts such asthreads.)

Characteristics of Hard Anodize Coatings

1. Corrosion resistance is excellent, several thousand hours in salt spraytests have been reported (after proper sealing).

2. Abrasion and wear resistance excellent.

3. Chemical resistance is poor as compared to calcined aluminum oxides;will not resist alkalies or acids as well.

Page 601: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

604 Deposition Technologies for Films and Coatings

4. Coefficient of thermal expansion is different from that of the aluminumalloys and spalling may result at temperatures above 200 - 300oC.

5. Film crazing - As part temperature increases from formation tempera-ture (-4 - 0°C = 25 - 32oF) to room temperature or the higher sealingtemperatures (93 - 99°C = 200 - 210oF) or post honing temperatures,the coating may craze or fracture since it is tensively stressed; thisphenomenon becomes aggravated as film thickness increases. Some-times this crazing seems to disappear after aging.

6. “Chalking” −−−−−This refers to a white film which sometimes appears on thesurface after drying. It is not considered detrimental and is usually notnoticed unless (or until) surface is wiped. The mechanism is notunderstood; it may possibly be a bleed-out phenomenon.

Effect of Alloying Elements on the Hard Coating.

1. Thicker coatings are obtained with the purer or higher conducting alloyscontaining magnesium or zinc:

Purer alloys EC, 1100, 3003Al-Mg alloys 5005, 5050, 5052, 5252Al-Mg-Si alloys 6061, 6063Al-Zn alloys 7075

2. Copper-containing alloys produce intermetallic compounds (after HT)which increase the ohmic resistance resulting in thinner coatings. TypeIII Hard Anodize is restricted to those alloys containing less than 5% Cu.

3. High silicon-containing alloys also produce intermetallic compoundsand do not anodize readily. These involve most castings which dependon reduction of the alloy’s melting point by the eutectics formed with thesilicon (even less than 7% Si). The Si or silicides do not anodize, being“inert” and acting as inclusions, depending on “bridging” for continuityof coating.

4. Since copper and silicon constituents may result in poorer coatings, atotal of 7 - 9% of the combination of these two elements is usuallyconsidered as a maximum in an alloy to be hard anodized.

5. The color of the Hard Anodize Coating reflects the alloying constituents.

Page 602: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 605

REFERENCES

1. Dini, J. W., Plat. and Surf. Fin., 72(7):48 (July 1985); 1st Int’l SAMPEMetals Conf., 1:91 (August 1987)

2. Lindsay, J. H. and LaSala, J., Plat. and Surf. Fin., 72(2):54 (1985)

3. Lee, R. A., Proc. 29th Ann. Conf., IPC, Boston (1986)

4. Poskanzer, A. M., Plat. and Surf. Fin., 74(3):20 (1987)

5. Best, T. G. et al., Int’l Conf. on Met. Ctgs, AVS (April 1985)

6. Wagner, C., J. Electrochem. Soc., 101(4):181 (1954); Frumkin, A. N.and FLorianovich, G. M., Doklady Akad, Nauk SSSR, 86:907 (1951)

7. Schaefer, R. A. and Pochapsky, H., Proc. American Electroplaters’Soc., 38:155 (1951)

8. Rothschild, B. F., Plat. and Surf. Fin., 66(5):70 (May 1979)

9. Foulke, D. G. and Johnson, D. C., Proc. American Electroplaters’ Soc.,50:107 (1963)

10. Hull, R. O., Proc. American Electroplaters’ Soc., 27 (1939)

11. Blum, W., Trans. Electrochem. Soc., 44:313 (1923)

12. Ibl, N., Electrodics:Transport, (E. Yeager et al., ed.), 4:6, Plenum Press(1983)

13. Shawki, S., Hanna, F. and Hamid, Z. A., Metal Finishing, 85(12):59(December 1987)

14. Electrode Processes, Discussions of The Faraday Society, No. 1:1947,Butterworths (1961)

15. Schaefer, R. A. and King, W., Plating, 39:487,627,769 (1952) (Ameri-can Electroplaters’ Soc., Res. Proj. No. 8)

16. Conway, B. E. and Bockris, J. O’M., Plating, 46(4):371 (April 1959)

17. Damjanovic, A., Plating, 52(10):1017 (October 1965)

18. Vetter, K. J., Electrochemical Kinetics, p. 282 ff., Academic Press(1967)

19. Fischer, H., Plating, 56(11):1229 (November 1969)

20. Fischer, H., Electrodep. and Surf. Treatment, 1:239 (January 1973)

21. Nageswar, S., Electrodep. and Surf. Treatment, 3:417 (Sept/Nov1975)

22. Modern Electroplating, 3rd edition, (F. A. Lowenheim, ed.), p. 17ff,(Sponsored by the Electrochemical Society) John Wiley & Sons (1973)

Page 603: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

606 Deposition Technologies for Films and Coatings

23. Landau, U., Electrochemistry in Industry, (U. Landau, E. Yeager, D.Dortan, eds.), p. 215, Plenum Press, NY (1982); Also, LBL-2702, PhDthesis, University of Calif., Berkeley (January 1976)

24. Read, H. J., Plating, 49(6):602 (1962)

25. Kardos, O., Plating, 61(1):61 (1974); (2):129 (1974); (3):229 (1974);(4):316 (1974)

26. Theory and Practice of Bright Electroplating, (Yu Matulis et al., eds.),Proceedings of All-Union Conference (December 1962); Akademiya ofSciences of the Lithuanian SSR. Translated from Russian by the IsraelScientific Translations, Jerusalem (1965) TT 65-50000, U.S. Dept ofCommerce.

27. Vagramyan, A. T., and Solov’eva, Z. A., Technology of Electrodepo-sition, pp. 151-175, Robert Draper, Ltd (1961)

28. Raub, E. and Müller, K., Fundamentals of Metal Deposition, pp. 105 -135, Elsevier Publ. Co. (1967)

29. Bato, K., Electrodep. and Surf. Treatment, 3(2):77 (March 1975)

30. Rich, S. R., Plating, 42(11):1407 (November 1955)

31. Roll, A., Metal Finishing, 55(9):55 (September 1957)

32. Hickman, R. G., Plating, 52(5):407 (May 1965)

33. Kapustin, A. P. and Trofimov, A. N. Electrocrystallization of Metals inan Ultrasonic Field., Translated from the Russian by the Israel Scien-tific Translation, (1970) TT-70-50036, U.S. Dept of Commerce

34. Walker, C. T. and Walker, R., Electrodep. and Surf. Treatment,1(6):457 (July 1973)

35. Forbes, C. A. and Ricks, H. E., Plating, 49(2):279 (1962)

36. Walker, R. and Holt, N. S., Plat. and Surf. Fin., 67(5):92 (May 1980)

37. Ewing, D. T. and Associates, Plat. and Surf. Fin., 36:1137 (1949);37:1157 (1950); 39:1033 (1952); 39:1342 (1952), Sponsored by theAmerican Electroplaters’ Society, Research Project No. 5

38. Zentner, V., Proc. American Electroplaters’ Soc., 47:166 (1960)

39. Jernstedt, G. W., Proc. American Electroplaters’ Soc., 36:63 (1949);ibid., 37:151 (1950)

40. Rehrig, D. L., paper presented at American Electroplaters' Society 65thAnnual Technical Conference, Washington, D.C. (June 1978)

41. Wan, C. C., Cheh, H. Y. and Linford, H. B., Plating, 61(6):559 (June1974)

Page 604: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 607

42. Puippe, J. C., Ibl, N., Angerer, H. and Schenk, H. J., Oberfläche-Surface, 20(4):77 (1979)

43. Proc. Pulse Plating Symposia., American Electroplaters and Surf. Fin.Soc., (a) Boston, MA (April 19 - 20, 1979) (b) Rosemont, IL (October6 - 7, 1981)

44. Theory and Practice of Pulse Plating, (J. C. Puippe, F. Leamon, eds.),published by American Electroplaters and Surf. Fin. Soc., Orlando, FL(1986)

45. Cheh, H. Y., J. Electrochem. Soc., 118:551 (1971)

46. Ibl, N., Surf. Technol., 10:81 (1980)

47. Osero, N., Theory and Practice of Pulse Plating, (J. C. Puippe, F.Leamon, eds.), Ch. 13, published by American Electroplaters and Surf.Fin. Soc., Orlando, FL (1986)

48. Avila, A. J. and Brown, M. J., Plating, 57(11):1105 (1970)

49. Cheh, H. Y., Linford, H. B. and Wan, C. C., Plat. and Surf. Fin., 64(5):66(1977); Chey, H. Y., Andricacos, P. C. and Linford, H. B., ibid. 64(7):42(1977); Chey, H. Y., Andricacos, P. C. and Linford, H. B., ibid. 64(9):44(1977)

50. Mattson, E. and Bockris, J. O’M., Trans. Faraday Soc., 55:1586 (1959)

51. Reid, F. H., Metalloberfläche, 30(10):453 (1976)

52. Raub, C. J. and Knödler, A., Gold Bulletin, 10(2):38 (April 1977)

53. Knödler, A., Theory and Practice of Pulse Plating, (J. C. Puippe, F.Leamon, eds.), Ch. 9, published by American Electroplaters and Surf.Fin. Soc., Orlando, FL (1986)

54. Hosokawa, K., Angerer, H., Puippe, J. C. and Ibl, N., Plat. and Surf.Fin., 67(10):52 (October 1980)

55. Puippe, J. C. and Ibl, N., Plat. and Surf. Fin., 67(6):68 (June 1980)

56. Dossenbach, O., Theory and Practice of Pulse Plating, (J. C. Puippe,F. Leamon, eds.), Ch. 6, published by American Electroplaters andSurf. Fin. Soc., Orlando, FL (1986)

57. Avila, A. J., Theory and Practice of Pulse Plating, (J. C. Puippe, F.Leamon, eds.), Ch. 11, published by American Electroplaters and Surf.Fin. Soc., Orlando, FL (1986)

58. Ibl, N., Surf. Technol., 10:81 (1980)

59. Puippe, J. C. and Ibl, N., J. Appl. Electrochem., 10:775 (1980)

Page 605: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

608 Deposition Technologies for Films and Coatings

60. von Gutfeld, R. J., Tynan, E. E., Melcher, R. L. and Blum, S. E., Appl.Phys. Lett., 35:651 (1979)

61. Puippe, J. C., Acosta, R. E. and von Gutfeld, R. J., J. Electrochem.Soc., 128(12):2539 (1981)

62. von Gutfeld, R. J. and Romankiw, L. T., Gold Bull., 15(4):120 (October1982)

63. Bocking, C., Trans. Inst. of Metal Finish., 66:50 (1988)

64. von Gutfeld, R. J., Gelchinski, M. H. and Romankiw, L. T., J. Electrochem.Soc., 130(9):1840 (1983)

65. Gelchinski, M. H., Romankiw, L. T., Vigliotti, D. R. and von Gutfeld, R.J., J. Electrochem. Soc., 132(11):2575 (1985)

66. Kuiken, H. K., Mikkers, F. E. P. and Wierenga, P. E., J. Electrochem.Soc., 130(3):554 (1983)

67. Zahavi, S., Tamir, S. and Halliwell, M. J., Plat. and Surf. Fin., 73(2):56(February 1986)

68. Holt, M. L., Modern Electroplating, 3rd edition, (F. A. Lowenheim, ed.),pp. 461-485, John Wiley & Sons (1973)

69. Spencer, L. F., Metal Finishing, 69(10):69 (October 1971)

70. Brenner, A., Electrodeposition of Alloys, Principles and Practice,Academic Press (1963)

71. Electrodeposition of Alloys (V. A. Averkin, ed.), (1961), Translated fromRussian by the Israel Program for Scientific Translations (1964) OTS64-11015, U.S. Dept of Commerce

72. Brenner, A., Plating, 52(12):1249 (1965)

73. Krohn, A. and Bohn, C. W., Electrodep. and Surf. Treatment, 1(3):199(January 1973); Plating, 58(3):237 (March 1971)

74. Sadana, Y. N., Metal Finishing, 82,83,84 (1984 - 1986)

75. Brenner, A., Burkhead, P. S. and Seigmiller, E., J. Res. Nat’l. Bureauof Standards, 39:351 (1947)

76. Clark, W. E., and Holt, M. L., J. Electrochem. Soc., 94:244 (1948)

77. Hoar, T. P. and Brickley, I. A., Trans. Inst. of Metal Finish., 32:186(1955)

78. Frantsevich, T. F. and Zayats, A. I., Zhur. Priklad Khim., 31:234 (1958);English translation, p. 224, Ukrain. Khim Zhur. 24:585 (1958)

79. Machu, W. and El Ghandour, Werkstoffe u. Korrosion, 11(7):420 and11(8):481 (1960)

80. Machu, W. and Fathi, M., U.S. Patent 3,093,556 (June 11, 1963)

Page 606: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 609

81. Domnikov, L., Metal Finishing, 62(3):61 (March 1964)

82. Hayashi, T. and Ishihama, A., Plat. and Surf. Fin., 66(9):36 (September1979)

83. Lashmore, D. S., Weisshaus, I. and Pratt, K., Plat. and Surf. Fin.,73(3):48 (March 1986)

84. Chisholm, C. V. and Carnegie, R. J. G., Plating, 59(1):28 (1972)

85. Machu, W., Metalloberfläche, 30(10):460 (1976)

86. Domnikov, L., Metal Finishing, 68(12):54 (1970)

87. Srivastava, S. C., Surf. Technol., 10:237 (1980)

88. Mayer, A., Standhammer, K. and Johnson, K., Plat. and Surf. Fin.,72(11):76 (November 1985)

89. Hashino, S., Laitinen, H. A. and Heflund, G. B., J. Electrochem. Soc.,133(4):681 (April 1986)

90. U.S. Patent 2,315,740, also Black, G., Metal Finishing, 44:207 (1946)

91. Moeller, R. W. and Snell, W. A., Proc. American Electroplaters’ Soc.,42:189 (1955)

92. Moeller, R. W. and Snell, W. A., Proc. American Electroplaters’ Soc.,43:230 (1956)

93. Tomaszewski, T. W., Clauss, R. J. and Brown, H., Proc. AmericanElectroplaters’ Soc., 50:169 (1963)

94. Kilgore, C. R., Products Finish., 34 (May 1963)

95. Sayfullin, R. S. and Safina, R. A., Zashchita Metal (USSR), 3(2):215(1967); See also, ASM Rev. of Met. Lit., 24(7):99 (July 1967)

96. Sautter, F. K., J. Electrochem. Soc., 110:557 (1963)

97. Weisner, H. J., Frey, W. P., Vanderwoort, R. R. and Raymond, E. L.,Plating, 57(4):358, 362 (April 1970)

98. Greco, V. P. and Baldauf, W., Plating, 55(3):250 (March 1968)

99. Shyne, J. J., Barr, H. N., Fletcher, W. D. and Scheible, H. G., Plating,42(10):1255 (October 1955)

100. Ortner, M. Plating, 51(9):885 (September 1964)

101. Brenner, A. and Riddell, G., J. Res. Nat’l. Bureau of Standards, 39,(November 1947), Res. Paper R.P. 1835, Proc. American Electroplaters’Soc., 33:23 (1946) and 34:156 (1947)

102. Brenner, A., Metal Finishing, 52(11):68 (November 1954); 52(12):61(December 1954)

Page 607: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

610 Deposition Technologies for Films and Coatings

103. “Symposium on Electroless Nickel Plating,” ASTM Special TechnicalPublication No. 265, American Soc. for Testing and Materials, Phila.,PA (1959)

104. Gorbunova, K. M. and Nikiforova, A. A., Physiochemical Principles ofNickel Plating, translated from Russian by Israel Program for Transla-tion, OTS 63-11003, U.S. Dept of Commerce, (1960)

105 Saubestre, E. B., Metal Finishing, 60(6):67; (7):49; (8):45; (9):59(1962)

106. Gawrilov, G., Metalloberfläche, 25(4):118 (1971); 25(8):277 (1971);26(4):139 (1972)

107. Gutzeit, G., Saubestre, E. B. and Turner, D. R., Electroplating Engi-neering Handbook, 3rd edition, (A. K. Graham, ed.), pp. 486 - 502,Reinhold Publ. Co. (1971)

108. Pearlstein, F., Modern Electroplating, 3rd edition, (F. A. Lowenheim,ed.), Ch. 31, John Wiley & Sons (1974)

109. Goldenstein, A. W., Rostocker, W., Schossberger, F. and Gutzeit, G.,J. Electrochem. Soc., 112:104 (1957)

110. Graham, A. H., Lindsay, R. W. and Read, H. J., J. Electrochem. Soc.,112:401 (1965)

111. (a) Morton, J. P. and Schlessinger, M., J. Electrochem. Soc., 115:16(1968); (b) Chow, S. L., Hedgecock, N. E., Schlessinger, M. andResek, J., ibid., 119:1614 (1970)

112. Ziehlke, K. T., Dritt, W. S. and Mahoney, C. H., Metal Progress, 77:84(1960)

113. Lee, W. G., Plating, 47:288 (1960)

114. Randin, J. P. and Hintermann, H. E., Plating, 54:523 (1967)

115. Johnson, C. E. and Ogburn, F., Surf. Technol., 4(2):161 (March 1976)

116. Higgs, C. E., Surf. Technol., 2(3):315 (1973/74)

117. Schwartz, M. and Mallory, G. O., J. Electrochem. Soc., 123 (5):606(May 1967)

118. deMinjer, C. H. and Brenner, A., Plating, 44(12):1297 (1957)

119. Mallory, G. O., Plating, 61(11):1005 (1974)

120. Parker, K. and Shah, H., Plating, 58(3):230 (March 1971)

121. Baldwin, C. and Such, T. E., Trans. Inst. of Metal Finish., 46:73 (1968)

122. Safranek, W. H., The Properties of Electrodeposited Metals and Alloys,A Handbook, 2nd edition, published by The American Electroplaters’and Surface Finishers’ Soc. (1986)

Page 608: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 611

123. Okinaka, Y., Gold Plating Technology, (F. H. Reid and W. Goldie, eds.),Ch. 11, Electrochemical Publications, Ltd. (1974)

124. Saubestre, E. B., Proc. American Electroplaters’ Soc., 46:264 (1959)

125. Saubestre, E. B., Plating, 59(6):563 (June 1972)

126. Ma and Gawne, Trans. Inst. of Metal Finish., 65, (part 2) (August 1985)

127. Hadley J. S. and Harland, L. E., Metal Finishing, 85(12):51 (December1987)

128. Parker, K., The Properties of Electrodeposited Metals and Alloys, AHandbook, 2nd edition, 23:497, and 24:531, published by The Ameri-can Electroplaters’ and Surface Finishers’ Soc. (1968)

129. “Recommended Practice for Processing of Mandrels for Electroform-ing,” ASTM Standard B431-65, Also see, Plating, 51(11):1075 (No-vember 1964)

130. DiBari, G. A., 64th Metal Finish. Guidebook and Directory, p. 435,Metals & Plastics Publ., Inc. (1978)

131. Spencer, L. F., Metal Finishing, 57(5):48 (May 1959)

132. Spiro, P., Electroforming, 2nd edition, International Publ. Services, NY(1971)

133. Braddock, D. M. and Harris, S. J., Electrodep. and Surf. Treatment,2(2):123 (1973/74)

134. Dini, J. W. and Johnson, H. R., Surf. Technol., 4(3):217 (May 1976)

135. Dini, J. W., Johnson, H. R. and Saxton, H. J., Electrodep. and Surf.Treatment, 2(2):165 (1973/74)

136. Withers, J. C. and Abrams, E. F., Plating, 55(6):605 (June 1968)

137. Wallace, W. A. and Greco, V. P., Plating, 57(4):342 (April 1970)

138. Greco, V. P., Wallace, W. A., and Cesaro, J. N. L., Plating, 56(3):262(March 1969)

139. Wernick, S. and Pinner, R., The Surface Treatment and Finishing ofAluminum, 3rd edition, 1 vol. (1964); 4th edition, 2 vols. (1972) RobertDraper, Ltd.

140. Wernick, S., Metal Finishing, 53(6):92 (1955)

141. Sweet, A. W., Plating, 44(11):1191 (November 1957)

142. Keller, F., Hunter, M. S. and Robinson, D. L., J. Electrochem. Soc.,100(9):411 (1953)

143. Spooner, R. C., Paper No. AN-10, Aluminum Finishing Seminar,Detroit, Michigan, sponsored by Aluminum Assoc. (1968)

144. Wernick, S., Plat. and Surf. Fin., 75(6):51 (1988)

Page 609: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

612 Deposition Technologies for Films and Coatings

145. Short, E. P. and Morita, A., Plat. and Surf. Fin., 75(6):102 (1988)

146. George, D. J. and Powers, J. H., Plating, 56(11):1240 (1969)

147. Wernick, S. and Pinner, R., Metal Finishing, 53(11):(1955)

148. Coulston, E. L., Paper No. AN-6, Aluminum Finishing Seminar, Detroit,Michigan, sponsored by Aluminum Association (1968)

149. Tin and Its Uses, 133, Tin Research Institute (1982)

150. Woods, J. L., U.S. Patent 3,857,766 (December 31, 1974); Newman,F. S., Hartman, J. T., and Dedona, F. A., U.S. Patent 3,983,014(September 28, 1976); Knodo, M. and Shizouka, T. (Japan) U.S.Patent 3,996,125 (December 7, 1976)

151. Konno, H., Theory and Practice of Pulse Plating, (J. C. Puippe, F.Leamon, eds.), 12:209, published by American Electroplaters andSurf. Fin. Soc., Orlando, FL (1986)

152. Metals Handbook, 9th edition, 5:632, ASM (1982)

153. Geduld, H., Metal Finishing, 65(4):62 (April 1967)

154. Saubestre, E. B., Durney, L. J., and Washburn, E. B., Metal Finishing,62(11):52 (1964)

155. Saubestre, E. B., Trans. Inst. of Metal Finish., 47:228 (1969)

156. Perrins, L. E., Trans. Inst. of Metal Finish., 50:38 (1972)

157. Courduvelis, C. L., “Applications of Plasmas in the Electroplating ofPlastics,” paper presented at 65th Annual Technical Conf., AmericanElectroplaters’ Soc. (1978), pre-print, American Electroplaters’ Soc.,Orlando, FL

158. Shipley, C. R., U.S. Patent 3,011,920; Matijevic, E., Plating, 63(11):1051(1974); Cohen, R. L.. and West, K. W., J. Electrochem. Soc., 120(4):502(1973); Plating, 63(5):52 (May 1974), (colloid hypothesis); Zeblinsky,R. J., U.S. Patent 3,672,938; Rantell, A. and Holtzman, A., Trans. Inst.of Metal Finish., 51,62 (1973) and Plating, 63(11):1052, 1054 (1974)(complex hypothesis)

159. Martin, J. J., Plating, 58(9):888 (1971)

160. Rothschild, B. F. and Schwartz, M., “Plating and Finishing of PrintedCircuit Boards,” American Electroplaters’ Soc., Illustrated Lecture#41, American Electroplaters’ Soc.

161. Smith, C. M., Plating, 56(4) (April, 1969)

162. Rothschild, B. F., Farmer, M. E. and Brewer, T. W., Plating, 49(12):1269(December 1962)

163. Jawitz, M. W., Insulation/Circuits, p. 5 (April 1976)

Page 610: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 613

164 Rothschild, B. F. and Kilgore, L. C., “Electroplating: Cornerstone ofMultilayer Board Fabrication,” presented at Western Regional Techni-cal Session, American Electroplaters’ Soc., March, 1966, (Available aspre-print from Autonetics Div., Rockwell International, Paper X6-362/3111.)

165. Rothschild, B. F. and Sanders, D., Plating, 56(12):1363 (December1969)

166. Weil, R., Plat. and Surf. Fin., 69(12):46 (1982)

167. Johnson, H. R., Dini, J. W., and Stoltz, R. E., “On the MechanicalProperties of Sulfamate Nickel Electrodeposits.” Presented at 65thAnnual Technical Conference, American Electroplaters’ Soc., (Pre-print) (June, 1978)

168. Read, H. J., Plating, 49(6):602 (1962)

169. Zentner, V., Brenner, A. and Jennings, C. W., Plating, 39:865 (1952)

170. Brenner, A., Burkhead, P., and Jennings, C., J. Res. Nat’l. Bureau ofStandards, 40:31, R.P. 1854 (January 1948)

171. Jones, M. H., Kenez, M. G., and Saiddington, J., Plating, 52(1):39(1965)

172. Weil, R., Properties of Electrodeposits, Their Measurements andSignificance, (R. Sard, H. Leidheiser, Jr., and F. Ogburn, eds.), 19:319,The Electrochemical Soc. (1975)

173. Weil, R., Plating, 57(12):1231 (December 1970); 58(1):50 (January1971); 58(2):137 (February 1971)

174. Romankiw, L. T., and Thomposn, D. A., Properties of Electrodeposits,Their Measurements and Significance, (R. Sard, H. Leidheiser, Jr., andF. Ogburn, eds.), 23:389, The Electrochemical Soc. (1975)

175. "Electroless Plating-Fundamentals and Applications", (O. Mallory andJ. B., Hajdu, eds.), American Electroplaters and Surface FinishersSoc., (1990)

176. Dini, J. W., Electrodeposition, The Materials Science of Coatings andSubstrates, Noyes Publications, Park Ridge, NJ (1993)

Supplementary References–Journals

Electrochim. Acta

Electronic Packaging and Production*, Milton S. Kiner, Publ., 222 W. Adams,Chicago, IL 60606

Page 611: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

614 Deposition Technologies for Films and Coatings

Gold Bulletin*, World Gold Council, 1, rue de la Rôtisserie, Ch. 1204,Geneva, Switzerland

Printed Circuit Fabrication*, Gary W. Smith, Publ., 174 Hembase Rd.,Alpharetta, GA 30210

Product Finish.*, Gardner Publ. Co., 600 Main St., Cincinnati, OH 45202

Trans. Faraday Soc.

* Trade journals (complimentary on controlled circulation).

Supplementary References–Books

AESF, “Symposium on Electroforming,” American Electroplaters’ andSurf. Fin. Soc., Orlando, FL (1967)

AESF, “Symposia on Plating in the Electronics Industry,” (Proceedings),1st - (1966), 2nd - (1969), 3rd - (1971), 4th - (1974), 5th - (1975), 6th- (1977), 7th - (1979), 8th - (1981)

AESF, “Illustrated Lecture Series,” (Slides and text, 41 subjects available.)

ASM,Metals Handbook 9th edition, Vol. 5; “Heat Treating, Cleaning andFinishing,” American Society for Metals, Metals Park, OH

ASTM, “Anodizing Aluminum,” STP 388, American Society for Testing andMaterials, Philadelphia, PA (1965)

ASTM, “Electroforming-Applications, Uses and Properties of ElectroformedMetals,” (1962)

ASTM, “Hydrogen Embrittlement Testing,” STP 543 (1974)

Bard, A. J. and Faulkner, L. R., Electrochemical Methods, John Wiley &Sons (1980)

Bennington, H. and Draper, R. “Tables and Operating Data for Electroplaters,”Robert Draper Ltd., Teddington, Eng

Bernstein, I. M. and Thompson, A. W., eds., Hydrogen in Metals, Amer. Soc.for Metals (1974)

Blum, W. and Hogaboom, G. B., Principles of Electroplating and Electroform-ing, 3rd edition McGraw-Hill Publ. Co., NY (1949)

Bogenschutz, A. F., Surface Technology and Electroplating in the Electron-ics Industry, Porticullis Press, Ltd., London, Eng. (1974)

Bockris, J. O’M. and Reddy, A. K. N., Modern Electrochemistry, (2 vols)Plenum Press, NY (1970)

Page 612: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Deposition from Aqueous Solutions 615

Brugger, R., Nickel Plating, International Publ. Services, Porticullis, NY(1970)

Burns, R. M. and Bradley, W. W., Protective Coatings for Metals, 3rd edition,(ACS Monograph Series), Reinhold Publ. Corp., NY (1967)

Cobalt Monograph, (prepared by staff, Batelle Memorial Inst.) edited byCentre D’Information du Cobalt, Brussels, Belgium (1960)

Coombs, C. F. Jr., ed., Printed Circuits Handbook, McGraw-Hill Book Co.(1967)

Dubpernell, G., Electrodeposition of Chromium, Pergamon Press, NY(1977)

Fischer, J. and Weiner, D. E., Precious Metal Plating, Robert Draper, Ltd.,Teddington, Eng. (1964)

Gileadi, E., Kirowa-Eisner, E. and Penciner, J., Interfacial Electrochemistry,Addison-Wesley Publ. (1975)

Goldie, W., Metallic Coating of Plastics, (2 vols), Electrochemical Publ., Ltd.,Middlesex, Eng. (1968)

Graham, A. K., ed., Electroplating Engineering Handbook, 3rd edition,Van Nostrand, Reinhold Co., NY (1971); Durney, L., ed., 4th edition(1975)

Greenwood, J. D., Hard Chromium Plating, 2nd edition, International Publ.Services, Porticullis, NY (1971)

Greenwood, J. D., Heavy Deposition, Robert Draper, Ltd., Teddington, Eng.(1970)

Hall, H. ed., Metal Finishing Guidebook-Directory, Metals and Plastics Publ.,NJ (issued annually)

Hampel, C. A., ed., Encyclopedia of Electrochemistry, Reinhold Publ. Corp.,NY (1964)

Jarrett, G. D. R., Draper, C. R., Muller, G., and Baudrand, D. W., Plating onPlastics, 2nd edition, International Publ. Services, Porticullis, NY(1971)

Kutzelnegg, A., Testing Metallic Coatings, Robert Draper, Ltd., Teddington,Eng. (1963)

Lowenheim, F. A., Electroplating, (Sponsored by American Electroplaters’Soc.) McGraw-Hill Book Co., NY (1978)

Murphy, J. A., ed., Surface Preparation and Finishes for Metals, McGraw-HillBook Co., NY (1971)

Narcus, H., Metallizing of Plastics, Reinhold Publ. Co., NY (1960)

Page 613: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

616 Deposition Technologies for Films and Coatings

Read, H. J., ed., Hydrogen Embrittlement in Metal Finishing, (Sponsored byAmerican Electroplaters’ Soc.) Reinhold Publ. Co. (1961)

Reid, F. H. and Goldie, W., Gold Plating Technology, Electrochemical Publ.,Ltd. (1974)

Raub, E. and Muller, K., Fundamentals of Metal Deposition, Elsevier Publ.Co., NY (1967)

Riedel, W., Electroless Nickel Plating, ASM International (1991) (Trans fromGerman, Kuhn, A. T.)

Sard, R., Leidheiser, H. Jr. and Ogburn, F., eds., Properties of Electrodepos-its, Their Measurements and Significance, The Electrochemical Soc.,Princeton, NJ (1975)

Ulhig, H. H., Corrosion and Corrosion Control, 2nd edition, John Wiley &Sons, NY (1971)

Uhlig, H. H., ed., Corrosion Handbook, (Sponsored by ElectrochemicalSociety) John Wiley & Sons, NY (1948)

Van Horn, K. R., ed., Aluminum, 3 vols., American Society for Metals, MetalsPark, OH (1967)

West, J. M., Electrodeposition and Corrosion Processes, Van Nostrand Co.,NY (1965)

Page 614: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

617

11

Advanced Thermal Spray DepositionTechniques

Robert C. Tucker, Jr.

1.0 INTRODUCTION

Advanced thermal spray coatings, including plasma, detonation gun*and high velocity oxy-fuel (HVOF) coatings have been used in industry for overthirty-five years. They are line-of-sight processes in which powder is heatedto near or above its melting point and accelerated (by either a detonation wave,or plasma or high velocity combustion gas stream). The powder is directedat a substrate (surface to be coated) and, on impact, forms a coatingconsisting of many layers of overlapping thin lamellar particles or splats.Almost any material that can be melted without decomposing can be used toform the coating. The substrate, for most applications, is not heated above150°C, so its metallurgical properties (strength, etc.) remain unchanged.Typical coating thicknesses range from 0.05 to 0.5 mm (0.002 to 0.020inches), but in a few applications may exceed 5 mm (0.2 inches).

The description of the processes and coatings that follows is dividedinto three sections: equipment and processes, coating structure, andproperties.

* The detonation gun process was developed by Union Carbide Corporation[1] and detonationgun coatings are currently available in the United States, Japan, Singapore, and WesternEurope through Praxair Surface Technologies, Inc. (formerly Union Carbide Corp.). Plasmaspray and HVOF coatings (also developed by Union Carbide[2]) are available from a numberof coatings service organizations and the equipment is available from several sources forin-house use.

Page 615: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

618 Deposition Technologies for Films and Coatings

2.0 EQUIPMENT AND PROCESSES

In this section, plasma torches, detonation guns, HVOF torches,auxiliary equipment, and equipment-related coating limitations are discussed.A description of the physics of plasma, detonation, or combustion generationwould be too lengthy to be included here and is unnecessary to an understand-ing of the utilization of the processes.

2.1 Plasma Spray Process

The essential elements of a plasma torch are shown in Fig. 11.1. Theanode is usually copper and the cathode tungsten. A gas, usually argon ornitrogen or a mixture of these with hydrogen or helium, flows around thecathode and through the anode which serves as a constricting nozzle. A directcurrent arc, usually initiated with a high frequency discharge, is maintainedbetween the electrodes. The current and voltage vary with the anode/cathodedesign, gas flow, and gas composition. The power varies from about 5 to 120kilowatts depending on the type of torch and the operating parameters. In onevariant of a coating torch, a partially transferred arc is used; i.e., part of the arcgoes to the anode and part to the substrate being coated. This causessubstantial heating of the substrate and is used only in special situations.Fully transferred arc surfacing torches will not be discussed here, since theiruse constitutes a form of welding rather than coating.

The gas plasma generated by the arc consists of free electrons, ionizedatoms, and some neutral atoms and undissociated diatomic molecules ifnitrogen or hydrogen are used. The specific anode/cathode configuration, gasdensity, mass flow rate, and electrical power determine the plasma tempera-ture and velocity. Plasma gas velocities with most conventional torches aresubsonic, but supersonic velocities can be generated by using converging-diverging nozzles with critical exit angles. The temperature of the core of theplasma may exceed 30,000°C (50,000°F). A schematic of a typical distribu-tion of temperature in the plasma is shown in Fig. 11.2. The enthalpy of theplasma and efficiency of heat transfer to the powder particles can be increasedsubstantially with the inclusion of diatomic gases, such as hydrogen ornitrogen, Fig. 11.3.

Page 616: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Advanced Thermal Spray Deposition Techniques 619

Figure 11.2. Distribution of temperature in a plasma flame.

Figure 11.1. Schematic of a plasma spray torch.

Page 617: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

620 Deposition Technologies for Films and Coatings

The velocity that powder achieves in a plasma stream depends on theintegrated effect of mass flow rate of the plasma and the distance the powderis carried in the stream. Similarly, the temperature the powder achieves is afunction of the integrated effect of the plasma temperature, plasma composi-tion and the transit time in the plasma stream. (Both powder velocity andtemperature are functions of other factors as well, such as particle size,powder composition, heat capacity, density, emissivity, etc., as discussed insubsequent sections.) It follows, therefore, that the point of entry of the powderinto the plasma stream is very important. The ideal location would be in auniform pattern upstream of the anode throat since this would probably allowthe best distribution of the powder in the plasma stream, expose the powderto the highest plasma temperature, and provide the longest path or time intransit before the plasma temperature and velocity decrease. Most torchmanufacturers, however, have been unable to prevent powder adherence to theentry or throat of the nozzle and excessive superheating using this approach.

Figure 11.3. Enthalpy of gases commonly used in plasma spraying.

Page 618: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Advanced Thermal Spray Deposition Techniques 621

As a result, powder entry is usually in the diverging portion of the nozzle or justbeyond the exit as shown in Fig. 11.1. Attempts[3][4] have also been made toadjust the point and angle of entry of the powder into the plasma stream for themelting point of the powder. The goal in some cases was to heat the powderclose to, but not over, the melting point. In one high velocity torch design,[5]

in which shock diamonds are generated, the powder is introduced a shortdistance beyond the exit in a region of rarefaction in the plasma stream.

In another plasma torch design,[6][7] a cylindrical extension is placed onthe nozzle encompassing the entry for the powder. Additional inert gas is alsointroduced. The result is a cooler, but more uniform and higher velocity gaseffluent with higher powder velocities.

The most important parameters relative to the powder particles atimpact on the substrate are their temperature, velocity, and extent of reactionwith the gaseous environment. The velocity of the powder, as previouslymentioned, is a function of the mass flow rate of the plasma, the density, massand shape of the powder, and the distance the powder travels in the plasma.With most of the conventional commercial torches available up to the mid 70’s,velocities varied from about 400 to 1000 ft/s. Higher velocity torches have sincebecome available[3]-[5][8] with powder velocities claimed[9] to be in excess of1800 ft/s (measured by a rotating mirror), but velocities measured with a moresophisticated technique (Doppler laser) for similar torches were reported to be1200 ft/s.[10]

It has often been stated that any material that can be melted withoutdecomposition can be used as a plasma coating. There appear, however, tobe two schools of thought on whether or not the powder should be molten onimpact. Certainly the combination of particle plasticity or fluidity and velocitymust be high enough to allow the particle to flow into a thin, lenticular shapethat molds itself to the topology of the substrate or previously-depositedmaterial. The extent to which this is achieved determines the density andstrength of the coating. With a relatively low-velocity torch, reasonably highdensities can only be achieved if the particles are substantially molten. Asnoted previously, the intent of at least some high velocity torch designs is toachieve highly plastic, but not molten, particles. Excess fluidity (superheat-ing) can lead to undue shattering and bounce of the particles, resulting in a poormicrostructure and low deposition efficiency.

Care should be exercised in developing the coating parameters to notheat the powder to an excessive temperature for other reasons as well. Themost obvious hazard is vaporization of all or part of the powder. This is mostlikely when, (a) the difference between the melting and boiling point of a single-

Page 619: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

622 Deposition Technologies for Films and Coatings

phase powder is too small, (b) one or more of the components in a multiphasepowder has a substantially lower boiling point than the others, (c) one or moreof the components in a mixture of powder has a substantially lower boiling pointthan the others, or (d) the powder size distribution is too wide with a singlecomponent or not adjusted for heating rates with a mixture. In addition tovaporization through boiling, there may be some loss of a component in analloy or compound that has a particularly high vapor pressure. This is generallynot a significant problem because transit times are so short.

The temperature in a plasma is high enough to melt (or decompose) anymaterial, given enough time. Comparison of the relative heating rates ofpowders is not as simple as comparing their melting points, however. Heattransfer in the plasma jet is primarily the result of the recombination of the ionsand re-association of atoms in diatomic gases on the powder particle surfacesand absorption of radiation.[11] The ultimate temperature of the powderparticles, therefore, is a function of the catalytic activity of their surface, theiremissivity (particularly in the ultraviolet range), their heat capacity (includingany heats of phase transformations and heat of melting), their thermalconductivity, and their surface to volume ratio (shape). Many metals, havinghigh absorption in the ultraviolet range, high surface activity, and high thermalconductivity, tend to heat much more rapidly than most oxides. Specifictables of heating rates are not available, but tables of the pertinent physicalproperties can be used as guidelines in selecting appropriate coatingparameters.

The extent of reaction of the powder with its gaseous environment duringtransit depends both on the composition of the plasma gas and the amountof intermixing of the plasma gas with the ambient gas between the nozzle andthe substrate. It is generally assumed that argon and helium are inert and nodegradation of the powder occurs in the torch when they are used as the onlyplasma gases. Obviously for this to be true, the gas source must be free ofoxygen and other contaminants, and the torch and other equipment must begas-tight. Substantial adsorption of argon and, presumably, helium on thecoating surfaces, both external and internal, however, can occur as evidencedby the evolution of relatively large quantities of these gases during vacuumheat-treatment.

Whether or not hydrogen or nitrogen, when used in the plasma gas, areeffectively inert relative to the powder depends on the composition of thepowder. The transit time and temperature of the powder in the plasmadetermine the extent of reaction and/or solution of the gas in the powder in

Page 620: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Advanced Thermal Spray Deposition Techniques 623

those cases where the gas is not thermodynamically inert. The use ofhydrogen to reduce the amount of oxidation during spraying may be somewhateffective, but the effect may be due as much to shielding (by reacting withoxygen from the air inspirated into the plasma stream) as to actual reductionof oxide formed on metallic powder. On the other hand, oxide powders or oxidefilms on metallic powders may be decomposed in the plasma spray; e.g.,zirconia coatings sprayed with an argon plasma are slightly oxygen deficient,and the amount of oxygen in copper can be lowered simply by thermaldecomposition of its oxide.

Usually of greater concern than reaction with the plasma gas is theextent of reaction of the powder with oxygen or nitrogen from the air inspiratedinto the plasma stream after it exits the nozzle. This effect is strongly afunction of the type of torch used, as illustrated in Fig. 11.4. None of thesecoatings were shielded from the atmosphere, yet the differences in extent ofoxidation is dramatic. Nitration of some materials may also occur, but has notbeen extensively studied.

If coatings with even less oxide than that shown in Fig. 11.4 are desired,several means of shielding the plasma stream are available. One of the best,and certainly the most adaptable to production, is a patented inert gas shroudthat surrounds the effluent with argon.[12] A comparison of the results obtainedwith this shield compared to those obtained with the same torch that producedthe relatively clean microstructure of Fig. 11.4 is shown in Table 11.1. Note thatthe oxygen contents of molybdenum, copper, and nickel are all lower in thecoating than in the starting powder when using the inert gas shroud, while thatof titanium, a very reactive metal, is only slightly higher. Alternative methodsof excluding air include spraying in a low partial pressure of inert gas in avacuum chamber[13][14] or in an enclosure filled with argon. An extremeexample used for coating large parts is an entire room or cubicle filled withargon in which the operators wear life support suits.[15]

Both argon-shrouded and low pressure, inert-gas-chamber spray coat-ing methods are used in the commercial production of the very reactive“MCrAlY” coatings on gas turbine components (described more fully in Sec.4.8). Some of the relative advantages and disadvantages of the two methodsare listed in Table 11.2 and the process steps used with both are shown inTable 11.3.

Page 621: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

624 Deposition Technologies for Films and Coatings

Figure 11.4. Microstructures of aluminum bronze coatings made with three typesof standard plasma spray torches illustrating varying degrees of oxidation duringdeposition. As-polished.

Page 622: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Advanced Thermal Spray Deposition Techniques 625

Table 11.1. Oxygen Content of Plasma Deposited Coatings

Oxygen Content (%)Coating Starting Conventional Coaxial GasMaterial Powder Coating Shielded Coating

Copper 0.126 0.302 0.092

Nickel 0.172 0.456 0.151

Tungsten 0.027 0.274 0.030

Titanium 0.655 2.0 0.730

Molybdenum 0.419 0.710 0.160

Table 11.2. Advantages and Disadvantages of Inert Gas Shroud and LowPressure Inert Gas Plasma Deposition

Plasma Spray with Inert Gas Shroud

Advantages:

Clean DepositionLow Capital CostLow Operating CostHigh Production Rate

Disadvantages:Difficult to Preheat Parts

Plasma Spray in Low Pressure Inert Gas

Advantages:Clean DepositionLonger Stand-Off or Higher VelocityPreheat Parts to Reduce StressSputter Cleaning

Disadvantages:High Capital CostHigh Operating CostLow Production Rate

Page 623: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

626 Deposition Technologies for Films and Coatings

Table 11.3(a). Typical Coating Sequence for Plasma Spray withInert Gas Shroud

1. Clean and grit blast part

2. Load in fixture

3. Coat

4. Unload

5. Heat-treat and peen part

Table 11.3(b). Typical Coating Sequence for Plasma Spray inReduced Pressure Inert Gas

1. Clean and grit blast part

2. Load in vacuum chamber

3. Pump down and back-fill chamber to reduced pressure

4. Preheat part

5. Sputter clean part (optional)

6. Coat

7. Cool part

8. Back-fill to atmospheric pressure

9. Unload

10. Heat-treat and peen part

2.2 Detonation Gun Deposition Process

The detonation gun, shown schematically in Fig. 11.5, consists of awater-cooled barrel several feet (about one meter) long with an inside diameterof about one inch (25 mm), and associated gas and powder meteringequipment. In operation, a mixture of oxygen and acetylene is fed into thebarrel along with a charge of powder. The gas is then ignited and thedetonation wave accelerates the powder to about 2400 ft/s (760 m/s) whileheating it close to, or above, its melting point. The maximum free burningtemperature of oxygen/acetylene mixtures occurs with 45% acetylene andis about 3140°C, but under detonation conditions probably exceeds 4200°C,

Page 624: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Advanced Thermal Spray Deposition Techniques 627

so most materials can be melted. The distance that the powder is entrainedin the high velocity gun is longer than in a plasma device which accounts, inpart, for the much higher particle velocity. After the powder has exited thebarrel, a pulse of nitrogen purges the barrel. The cycle is repeated about fourto eight times a second.

Each pulse of powder results in the deposition of a circle of coating about25 mm in diameter and a few microns thick. This circle of coating is, of course,composed of many overlapping thin lenticular particles or splats correspond-ing to the individual powder particles. The total coating is, in turn, producedby many overlapping circles of coating. This pattern of overlapping is closelycontrolled to produce a smooth coating and minimize substrate heating andresidual stress.

Because of the gases used in the detonation gun, the powder may beexposed to either an oxidizing or carburizing environment, although anessentially inert mixture can be achieved with precise control. Carburizingconditions, in particular, can be used to advantage,[16] as illustrated in Sec.4.2 on microstructures.

Recently, a significant advance in detonation gun technology has beenmade with the introduction of the Super D-Gun™. This device uses a mixtureof fuel gases rather than just acetylene. As a result, the volume of gaseousdetonation products is substantially increased with concommitant increasesin gas pressure and gas velocity. The higher gas velocity, in turn, results in

Figure 11.5. Schematic of a detonation gun.

Page 625: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

628 Deposition Technologies for Films and Coatings

higher powder particle velocities, to about 1000 m/s or more. Thus the kineticenergy of the particles are about double those of the standard detonation gunparticle energies. This yields coatings with higher densities, better bonding,and improved mechanical and other properties.

2.3 High Velocity Oxy-Fuel Deposition

High velocity oxy-fuel (HVOF) deposited coatings are produced byheating and accelerating powder in a high velocity gas stream generated bythe combustion of a fuel gas and oxygen. The powder is heated to near orabove its melting point and projected against the substrate to be coatedforming a dense, lamellar coating. The tungsten carbide-cobalt group ofmaterials are probably the most widely used HVOF coatings, but othercermets, metals, and some oxides can be used. For most applications,coatings range from 0.002" to 0.020" (0.05 mm to 0.5 mm) in thickness, butsubstantially thicker coatings of some materials can be used if necessary.

Although a variety of high velocity combustion spray devices have beendeveloped, most have in common a combustion chamber with ports leadingto a nozzle, shown schematically in Fig. 11.6. Continuous combustion ofoxygen and fuel gas occurs in the chamber and the resulting hot, high pressuregas is allowed to expand and accelerate in the nozzle. The fuel gas is usuallypropane or propylene; however, acetylene can be used in some devices. In atleast one device, liquid fuels such as kerosene can be used to allow a highereffective mass flow of fuel to the combustion chamber than is possible withmost gases. Powder is introduced axially into the nozzle, allowing relativelyefficient heating and acceleration of the powder particles. The powder is heatedand accelerated by the products of combustion, usually to temperatures aboveits melting point and to velocities that may exceed 1800 ft/s (550 m/s).

Since the powder particles are being heated and accelerated in a streamof combustion products, the surrounding atmosphere may be either oxidizingor carburizing. In addition, air may be inspirated into the gas stream as it exitsthe nozzle leading to oxidation of the powder. The degree to which these gas-powder reactions occur depends, of course, on the specific device, theoperating parameters, and the material being deposited. It is probably moresignificant with metallic materials and carbides than with oxides. High velocitycombustion spray, like all other thermal spray processes, is a line-of-sightprocess. Thus, it should be expected that the properties of the coatings willvary with the angle of deposition. In addition, stand-off may be in importantparameter—a distance which is too short allows too little time for heating andacceleration of the powder particles or overheating of the substrate, while a

Page 626: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Advanced Thermal Spray Deposition Techniques 629

stand-off which is too long may allow the powder particle velocities to diminishand their temperature to drop too far. The actual powder temperature andvelocity distributions are strongly a function of the design of the high velocitycombustion spray device as well as the operating parameters, morphology,and composition.

2.4 Thermal Control

Control of the temperature of the substrate during deposition isessential. Usually it is desirable to slightly warm the surface of the substratebefore coating and then maintain the temperature no higher than about 150°C(300°F) while coating. This control is achieved by limiting the deposition rate(mass per unit area per unit time) and using auxiliary cooling such as CO2 orair.

On rare occasions it might be advantageous to apply the coating at anelevated substrate temperature to reduce residual stress in the coating. Thisallows the coating and the substrate to cool together thus minimizing themismatch in temperature and concommitant stress. Using this techniquemay change the quench rate of the powder and hence the structure andproperties of the coating.

Figure 11.6. High velocity oxy-fuel coating process.

Page 627: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

630 Deposition Technologies for Films and Coatings

2.5 Auxiliary Equipment

In addition to the plasma torch, detonation gun, or HVOF device itself,gas controls, power supplies, and powder feeders are required. Most of theseare supplied with the basic unit. A detailed discussion of their characteristicsis not appropriate here, but there are several general criteria that all suchequipment should meet. Excellent gas control can be achieved with eitherrotameters or critical flow orifice columns, but, in either case, attention shouldbe paid to both upstream and downstream pressures to insure that the controldevice is capable of accurately measuring flow. Mass flow meters may, ofcourse, also be used. All guages, meters, rotameters and orifices should becalibrated periodically. Electrical power supplies should be reasonably ripple-free and, again, all meters should be periodically calibrated.

For optimum plasma or HVOF spraying, powder must be distributeduniformly in the plasma stream at a constant rate. There are a variety of powderdispensers designed to do this including those based on an auger, aspiratedflow, or fluidized bed.[17]-[19] Continuous measurement of powder feed-ratewith closed-loop adjustment provides the best control. Conversely, a pulsedflow of powder is required for a detonation gun. Again, however, uniformdistribution of the powder in the barrel is important, as is the constancy of theamount of powder in each pulse.

The highest quality thermal spray coatings can only be achieved withautomated or semi-automated torch or gun and part handling. Hand-heldtorches lead to varying stand-off, poor thermal control, and nonuniformthickness—all of which result in varying coating properties across the part.The most commonly used method of part and torch motion control utilizes amodified lathe concept with the torch mounted on what would be the tool postand the parts to be coated either rotated as a cylinder or mounted on anannulus plate. Predetermined torch-to-part surface speeds and overlap canthen be maintained by varying the rotation and torch speeds. A variety of camactions can be used to maintain a uniform deposition rate from the center tothe outside of an annulus plate. Another method of controlling relative motion,particularly suitable for the detonation gun because lower surface speeds canbe used, is that of traversing and indexing in a raster pattern. Using thistechnique, very large flat surfaces can be coated.

More extensive automation has been developed for all types of advancedthermal spray deposition including part transfer handling and robotic torch and/or part manipulation. Computer control of the torch motion and/or part motion

Page 628: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Advanced Thermal Spray Deposition Techniques 631

tremendously increases the productivity of the equipment. Computers mayalso be used to monitor and control the complete process, interlocking partand torch or detonation gun motion, powder and gas flow, and power level.

2.6 Equipment-Related Coating Limitations

All types of thermal spray deposition are line-of-sight processes, andthe structure of the coatings is a function of the angle of deposition, i.e., theangle between the axis of the plasma, HVOF, or detonation gun effluent andthe surface of the substrate being coated. Normally coatings with the highestdensity and bond strength are achieved at a 90° angle of deposition. Theextent of changes in plasma coating structure is a function of the type ofplasma torch and the operating parameters. With some low velocity torches,angles less than 75° may cause significant degradation of properties,[18] withsome higher velocity torches, angles as low as 60° can be tolerated. Thislimitation may cause some problems in coating complex parts, particularlythose with narrow grooves or sharp angles, and may require several set-upsto adequately coat the different faces or surfaces of a part. The detonation gun,with its higher particle velocity, can usually tolerate a wider deviation from 90°(down to about 45° in many cases). The sensitivity of HVOF coatings to angleof deposition is probably intermediate between plasma and detonation gun.

Another limitation, of course, is the size of the torch or gun and therequired stand-off (distance from the nozzle or front face of the torch to theworkpiece) when an inside diameter must be coated. One of the smallertorches can apply a metallic coating to about a 30 mm (1.2 in), or a ceramiccoating to about a 50 mm (2 in), inside diameter cylinder at 90°. Another torchwith an effluent at 45° to the torch axis can apply a coating to the inside of ablind cylinder about 50 mm (2 in) in diameter. An HVOF device is quite bulkyand requires a long stand-off. It can therefore be used to coat the insidesurfaces of only very large cylinders.

The detonation gun, of course, cannot fit into a cylinder or other cavity.It can be used, however, to coat the inside surface of a cylinder to a depth aboutequal to the diameter, i.e., to an angle of deposition of about 45°. While thereis some change in microstructure as the angle decreases, the inherently highdensity and bond strength of detonation gun coatings, as previously men-tioned, still allow very good coatings to be deposited at the lower angles.

Page 629: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

632 Deposition Technologies for Films and Coatings

3.0 TOTAL COATING PROCESS

The total coating process includes specification and procurement ofpowder, substrate preparation, masking, and finishing, in addition to thecoating operation itself. Each of these is discussed in the followingsubsections.

3.1 Powder

Most of the powder used for advanced thermal spray deposition fallsbetween 5 and 60 microns in size. To achieve uniform heating and accelerationof a single component powder, it is advisable to have the size distribution asnarrow as possible. The additional cost of sizing is, at least partially, recoveredin higher deposition efficiency and better coating quality. The specific powdersize range to be used is a function of the torch or detonation gun design andthe heating characteristics of the powder discussed earlier. Generallyspeaking, fine powders are accelerated and heated more rapidly, but theyalso tend to lose momentum more rapidly when spraying at longer distances(greater stand-offs). They generally result in denser, but more highly stressedcoatings. Finer powders also tend to create more torch operating problemsand have higher oxide contamination levels.

Good quality control of powder is essential, not only during manufac-ture, but during storage and handling. Powder specifications and qualitycontrol should include, as a minimum, chemical analysis (including interstitialsfor metallic powders), shape characterization, size distribution, and flowability.A wide variety of equipment is available for analyses, and selection of aspecific technique or type of test will vary with the type of powder. It is obviousthat the powder should be kept clean and dry; too little attention paid to thiswill result in dispensing problems, torch clogging, and lumps in the coating.

3.2 Substrate Preparation

It seems quite obvious that any part to be coated (substrate) must beclean, yet this step in the total coating process is frequently given too littleattention. Not only must all oxide scale or other solid foreign matter beremoved, but all oils, machining lubricants, etc. must be eliminated. It istherefore usually good practice to degrease a part after any descaling,machining, or grinding is done. Grit blasting, discussed below, should not berelied upon to remove heavy scale, since it may simply embed it in thesurface, leading subsequently to a weakly bonded area or a site for corrosion.

Page 630: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Advanced Thermal Spray Deposition Techniques 633

Most plasma coatings require a roughened substrate surface. Althoughmachining, chemical etching, and other techniques are sometimes used, themost frequently used method is grit blasting. The type of grit and grit blastingpressure used should be determined by the composition and heat-treatcondition of the substrate. For many relatively soft substrates, chilled steelgrit is satisfactory. It does not shatter and does not embed excessively in thesurface. For harder substrates, alumina or silicon carbide grit has bettercutting action. For some applications, special grit may be used to achieveunusually low levels of grit inclusions.[20] Regardless of the method used, thesurface roughness should normally exceed 4 micrometers (150 microinches)Ra. In addition, the surface topology should be sharply peaked, not smoothlyundulating. Excessive grit blasting can be detrimental due to work hardening,blunting of the peaks, and increased grit entrapment.

For detonation gun coatings, and perhaps some high velocity plasmaor HVOF coatings, grit blasting may not be necessary if the substrate is notexcessively hard. The unusually high particle velocity in itself results in somesurface roughening, particularly with some carbide-based coatings. This isgenerally true for titanium substrates, for example.

Grit blasting, of course, increases the surface area significantly, sowhether bonding, discussed in Sec. 4.3, is due to a mechanical interlocking,to interdiffusion, surface reaction, or a combination of these, it is advantageousin increasing bond strength. In any case, the coating should be applied assoon after grit blasting as possible to ensure a clean surface.

3.3 Masking

A wide variety of masking techniques are used to limit the deposition tothe required area on the part. In most cases masking is less expensive thansubsequent removal by grinding. Many types of tape and oxide-loaded paintsor stop-off lacquers are satisfactory for low velocity, long stand-off plasmatorches. For high velocity, short stand-off torches, more substantial maskingis required, e.g., glass-fiber reinforced high-temperature tape, adhesive-backed steel or aluminum foil, or sheet metal masking. For detonation guncoatings, metal masking is used most frequently. Efficiently designedmasking can significantly reduce the total cost of a coating and deservescareful consideration.

3.4 Coating

The coating process parameters that must be selected to apply acoating of a given powder composition and size distribution include the types

Page 631: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

634 Deposition Technologies for Films and Coatings

of gases to be used and their flow rates, the torch or gun design (e.g., anodedesign), the power level to be used, and for some plasma torches, the pointof powder entry. All of these vary with the specific torch or gun model used.The torch or gun manufacturer should be able to provide specific instructions,or at least detailed guidelines.

It is always advisable to coat a quality control specimen to verify thecoating deposition rate and coating microstructure before coating any parts.Metallographic examination of this specimen should include, as a minimum,general phase content, the amount of oxidation occurring during deposition,apparent porosity, and microhardness. It is also advisable to check the gritinclusion level and/or amount of substrate surface contamination, but this isonly meaningful if the quality control specimen is made of the same material,is in the same heat-treat condition, and has undergone the same surfacepreparation as the parts to be coated. Metallographic examination is onlymeaningful if well-standardized mounting and polishing techniques have beendeveloped as well as appropriate visual and numerical standards based onsignificant statistical analyses.

It should be noted that the microstructure, hardness, etc. of the coatingon a special quality control specimen may not (in fact, usually will not) be thesame as on the part because of differences in angle of deposition, relative part/torch surface velocity, cooling, mass of the part, etc. This should not be ofconcern relative to quality, since the objective of examining the quality controlspecimen is to ensure that the torch or detonation gun is producing the rightcoating (process control). With the process in control, a coating withconsistent properties will be applied to the part (assuming all the depositionparameters then remain constant while coating the parts). Whether or not theproperties of coating produced meet the objectives of using it is a separateissue.

One of the major advantages of plasma and detonation gun coatings isthat they may be applied to substrates without significantly heating themabove room temperature. As a result, a part can be fabricated and fully heat-treated without changing the substrate microstructure or strength. This alsoavoids any possibility of distortion or volumetric change during any post-coating heat-treatment that is common to many other coating methods. It is,however, advisable to warm the surface slightly, usually with a pass of the torchwithout powder flowing, to remove most adsorbed gases from the surfacebefore applying the coating. The surface temperature usually does not exceed125 to 150°C during this warming pass. During coating deposition, asubstantial amount of heat is transmitted to the part through the plasma gas

Page 632: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Advanced Thermal Spray Deposition Techniques 635

and the molten powder. To ensure uniform coating thickness and minimizeresidual stress within the coating, it is necessary to carefully control the arealrate of deposition. This can only be accomplished satisfactorily by usingautomated part and torch handling equipment with the selection of appropriatesurface speed, overlap pattern and deposition rate. Cooling air or CO2 jets maybe used as well. Under normal circumstances, the part temperature does notexceed about 150°C during coating.

3.5 Finishing

For many applications, plasma and detonation gun coatings can beused as-coated. In fact, in at least one application, a detonation gun tungstencarbide-cobalt coating is grit blasted to further roughen the surface for bettergripping action. Probably in the majority of applications, however, the coatingsare finished before being placed in service. Finishing techniques vary frombrush finishing to produce a nodular surface, to machining, grinding, andlapping to produce surfaces with surface roughnesses down to less than 0.05micrometers (2 x 10-6 inches) Ra. Machining can be used on some metalliccoatings, but most coatings are ground with silicon carbide or diamond(diamond is usually preferred for detonation gun coatings). The best surfacefinish that can be obtained is a function not only of the finishing technique, butof the coating composition, the deposition parameters, and the part geometry.

Recommendations for the machining, grinding, and lapping techniquesfor specific coatings can be obtained from coatings service organizations orcoating equipment manufacturers. Great care should be exercised in finishingoperations to avoid damaging the coating through heat checking, pull-out, oredge chipping. A typical check list[21] for diamond grinding follows:

1. Check the diamond wheel specifications.

2. Make sure the grinding equipment is in good mechanicalcondition.

3. Balance and true the diamond wheel on its own mount.

4. Check the peripheral wheel speed.

5. Use a flood coolant.

6. Before grinding each part, clean the wheel with minimum useof a silicon carbide stick.

7. Maintain proper infeeds and crossfeeds.

8. Never spark out—stop grinding after last pass.

Page 633: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

636 Deposition Technologies for Films and Coatings

9. Maintain a free-cutting wheel by frequent cleaning with asilicon carbide stick.

10. Clean parts after grinding.

11. Visually compare the part at 50x with a known quality controlsample.

Similarly, a typical checklist[15] for diamond lapping follows:

1. Use a hard, usually serrated, lap such as GA Meehanite orequivalent.

2. Use recommended diamond abrasives.

3. Embed the diamond firmly into the lap.

4. Use a thin lubricant such as mineral spirits.

5. Maintain appropriate lapping pressures.

6. Maintain low lapping speeds.

7. Recharge the lap only when necessary.

8. Clean the parts after grinding and between changes todifferent grade diamond laps.

9. Visually compare the part at 50x with a known quality control.

4.0 COATING STRUCTURE AND PROPERTIES

In this section, the macro- and microstructure of advanced thermalspray coatings are discussed as well as several important characteristics incoating design, bond strength, residual stress, and density. In the balance ofthe section the mechanical, wear, thermal, and electrical properties of thecoatings are discussed including a few illustrations taken from serviceexperience.

4.1 Surface Macrostructure and Microstructure

The surface roughness of most advanced thermal spray coatings isgreater than 100 x 10-6 inches Ra. Most of the metallic and cermet coatingsare a dull grey, but some, sprayed with an argon shroud, may be a fairly brightmetallic, light grey. The oxide coatings vary from black to white with the colorfrequently differing from the powder or a conventional ceramic part of the samecomposition. This is usually due to some dissociation and/or oxygendeficiency of the coating. Very slight deficiencies, in some cases, canproduce substantial color changes. Exposure to air at high temperatures often

Page 634: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Advanced Thermal Spray Deposition Techniques 637

returns the oxide to stoichiometry and its normal color without any othernoticeable changes in the coating other than, perhaps, its electronic charac-teristics.

The surface topography of as-deposited coatings is quite complex. Notonly do molten or highly plastic drops flow and conform to the rough surface(grit-blasted substrate or previously-deposited coating), but some particlesrupture with subdroplets “skittering” along the surface for some distancebefore sticking. An excessive amount of this behavior leads to higherporosity, poor intracoating fracture strength, higher roughness, and lowerdeposition efficiency. An occasional microcrack can be observed in somecoatings, particularly cermet and oxide coatings, due to residual stressesdeveloped within an individual particle during freezing. While usuallyundesirable, they may not be significantly detrimental to performance(e.g., wear resistance) if they are limited in number and do not propagatefrom one particle to another. For some thermal barrier applications, theyare intentionally induced during deposition to increase thermal shockresistance (in part, by lowering the in-plane elastic modulus).

4.2 Microstructure

Both plasma and detonation gun coatings consist of many layers of thinlamellar particles, the result of the impact of molten or semimolten powderparticles as illustrated in Fig. 11.7. The major microstructural differencebetween the two types of coatings is that detonation gun coatings have ahigher density. The impacting particles may split with some small dropletsbranching out or separating from the central particle. Thus, the average splatvolume may be smaller than the average starting powder size, and the totalsurface area much larger in the coating. Typically, a splat may be a fewmicrons thick and 10 to 50 microns in diameter.

The cooling rate of the impacting particles has been estimated[22] to be104 to 106 C/s for oxides and 106 to 108 C/s for metals. It is evident, however,that rates may vary significantly with the substrate material and thickness ofthe coating. As a result of the rapid cooling, some coatings have been foundto have no crystallographic structure by x-ray[23] or neutron diffraction,[24] orlow temperature heat capacity measurements.[25] Others may have a thinamorphous layer next to the substrate followed by crystalline layers.[22]

Many coatings form columnar grains within the splat in one or two layersperpendicular to the surface of the substrate, Fig. 11.8.

Page 635: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

638 Deposition Technologies for Films and Coatings

Figure 11.7(a). Cross-section micrographs of detonation gun WC-9Co (top),detonation gun WC-15Co (center), and plasma WC-12Co (bottom). As-polished,DIC.

Page 636: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Advanced Thermal Spray Deposition Techniques 639

Figure 11.7(c). Cross-section of a detonation gun tungsten-cobalt coating. As-polished, DIC.

Figure 11.7(b). Cross-section of a detonation gun alumina-titania coating. As-polished.

Page 637: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

640 Deposition Technologies for Films and Coatings

Figure 11.8. Scanning electron micrographs of a fractured plasma-depositedtungsten coating (top) and a cross-section of the same coating polished andetched showing the columnar grain structure within the lamellar particles.

Page 638: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Advanced Thermal Spray Deposition Techniques 641

In most cases where crystalline structure can be determined by x-ray diffraction, the peaks are quite broad, indicative of high local residualstresses due to the rapid quenching. Also as a result of the rapidquenching, non-equilibrium phases may be present; e.g., alumina coat-ings[27] usually consist of a high volume fraction of gamma and other phasesin addition to the equilibrium alpha. In particular, when the particles are highlysuperheated and impact on a substrate with high thermal conductivity, deltaand theta may be formed in addition to gamma, with alpha suppressed.Similar effects may occur in detonation gun coatings, as illustrated in Fig. 11.9for a Laves phase coating.

In addition to phase shifts due to the rapid quench, some changes incomposition may occur due to selective evaporation of one component in analloy, to decomposition to a gas, or to reaction with the atmosphere aspreviously mentioned. If the loss of a component with a high vapor pressurecan be predicted, it can obviously be compensated for in the powdermanufacture. It must be kept in mind, however, that such a loss will be morerapid from a fine powder than a coarse powder, and it becomes even moreimperative to use a narrow powder-particle-size distribution to ensure ahomogeneous coating composition. The slight decomposition or loss ofoxygen in oxide coatings has already been noted relative to color changes.Zirconia coatings are an example of this effect.

The reaction of the powder particles with their local environment intransit, particularly the extent of their oxidation, is very important to theproperties of the coatings. The loss of carbon from tungsten carbide plasmacoatings through oxidation of WC to form gaseous CO, W2C and free tungstenhas been reported.[26]-[28] Metallic or cermet coatings may also react with airinspirated into the plasma stream, as previously noted, forming oxide scaleson the particles, or dissolving the gases in the molten droplet. The effects onthe properties of the coating can be extensive as shown in Sec. 4.6. The extentof these reactions varies greatly with the type of plasma torch used as shownearlier in Fig. 11.4. None of these torches used an inert gas shroud or lowpressure chamber and none were made in an inert gas chamber, yet the extentof oxidation is extremely different. Similar effects can be obtained withdetonation gun and HVOF coatings, both by reaction with the combustion/detonation gas mixture and with air after the powder leaves the barrel. Anexample of reaction with the gas mixture is the carburization of a Laves phasealloy for added wear resistance, Fig. 11.9.

Page 639: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

642 Deposition Technologies for Films and Coatings

Figure 11.9. Cross-section of a Laves phase d-gun coating (LDT-400), as-coated(top) and after 4 hrs at 1080°C in vacuum (bottom) illustrating the metastability ofthe as-coated structure. The arrow identifies a carbide formed by reaction with thedetonation gases during deposition. Etched, DIC.

Page 640: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Advanced Thermal Spray Deposition Techniques 643

4.3 Bond Strength

Bond strength is, quite naturally, an important property of a coating. Itis most frequently measured in a tensile test (ASTM-C633) in which thecoating is applied to the face of a one inch diameter round bar, and a matingbar is attached to it, usually with an epoxy. The limit of the test is the strengthof the epoxy, currently about 10,000 to 12,000 psi (69 - 82 MPa). Most plasmacoatings have bond strengths below this, but almost all detonation guncoatings and some plasma and HVOF coatings have strengths that exceedit, with the test serving only as a “proof” test. The ASTM test procedurespecifies a coating thickness of at least 0.020 inches (0.51 mm). This wasestablished to prevent penetration of the epoxy through porous coatings, suchas oxy-acetylene flame spray coatings, and is usually reduced for use with thedenser advanced thermal spray coatings to more closely measure theirstrength at a thickness more typically used in service, e.g., 0.010 inches (0.25mm).

A variety of other tensile bond strength and shear strength tests havebeen used, but most introduce undue stress risers. In recent modified Ollardtests of some detonation gun coating, the tensile strengths exceeded 25,000psi and some Super D-gun coatings exhibited strengths exceeding 45,000psi. An epoxied lap shear test is still used for some quality control purposes.It is unfortunate that more satisfactory shear tests have not been developed,since the coatings are more often loaded in shear than in tension.

The mechanism of bonding of plasma-deposited coatings in manyrespects is still in dispute.[29]-[31] Mechanical interlocking has been consid-ered the most important mechanism by most investigators.[30] Grit blasting,as previously discussed, provides a good surface topography for interlocking,and it has been shown in several studies[32]-[34] that bond strength increaseswith increasing surface roughness in both shear and tensile tests, although itmay diminish again above 250 - 300 x 10-6 inches RMS. It is also interestingto note that grit blasting significantly increases the total surface area availablefor “chemical” bonding. Only a few published reports have taken into accountthe detrimental effects of surface embrittlement, peak blunting, and gritinclusions[26][35][36] when excessive grit blasting is used.

Other mechanisms have been suggested as contributing to the bondstrength including Van der Waals forces, interdiffusion or alloying, epitaxy,oxide cementation or spinel formation, and surface reaction. There is somemicrostructural evidence that when the refractory metals, tungsten or molyb-denum (with high melting points and heat capacities), are sprayed on steel ornickel or chromium on aluminum, there is some interdiffusion; i.e., a

Page 641: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

644 Deposition Technologies for Films and Coatings

metallurgical bond is formed.[37] Similar results are reported for the so-calledexothermically reacting nickel aluminide coatings.[38] Interdiffusion and/or amore nebulous “surface reaction” may depend in part on added surface energyin the substrate due to grit blasting, as evidenced by recrystallization of thesurface.[39]

The bond strength of ceramic coatings is generally attributed tointerlocking, but some degree of spinel formation or similar reaction has beenreported for Al2O3 on steel[23] and Al2O3/TiO2 on aluminum.[33]

Oxide cementation was cited as important in bonding metals or cermetsto metals[4l][42] in earlier work, but is not considered desirable in modernpractice. In general, oxides on the surface of the substrate or oxidationoccurring during spraying[43] decreases bond strength.

Most of the factors that affect the bond strength of “conventional” plasmadeposited coatings also apply to detonation gun coatings and some HVOFand “high velocity” plasma coatings, but the situation may be somewhat morecomplex. Because of the unusually high velocity of the particles, some,particularly carbide or oxide, particles are actually driven into the surface ofmost metallic substrates. As previously noted, some substrates require nogrit blasting to achieve adequate bonding, since the coating itself roughens theinterface. This embedding/roughening process creates atomically cleaninterfaces between the coating and substrate over most of the coating area,which facilitates chemical bonding and can be likened to the explosive bondingof sheets of metal. This undoubtedly plays a role in forming the unusually highbond strengths of such coatings.

A more theoretical approach to the impact of thermal spray particleson a substrate was undertaken by Houben.[44] His thermodynamic andmechanical considerations provide, perhaps, some insight into the conver-sion of the kinetic energy, predicting that coarse grains at high velocity mayexplode on impact, the temporary inversion of liquid into an amorphous solid.He also provides a method to calculate the shock, nonequilibrium, and finaltemperature of the material. Only a qualitative discussion of the lateralspreading or flow of the material is given along with illustration of the flow ofboth wetting and nonwetting material. Wetting almost always leads tocracking of the adhering material, while nonwetting leads to a weak interface.

4.4 Residual Stress

Residual stress has already been discussed to some extent in Secs.4.1 and 4.2, but a few additional remarks may be in order. It occurs as a resultof cooling individual powder particles or splats from above their melting point

Page 642: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Advanced Thermal Spray Deposition Techniques 645

to the temperature of the part and is usually tensile. The magnitude of theresidual stress is a function of torch parameters, deposition rate, the relativetorch to part surface speed, the thermal properties of both the coating and thesubstrate, and the amount of auxiliary cooling used. The use of finer powdersfrequently leads to higher residual stresses, but this can generally becontrolled by adjusting the coating parameters. If the part temperature isallowed to rise above room temperature, there will be a secondary change inthe state of stress of the coating as both the part and the coating cool to roomtemperature. Residual stress frequently increases linearly with coatingthickness above some minimal initial thickness.[45]-[47] The rate of increase,however, is a function of the parameters of deposition already listed and thecoating material.

While the residual stress in most thermal spray coatings is tensile, thestress in some detonation gun and perhaps a few HVOF coatings ismoderately compressive. This is thought to be due to the relatively high kineticenergies carried by the impacting powder particles, particularly some of thecermets. With the extraordinary velocities and kinetic energies of the powderparticles in Super D-Gun deposition, very high compressive stresses can bedeveloped if it is desirable.

Residual stress may have a significant effect on bond strength, asalready noted, and must be considered when the coating is placed in service,since it may detract from its inherent mechanical strength. For example,coatings are frequently in tension as a result of the residual stress, and thisstress must be subtracted from the allowable fracture stress calculated frommechanical property tests of free-standing specimens. Residual stress is,however, reproducible and can be controlled with adequate knowledge of thestress and adequate control of the coating parameters.

4.5 Density

As with most properties of coatings, density is a function of the angleof deposition and substrate geometry. At high angles of deposition, thedensity of detonation gun coatings is greater than 95% of theoretical, usuallygreater than 98%. This high density is due, as with other properties, to theunusually high kinetic energy of the particles on impact. Plasma coatingshave densities varying from less than 80 to 95% of theoretical with some of the“high velocity” plasma and HVOF coatings being reported with densitiesgreater than 95%. The density of a plasma sprayed coating is, of course, afunction of the deposition parameters. In addition, it is a function of the powder

Page 643: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

646 Deposition Technologies for Films and Coatings

Table 11.4. Effect of Powder Size on the Structure of Plasma DepositedTungsten Carbide

Powder SizeCoarse Medium Fine

Coating Property (10 - 105) (10 - 74) (10 - 44)

Apparent Density (g/cc) 10.5 13.0 14.2

Bulk Density (g/cc) 8.7 11.1 13.0

% Theoretical 60 77 89

Apparent Hardness (Kn5OO) 538 684 741

Table 11.5. Properties of Plasma-Deposited Coatings Sprayed in Argon andAir

Density Tensile StrengthCoating Atmosphere (%) (psi)

Stainless Steel Argon 91 33,900

Air 84 19,200

Aluminum Argon 86 5,600

Air 76 4,000

size, as illustrated in Table 11.4[44] for tungsten carbide-cobalt, with finerpowders producing denser coatings. The same effect has been noted in manyother systems, e.g., Ni-Cr-Al,[49][50] and chromium carbide-nickel alumi-num.[23] It has also been shown that oxidation during deposition can decreasecoating density as shown by comparing the densities (and tensile strength)of stainless steel and aluminum coatings sprayed in argon with those sprayedin air, Table 11.5.[51] The combined effects of powder size and oxidation duringdeposition are shown for tungsten in Table 11.6.[51] Similar results were foundfor nickel.[33]

Page 644: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Advanced Thermal Spray Deposition Techniques 647

Table 11.6. Properties of Plasma-Deposited Tungsten

Deposition Density Modulus of Average GrainPowder Size Atmosphere (%) Rupture (psi) Diameter (µ)

200+325 Mesh Argon 90 31,900 3.5Argon* 70* 21,700 3.5Air 86 17,000 3.0

400 Mesh + 10 Argon 91 51,000 2.2Air 85 29,000 1.5

*Intentionally produced with low density.

The porosity in plasma and detonation gun coatings is partiallyinterconnected and, hence, may have a strong influence on the corrosion ratesof the coatings in some environments. Some detonation gun coatings havebeen shown to have sufficiently small pores as to be unimportant in oxidationin air at high temperatures.[52] Electrochemical corrosion studies have shownthat several Super D-Gun™ coatings are impervious to aqueous media whenmore than about 100 microns thick. It should be noted that porosity levels arefrequently inferred from the observation of metallographic specimens, but thatthere can be (and usually is) a significant difference between these measure-ments and the true porosity. Therefore, such measurements should alwaysbe identified as metallographic apparent porosity. True porosity can be moreaccurately measured through density measurements, albeit there is some-times a problem in determining the theoretical density because of a lack ofknowledge of the relative amounts of various phases present, or porosimetrymeasurements (gas or mercury). Metallographic apparent porosity can,nonetheless, be useful for quality control, if reproducible metallographictechniques are employed.

4.6 Mechanical Properties

The mechanical properties of advanced thermal spray coatings aresensitive to the angle of deposition, other deposition parameters used, thesubstrate, cooling, etc. Therefore, any general tabulation of properties basedonly on coating composition would be meaningless. Moreover, most of thedata has been generated on specimens coated under ideal conditions of angleand standoff while in many service applications both of these variables may be

Page 645: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

648 Deposition Technologies for Films and Coatings

less than ideal on part or all of the coated area. Nonetheless, a good deal ofdata has been compiled on a wide range of coatings to serve as very usefulguidelines to equipment designers and other users. For purposes ofillustration in subsequent discussion and to provide a general feeling ofcomparison with other types of materials, the mechanical properties of a fewspecific coatings are listed in Table 11.7. The moduli of elasticity and ruptureand strain-to-failure were measured on free-standing rings of coatings 1 inchin diameter, 0.5 inch wide and 0.010 inch thick.

The mechanical (as well as other) properties of advanced thermal spraycoatings are anisotropic because of their splat structure and directionalsolidification. This anisotropy is probably more pronounced for cermets andmetallic coatings with somewhat oxidized splat boundaries than it is for eitherpure ceramic or pure metallic coatings. An example of this anisotropy is givenin Table 11.8.[53] Although most coatings are used with loading normal to thesurface, measurement of mechanical properties normal to the surface isparticularly difficult because of the limited thickness of most coatings and isseldom done. Properties parallel to the surface are also important, however,particularly if the substrate expands or contracts thermally or under mechani-cal loading.

The most frequently quoted mechanical property is hardness. Thehardness of the higher-velocity coatings is generally higher than that ofconventional plasma coatings of the same composition as shown in Table11.3. This is primarily due to their higher density and greater cohesivestrength. For a plasma coating with a given composition, the hardnessusually increases with an increase in density. Thus, for example, hardnessgenerally increases with the use of a finer powder, as already shown in Table11.4. Hardness may be reduced for a given material if the coating is appliedin an inert atmosphere as compared to spraying in air, as has been noted forWC-Co,[43] for Mo,[54] and for Ti, Nb, and Zr.[55] Although it may increase thehardness of the coating, excessive oxidation will weaken its internal cohesivestrength and may be detrimental to the coating’s performance.

Hardness is used not only as a guideline for wear resistance, but for thestrength of the coating. In both cases it may be quite misleading. Themeasurements of hardness are usually made on metallographic cross-sections of the surface, even though loading is usually perpendicular to thesurface, and the hardness in the two directions may be different due to theanisotropic microstructure of the coatings. Hardness measurements madeon test specimens may differ from those on actual parts due to differencesin angle of deposition and stand-off and, in some cases, residual stress.

Page 646: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Ad

vanced

Th

ermal S

pray D

epo

sition

Tech

niq

ues

649Table 11.7. Properties of D-Gun and Plasma Coatings

Page 647: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

650 Deposition Technologies for Films and Coatings

Table 11.8. Mechanical Properties of Plasma Cu-2Be* in Compression

Parallel Perpendicularto Surface to Surface

Elastic Modulus 13 x 106 psi 10 x 106 psi

0.2% Yield Strength 82 x 103 psi 73 x 103 psi

Ultimate Strength** 97 x 103 psi 164 x 103 psi

Strain to Fracture** 3.3% 26%

* Union Carbide, UCAR LCU-3** Function of specimen geometry

The following is an example of a situation in which hardness, used asa guide to wear resistance, was the initial criteria for coating selection and toolittle weight given to impact resistance or toughness:

Most midspan shrouds on gas turbine engine com-pressor blades have a detonation gun tungsten carbide-cobaltcoating. In the initial development of this application, the mostwear-resistant grade of tungsten carbide-cobalt with a hard-ness of 1300 HV300 and nine percent cobalt was tried. Thiscoating was found to fail, however, not from typical wear, butbecause of surface fatigue which resulted in spallation of thecoating. Success was achieved when a more impact-resistantgrade of tungsten carbide with a hardness of 1075 HV300 and14% cobalt was tried. The greater “toughness” of this coating,combined with a wear-resistance that is still excellent, solvedthe problem.

The modulus of rupture, elastic modulus, and strain-to-fracture inbending of plasma and detonation gun coatings has been measured moreoften than conventional uniaxial tensile and compressive properties. Theformer measurements can be made on free-standing rings of coatings as thinas 0.010 inches. On the other hand, it is often difficult to produce coatingsthick enough for conventional specimens. This difficulty arises from thethickness limitations of some coatings due to residual stress and the inherentbrittleness of the coatings. Even most metallic coatings have a strain-to-failureof less than one percent. Some typical values from ring tests in Table 11.7show that the detonation gun coatings have a higher modulus of rupture thancomparable plasma coatings; compare, for example, the tungsten carbide-

Page 648: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Advanced Thermal Spray Deposition Techniques 651

cobalt coatings. Also note that, as expected, increasing the cobalt contentincreases the strain-to-fracture (either measured directly or calculated fromthe ratio of modulus of rupture to the elastic modulus).

An example of the use of this kind of test data is as follows:

A plasma chromium oxide coating was specified onthe interior surface of an aluminum hydraulic cylinder in anaircraft landing gear, because of its earlier success on anotherlanding gear and cyclic pressure bench testing on prototypecylinders without pistons. Even though visual examination ofthe bench tested cylinders revealed no irregularities, thecoating failed when the complete assembly was placed inservice. The cylinder expansion under pressure clearly ex-ceeded the strain-to-failure of the coating and it cracked. Theadditional stress of the piston caused spalling. Re-examina-tion of the bench-tested cylinder revealed microscopic cracks.The designer had not adequately taken into account thedifference in elastic moduli between the coating and thesubstrate and the limited strain-to-failure of the coating. Evalu-ation of data from ring tests indicated both aluminum bronzeand nickel coatings had adequate strain-to-failure. Subse-quent tests verified this as well as the fact that they hadsufficient wear resistance.

It is obvious, of course, that all the coating process variables and theresulting microstructures strongly affect the mechanical properties of thecoating. For example, tungsten coatings made with fine powder have a highermodulus of rupture than those made with coarse powder when both areprotected from oxidation by spraying in an inert atmosphere,[51] as shown inTable 11.6. Referring to Tables 11.5 and 11.6, it is apparent also that oxidationduring deposition can seriously weaken a coating. In a study of the effect ofoxidation on aluminum bronze, it was found that even minor oxidation duringdeposition was detrimental to compressional strength, both parallel andperpendicular to the surface, Table 11.9.[53] Additions of discrete oxideparticles, on the other hand, not only strengthened the coatings, but addedwear resistance (discussed in Sec. 4.7).

Before leaving the subject of mechanical properties, it might be well tomention that the properties of the substrate cannot be ignored in consideringa coating application. One of the first considerations is that the substrate mustbe able to support the coating without yielding beyond the coating’s strain-to-failure as shown in the following:

Page 649: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

652D

epo

sition

Tech

no

log

ies for F

ilms an

d C

oatin

gs

Table 11.9. Mechanical Properties of Plasma-Deposited Aluminum Bronze (Cu-IOAl)

Compressional PropertiesAlumina Vol.% Hardnessa Perpendicular to Surface Parallel to Surface

Type of Deposition Addition Al2O3 HV300 E (106 psi)b YS (106 psi)b E(106 psi)b YS (103 psi)b

Standard No 2.36 246 7.1 58 8.4 62

Oxidizing No 3.20 200 2.9 47 7.0 42

Standard Yes 7.26 170 — — — —

Standard Yes 12.4 202 8.5 73 9.7 89

Standard Yes 21.0 246 — — — —

Standard Yes 40.5 186 — — — —

Oxidizing Yes 10.8 142 7.6 57 10.9 70

Wrought (AMS 4640) — — 252 — — — —

a Hardness perpendicular to surface.b E is the elastic modulus, YS is the 0.2% yield strength.

Page 650: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Advanced Thermal Spray Deposition Techniques 653

A detonation gun tungsten carbide-cobalt coating hasextended the life, by a factor of ten, of roller guides used insteel mill pickle lines. In the first trials of this coating, however,the coating occasionally cracked and spalled because thesubstrate yielded under the heavy impact of the steel sheet.This deformation exceeded the strain-to-failure of the coating.When a change was made to ensure that all substrates hada hardness greater than 55HRC no failures were experienced.

In some applications, the coating affects the fatigue life of thesubstrate. Some coatings, particularly detonation gun coatings, are so wellbonded that a crack generated in the coating may propagate into thesubstrate under sufficient cyclic stress. The results of a number of studies,especially by airframe and gas turbine engine manufacturers, suggest thatas long as the strain-to-failure of the coating is not exceeded, the coating hasno measurable effect on the fatigue strength of the substrate. More workneeds to be done, however, before the effects of a specific coating on agiven substrate can be predicted without experimental verification. In thosecases where stresses are very high and the component is particularlysusceptible to fatigue, care should be taken to prevent both direct coatingand overspray. For example:

The midspan shrouds or stiffeners used on many titaniumcompressor blades must be coated with a detonation guntungsten carbide-cobalt coating, as previously mentioned.The root area of the midspans is extremely sensitive to fatigueand all coating and overspray must be excluded. This issuccessfully achieved by either very careful masking ordirecting the coating away from the radii during deposition.

4.7 Wear and Friction

The major use of advanced thermal spray coatings today is for wearresistance, particularly for adhesive and abrasive wear resistance. Their usein erosive situations is growing steadily as well, particularly for detonation guncoatings. No attempt will be made here to tabulate the wear resistance ofcoatings or, conversely, to recommend specific coatings for the various typesof wear. To do so, it would be necessary to assume that all coatings of agiven composition are the same (while, in fact, they are a function of thespecific coating device and operating parameters used), and to assume thatall wear situations can be fit into a relatively few, well defined categories(which is definitely not the case). The situation is far from hopeless, however,and experienced coatings service engineers or equipment manufacturers

Page 651: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

654 Deposition Technologies for Films and Coatings

can, after analysis of a specific situation, usually make reasonably accuraterecommendations of one or two coatings that will solve the problem. Someof the considerations that are involved are listed in Table 11.10.

Table 11.10. Considerations in Coating Selection for Wear Resistance

I. Wear SystemA. Adhesive or Abrasive

1. Type of relative motion—unidirectional, oscillating, impact2. Surface speed—velocity and frequency, if cyclic3. Load or impact energy4. Abrasive particles or wear debris—trapped or removed, size, shape,

and composition5. Conformability requirements6. Embeddability requirements

B. Erosive1. Gas, liquid, or solid particle erosive material2. Media—gas or liquid3. Gas or particle velocity and angle of impingement4. Particle size, shape, mass, and composition

II. EnvironmentA. Temperature—maximum, minimum, and rate of changeB. Media—gas or liquidC. ContaminantsD. Corrosive characteristics—chemical, galvanicE. Lubricant

III. Mating MaterialA. CompositionB. Heat-treatment conditionC. HardnessD. Surface roughness and topology

IV. Substrate MaterialA. CompositionB. Heat-treatment conditionC. Dimensional changes after coating

1. During assembly due to press fit, shrink fit, etc.2. In service due to thermal expansion/contraction or mechanical loading

V. Coating RequirementsA. Cost limitationsB. Required life, time or maximum wearC. Compositional limitationsD. Thickness limitationsE. Coefficient of friction requirementF. Surface finishG. Geometric constraintsH. Overspray limitations

Page 652: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Advanced Thermal Spray Deposition Techniques 655

Although no specific recommendations will be made here, a fewgeneral comments may be in order. Hardness is a useful first approximationto abrasive and adhesive wear resistance as long as materials of the sametype and general composition are compared. For example, a detonation gunWC-9Co coating is harder and more wear resistant than detonation gun WC-15Co which, in turn is more wear resistant than a plasma WC-13Co coating,Table 11.11. (An example, compressor midspans, has already been citedas an application where hardness and wear resistance had to be temperedwith toughness for success, however.) Hardness can be misleading, how-ever, when comparing coatings with wrought materials of the same compo-sition. For example:

Plasma-deposited aluminum bronze or beryllium coppercoatings are softer than their wrought counterparts. In anadhesive wear test under boundary lubrication conditionssimulating many bearing applications, the plasma coatingswere far more wear resistant, as shown in Fig. 11.10.[56]

Table 11.11. Wear Tests

LFW-1450 lb/load in

Dry Rubbing Hydraulic FluidWear Rate vs. Steel for

(10-6 in/1000 5409 rev. Material ft. of sliding) (10-6 cm3)

Detonation Gun Tungsten 35 10Carbide-Cobalt

Plasma Tungsten 80 23Carbide-Cobalt

52100 Steel (Wrought) 2,000 —

Hard Chrome Electroplate 3,600 44

Page 653: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

656 Deposition Technologies for Films and Coatings

There are also situations in the comparison of coatings where hard-ness can be misleading, particularly in adhesive wear with coatings ofsomewhat different compositions. For example:

As shown in Table 11.9, the addition of an oxidedispersion to an aluminum bronze coating slightly reduces itshardness, yet in an adhesive wear test its wear resistance isincreased significantly, as shown in Fig. 11.11.[57] Similar

Figure 11.10. Alpha block-on-ring wear test of aluminum bronze, wrought (Al-Cu)and plasma-sprayed (PD Al-Cu), and beryllium copper, wrought (Be-Cu) andplasma-sprayed (PD Be-Cu) vs. SAE 4640 steel (Rc 60) in hydraulic fluid at 65 ft/min for 1950 ft.

Page 654: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Advanced Thermal Spray Deposition Techniques 657

results were obtained with carbide additions. It should benoted that this increase in wear resistance should not affectthe conformability and embeddability of the basic aluminumbronze. It is also important to note again that an intentionaloxide dispersion deposited under conditions that do notsignificantly oxidize the metal matrix is far superior to acoating heavily oxidized during deposition, both in wearresistance and mechanical properties.

Figure 11.11. Alpha block-on-ring wear test of aluminum bronze with Al2O3 addition(block) vs. SAE 4640 steel (Rc 60) in hydraulic fluid at 65 ft/min for 1950 ft under a180 lb load. (#) Wrought alloy; (∆) standard plasma; (◊) oxidizing plasma; ($, *)alumina additions to plasma; (U,X) plasma pure alumina.

Page 655: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

658 Deposition Technologies for Films and Coatings

Physical and chemical compatibility of the mating surfaces are, ofcourse, important in selecting a coating. Laboratory testing can be anexcellent guide in this aspect of selection as long as the other considerations(temperature, load, lubricant, etc.) are similar to those in service. A fewexamples of satisfactory and unsatisfactory combinations are shown in Table11.12.[45][53]

Table 11.12. Mating Materials Selection for Dry Rubbing Wear

CoefficientMaterials Rating Wear** of Friction

D-Gun WC-CO* vs.:GA Meehanite Excellent 33 0.08 at Room Temp.

0.11 at 400°F

440 Stainless Good 35 0.34 at Room Temp.0.25 at 1000°F

Inconel X Poor 562 0.53 at Room Temp.0.42 at 1000°F

D-Gun WC-CO Good 39 0.46 at Room Temp.0.33 at 100°F

D-Gun Al2O3 vs.:

Haynes 25 Excellent 16 0.25 at Room Temp.0.17 at 1400°F

Hastelloy C Good 35 0.32 at Room Temp.0.10 at 1400 F

D-Gun Al2O3 Poor 245 0.24 at Room Temp.0.27 at 1400°F

* Rotating member** Total system wear, 10-6 in/1000 ft.

In some applications, both surfaces can be coated. Combinations ofvery hard coatings such as chromium oxide provide excellent self-matingcharacteristics when no conformability or embeddability of either surface isrequired. When these are required, a combination such as plasma-depositedaluminum bronze with an oxide dispersion versus several types of harddetonation gun coatings offer both mechanical compliance and greatlyincreased wear resistance.

Page 656: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Advanced Thermal Spray Deposition Techniques 659

The erosion resistance of conventional plasma deposited coatings isnot very high, probably because of their porosity and relatively low cohesivestrength. Detonation gun and some HVOF and high velocity plasmacoatings, on the other hand, have shown exceptional erosion resistance insome applications. For example:

Some compressor blades of gas turbine engines maysuffer from severe particle erosion. Tests and service expe-rience have shown that detonation gun coatings of (tungsten,titanium) carbide-nickel and tungsten carbide-cobalt coat-ings significantly increase the lives of the blades. The coat-ings are only applied to the outer portion of the blades wherethe erosion problem is most severe to reduce their cost andavoid any potential fatigue effects on the blades.

Coatings can be used to adjust the frictional characteristics of asystem whether or not wear is a problem. Thus, for example, coatings mightbe used to reduce power losses through frictional heating. Reduction infrictional heating can also extend the life of mating organic materials. Forexample:

A manufacturer of bearing and sealing systems for thepower trains of large ships was experiencing difficulties withthe forward seal for the tailshaft. This seal is located wellinside the ship and is not cooled by the outside water. Theseal is formed by mating a rotating shaft liner with stationaryrubber seals. The liner, made of a special alloy, was suffi-ciently wear resistant without a coating; however, the heatgenerated by friction caused a rapid deterioration of therubber seals. The problem was solved by (a) incorporation ofa cooling device for the oil in the system and (b) reducing thefriction at the seal by the adoption of a specially finishedplasma-deposited chrome oxide coating on the rotating shaftliner. The special finish minimizes contact with the matingrubber material while still maintaining the necessary seal.The rubber seal now operates at a lower temperature, and its lifeis significantly extended. Needless to say, there is no sacri-fice in the wear life of the liner with the addition of the coating.

Occasionally it is necessary to prevent self-welding between essen-tially static components and ensure that the static coefficient of friction will below enough to prevent equipment start-up failure. For example:

The sodium-cooled breeder reactor requires that both ofthese criteria be met by the load pads on the fuel ducts.

Page 657: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

660 Deposition Technologies for Films and Coatings

Uncoated stainless steel, stripped of its oxide film by thesodium, is self-welding. Extensive testing[14] has shown thata solution to the problem is a detonation gun coating ofchromium carbide-nichrome.

It is evident from the preceeding that the surface finish of a coatedsurface is extremely important. The smoothest finish that can be obtainedon a given coating is a function of its composition and the method ofdeposition. However, it should be borne in mind that the lowest coefficientsof friction are not always obtained with the smoothest surface. A nodularbrush finish, for example, provides the best frictional behavior in liquid sodium.

In other applications, specific, intermediate-range coefficients of frictionare used. For example:

Textile machinery components, such as snick plates,tension gates, and draw rolls are in contact with fast-movingfibers being processed and are, of course, subject to highrates of wear. Hard plasma and detonation gun coatings areused to resist this wear. Equally important, however, thecoating finish must provide rather precise intermediate fric-tional properties to hold the fiber in constant tension. Thetension control is essential in order to prevent slack orbreakage of the fiber.

High friction forces are required in many types of drive mechanisms.Coatings can often meet this requirement and provide longer life than mostother solutions. For example:

Many large rolls in sheet steel production rely on highsurface friction to move the steel sheet through the line withoutslippage. Others require this gripping action in order to tightlywrap the steel sheet into non-telescoping coils. Experiencehas shown that a detonation gun tungsten carbide-cobaltcoating, used as-coated or slightly roughened by controlledgrit blasting, resists wear, grooving, and gouging 6 to 40 timeslonger than the previously used hardened steels or chromeplate. An additional benefit of the coating is its resistance tothe transfer of material from the steel sheet to the roll, whichis a rather common problem with other materials.

4.8 Corrosion Properties

Obviously the use of a coating in a corrosive environment requires thatthe coating itself resist the corrodant, but it should be kept in mind that the

Page 658: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Advanced Thermal Spray Deposition Techniques 661

corrosion resistance of a wrought, cast or sintered composition may changewhen deposited by thermal spray. For example, alpha alumina is verycorrosion resistant, but plasma sprayed alumina is a mixture of phases, allof which are not corrosion resistant.

Virtually all advanced thermal spray coatings have varying degrees ofinterconnected porosity that allow attack of the substrate in corrosiveenvironments. In most cases, at temperatures up to about 350°F, this maybe at least partially overcome by the proper selection and application of asealant. For example:

Bronze shaft sleeves running in centrifugal pumps han-dling saturated brine in a chlorine processing plant were beingrapidly worn beyond tolerance. They ran against asbestos-filled Teflon™ with no lubrication at 250°F. The solution wasa machineable metallic plasma undercoat to restore thesleeves to size followed by plasma-deposited chromiumoxide coating that was sealed with epoxy to inhibit substrateand undercoat corrosion. The coating was ground to a 4 to 6x 10-6 inches RMS surface. The coated sleeves not onlysalvage worn parts, but outlast the original sleeves severaltimes and reduce downtime.

Galvanic corrosion can occur in some environments, most commonlysalt water, when an improper selection of coating composition is made. Forexample:

An aluminum bronze coating on an aluminum substratecreates a galvanic cell in the presence of an electrolyte. Inaircraft landing gear cylinders with this coating/substratecombination, galvanic corrosion of the substrate was ob-served when the hydraulic fluid became contaminated withsalt water. The problem was solved by sealing the coating.The problem might also have been avoided by selecting amodified aluminum coating with an electrostatic potentialvirtually identical to the substrate.

Recently, several Super D-Gun coatings have been shown to beeffectively impervious to aqueous media when they are more than a fewmicrons thick. These coatings include both corrosion resistant metal alloyswhich can be used singly or as an undercoat to form a corrosion barrier andtungsten carbide-based coatings which can be used without an undercoat orsealant in applications requiring both wear and corrosion resistance.

For corrosion resistance at elevated temperatures, plasma-depositedcoatings must be sealed by sintering, sometimes combined with mechanical

Page 659: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

662 Deposition Technologies for Films and Coatings

surface treatment. For example: Some gas turbine blades and vanes,depending on the type of fuel and operating environments, are subject to hotcorrosion. The best solution to this problem at the present time is an MCrAlY(where M is Ni, Co, and/or Fe) type of coating. (Other elements may also bepresent; e.g., Pt, Hf, or Si.) These coatings were first applied commerciallyby physical vapor deposition, an expensive method with some elementallimitations. Plasma deposition offers significant economic advantages andhas no elemental limitations. To be effective, however, the coatings must bedeposited without oxidation and then sealed to prevent rapid internaloxidation of the coating and oxidation of the substrate. Methods have beendeveloped to achieve this using inert gas shrouding during deposition andpost-coating heat-treatment and peening to effectively sinter the coating.Alternative methods using deposition in a low pressure, inert gas chamberfollowed by peening and heat-treatment have also been developed. Sincethe substrates are superalloys, the coating heat-treatment can be combinedwith or precede the alloy heat-treatment and not interfere with the structuralproperties of the component.

Detonation gun coatings, because of their high density, often do notneed to be sealed with a high temperature sintering to prevent internaloxidation or oxidation of the substrate. For example:

For many years detonation gun coatings of chromiumcarbide-nickel chromium have been used on the shroudedges and lacing wire of gas turbine engines to preventfretting and impact wear. More recently a new family of cobaltbased alloys with oxide additions has been developed[52] toprovide better performance for more advanced engines.These coatings do not require heat-treatment to preventinternal oxidation, although heat-treatment is used to furtherimprove the already superior bond strength in particularlysevere impact situations.

4.9 Thermal Properties

The thermal properties of coatings are important both during theirformation and in elevated temperature applications. The effects of thermalcontraction from their freezing point during coating formation have alreadybeen mentioned. Conversely, the relative thermal expansion of the coating andsubstrate if heating occurs during service is important. As a rough estimate

Page 660: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Advanced Thermal Spray Deposition Techniques 663

of the strain that may be placed on a coating as a result of such heating,handbook values of coefficients of thermal expansion may be used. Caremust be taken to ensure that the values used are those for the phasesactually present in the coating.

Because of their lamellar microstructure, the thermal conductivity ofcoatings is lower than that of solid, fully dense materials of the samecomposition. Their absorption characteristics may be very different, becauseof their surface topology and, in some cases, slight shifts in composition(already mentioned for some oxides).

One of the most common uses of coatings for their thermal propertiesis as thermal barriers. For purposes of discussion, thermal barriers may bedivided into two categories—relatively thin ones, less than about 0.020 inchesthick, and thicker ones, up to about 0.25 inches thick. The thinner thermalbarriers have been used for years on gas turbine engine combustion chambersand are currently used to a limited extent for turbine blade and vane airfoilsurfaces, thrust reversers, diesel and combustion engine piston heads andvalves, and many other applications. In addition to having a low thermalconductivity, these coatings must be resistant to corrosion, thermal shock,gas erosion and, sometimes, particle erosion. They usually consist of ametallic undercoat such as nickel-chromium, nickel aluminum, or an MCrAlYalloy (where M is Ni, Co or Fe) and an outer layer of an oxide, usually zirconiaor magnesium zirconate. Occasionally one or more intermediate layers ofmixtures of metal and oxide or a continuous gradation from pure metal to pureoxide is used. This approach improves thermal shock resistance, but if thetemperature in service at the first zone of mixed metal and oxide is too high,the metal will rapidly oxidize (since the oxide layer is permeable to air) andcause spallation of the outer portion of the coating.[59] The same thing willhappen to the metallic undercoat in a two layer coating if it has inadequateoxidation resistance because of its composition or because it is too porous.

These thick thermal barriers are being investigated on outer air seals inadvanced gas turbine engines. In this case, the already complex task ofincreasing thermal shock resistance without sacrificing oxidation resistanceand erosion resistance is complicated by the need for abradability. Two layersystems appear to be best for most outer air seal applications. In thick thermalbarrier systems it may be advantageous to use an essentially continuousgradation from metal to oxide or multiple layers with increasing oxide contentto have adequate thermal shock resistance. As in the case of thin thermalbarriers with intermediate layers, the temperature at which the outermost

Page 661: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

664 Deposition Technologies for Films and Coatings

metallic component is exposed must be low enough to prevent any signifi-cant oxidation of the metal.

4.10 Electrical Characteristics

The microstructure of metallic coatings has an effect on the electricalconductivity similar to that on the thermal conductivity. Thus the resistanceis higher than that for wrought alloys of the same composition, and it issomewhat higher perpendicular to the surface than parallel. The conductivityof coatings deposited with an inert gas shroud or in an inert gas chamber withvery little oxidation during deposition is much higher than conventionalcoatings, since the conductivity is particularly sensitive to oxide films in thesplat boundaries.

Coatings are used as both conductors and insulators. The use of oxidesas an insulator is fairly obvious, but the flexibility that this type of coating offersthe designer is often overlooked. For example:

Aluminum oxide coatings applied to the tips of pliers,screwdrivers, and diagonal cutters for electrical insulation areespecially useful in work on confined electrical circuit installa-tions. The coating guards against short-circuiting which wouldotherwise be possible during accidental contact with adjacentterminals.

In a steel mill ferrostan tin line, where sheet steel istinplated, wringer rolls are used to remove water from thestock. These rolls are usually rubber coated for electricalinsulation. An insulative aluminum oxide coating instead ofrubber resists the wear and grooving which, in the rubbercoated rolls, eventually allow arcing and subsequent “arcburns” on the sheet steel.

Coatings are usually used as conductors when the application simul-taneously requires wear resistance and/or corrosion resistance. For example:

Also operating in steel mill ferrostan tin lines are rollersdesigned to conduct electricity to the sheet stock duringplating. Typically, the conductive surface of the roller hasbeen clad copper. Experience has shown a plasma-depos-ited tungsten coating to be a better material selection. Theconductivity of the tungsten coating is more than adequate,and it is far more resistant than copper to wear, grooving, andgouging.

Page 662: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Advanced Thermal Spray Deposition Techniques 665

5.0 SUMMARY

Thermal spray coating technologies are capable of depositing a verywide range of compositions without significantly heating the substrate. Therange of surfaces or components which can be coated is, however, limitedby the line-of-sight nature of the processes. The process technology is fairlymature, but incremental improvements will continue. On the other hand,substantially improved materials should be expected and new applications,sometimes in completely new fields, are constantly being developed.

While a few suppliers of coatings have developed and implementedadequate quality control measures, this is not the norm in the industry. Withproper attention to this issue, to the proper selection of a coating material andprocess, and its specification, however, thermal spray coatings can be usedfor a wide variety of proposes in virtually every industry, from submarines tospace shuttles and steel mill rolls to computers, solving problems in wearresistance, corrosion resistance, thermal or electrical resistance or conduc-tance, radiation reflectance or absorption, etc.

REFERENCES

1. Poorman, R. M., Sargent, H. B., and Lamprey, H., “Method andApparatus Utilizing Detonation Waves for Spraying and Other Purposes,"U.S. Patent 2,714, 563 (August 2, 1955)

2. Gage, R. M., Nestor, O. H., and Yenni, D. M., “Collimated Electric ArcPowder Deposition Process,” U.S. Patent 3,016,447 (January 9, 1962)

3. Muehlberger, E., “Coating Heat Softened Particles in a Plasma Streamof Mach 1 to Mach 3 Velocity,” U.S. Patent 3,914,573 (October 21,1975)

4. Nicoll, A. R., Gruner, H., Prince, R., and Wuest, G., Surf. Eng. 1:59(1985)

5. Fabel, A. J. and Ingham, H. S., “Plasma Flame-spraying ProcessEmploying Supersonic Gaseous Streams,” U.S. Patent 3,958,097(May 18, 1976)

6. Sokol, L. S., McComas, C. C., Hanna, E. M., U.S. Patent 4,256,779

7. Metals Products Div., United Technologies Corp., Lantana, Florida,1982.

Page 663: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

666 Deposition Technologies for Films and Coatings

8. Muehlberger, E. and Kremith, R. “New Sonic and Supersonic 80 kWPlasma Spray Systems,” presented at Ninth Airlines Plating Forum,Montreal, Canada (1973)

9. “Selected Coating Properties - The 7M High Energy Plasma System,”Metco, Inc. (1975)

10. Wallace, F. J., “High-Energy Plasma-Sprayed Tungsten CarbideCobalt Development for Turbine Applications,” presented at the 14thAnnual Airline Plating Forum, Tulsa, Oklahoma (25-27 April 1978)

11. Meyer, H., Ber. Dtsch. Keram. Ger. 39(H2):115-124 (1963)

12. Jackson, J. E., “Method for Shielding a Gas Effluent,” U.S. Patent3,470, 347 (1969)

13. Shanker, S., Koenig, D. E., and Dardi, L. E., J. Metals, 33:13-20 (Oct.,1981)

14. Gruner, H., Thin Solid Films, 118:409-420 (1984)

15. Kayser, H., Thin Solid Films, 39:243-250 (1976)

16. Price, M. O., Wolfla, T. A., and Tucker, R. C., Jr., Thin Solid Films,45:309-319 (1977)

17. Fabel, A. J., “Powder Feed Device for Flame Spray Guns,” U.S. Patent3,976, 332 (24 August 1976)

18. Smart, R. F. and Catherall, J. A., Plasma Spraying, Mills and Boon,Ltd., London (1972)

19. Crammer, D. E., Bartoe, R. L., and Kramer, J., “Improved UniversalPowder Mass Flow control for Thermal Spray Applications,” presentedat International Conference on Metallurgical Coatings, San Diego, CA.(March 1987)

20. Wolfla, T. A. and Johnson, R. N., J. Vac. Sci. Technol. 12:777-783(1975)

21. “Finishing - UCAR Metal and Ceramic Coatings,” Union Carbide Corp.

22. Wilms, V. and Herman, H., Thin Solid films, 39:251-262 (1976)

23. Taylor, T. A., unpublished data.

24. Alperin, H. and Taylor, T. A., unpublished data.

25. Reynolds, H. and Taylor, T. A., unpublished data.

26. Levinstein, M. A., Eisenlohr, A., and Kramer, B. E., “Properties ofPlasma Sprayed Materials,” Weld. J.; Weld. Res. Suppl. 40:8s (1961)

27. Levy, M., Sklover, G. N., and Sellers, D. J., “Adhesion and ThermalProperties of Refractory Coating-Metal Substrate Systems,” U. S.Army Materials Research Agency, AMRA TR 66-01 (1968)

Page 664: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Advanced Thermal Spray Deposition Techniques 667

28. Milewski, W., “Sonic Phenomena Occurring During Plasma SprayingWC+CO Compositions,” presented at the 7th International MetalSpraying Conference, London (1973)

29. Tucker, R. C., Jr., J. Vac. Sci. Technol. 11:725-734 (1974)

30. Matting, H. A. and Steffens, H. D., Metall. 17(6):583 (1963); 17(9):905(1963)

31. Van Vlack, L. H., “The Metal-ceramic Boundary,” presented at the 1964Metals/ Materials Congress, Philadelphia, PA., Technical Report No.P (10-1-64)

32. Grisaffe, S. J., “Analysis of Shear Bond Strength of Plasma-SprayedAlumina Coatings on Stainless Steel,” NASA Technical Note, NASATN D-3113 (1965)

33. Union carbide Corp., unpublished data.

34. Marchandise, H., “The Plasma Torch and its Applications,” EuropeanAtomic Energy Community, EUR 2439.f (1965)

35. Wolfla, T. A., unpublished data.

36. Leeds, D. H., “Some Observations on the Interface Between Plasma-Sprayed Tungsten and 1020 Steel,” Defense Documentation Center,AD-803286 (1966)

37. Kitahara, S. and Hasui, A., J. Vac. Sci. Technol. 11:747-754 (1974)

38. Longo, F. N., Weld. J. 45(2):66s (1966)

39. Matting, H. A. and Steffens, H. D., Metall. 17(12):1213 (1963)

40. Durmann, G. and Longo, F. N., Ceram. Bull. 48(2):221 (1969)

41. Ingham, H. S., Jr., in: Composite Engineering Laminates, (A. G. H.Dietz, ed.), MIT Press, Cambridge (1966)

42. Ingham, H. S. and Sheepard, A. P., Metco Flame Spray Handbook,Metco, Inc., Westbury, NY (1965)

43. Okada, M. and Maruo, H., Brit. Weld. J., 15:371 (1968)

44. Houben, J. M., Proc. Conf. on Thermal Spray Coatings, pp. 1-19,October 1984, Long Beach CA., (F. N. Longs, ed.), American Societyfor Metals (1985)

45. Poquette, G. E., Linde Division, Union Carbide Corp., privatecommunication

46. Yu, S., Sharivker, Poroshk. Metall. 54(6):70 (1967)

47. Marynowski, C. W., Halden, F. A., and Farley, E. P., Electrochem.Technol. 3(3-4):109 (1965)

48. Donovan, M., Brit. Weld. J. 13:490 (1966)

Page 665: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

668 Deposition Technologies for Films and Coatings

49. Tucker, R. C., Jr., Linde Division, Union Carbide Corp., privatecommunication

50. Yenni, D. M., Linde Division, Union Carbide Corp., privatecommunication

51. Mash, D. R. and Brown, I. M., Met. Eng. Quarterly 18 (1964)

52. Wolfla, T. A. and Tucker, R. C., Jr., “High Temperature Wear ResistantCoatings,” presented at Int'l Conf. on Metallurgical Coatings, SanFrancisco, CA (3-7 April, 1978)

53. Tucker, R. C., Jr. and Bishop, T. N., “The Utilization of Plasma andDetonation Gun Coatings in Design,” presented to AIME Symp. onInteraction of Design and Materials II (1973)

54. Elyutin, V. P., et al., Svar. Proizvod, 6:72 (1969)

55. Muller, K. N., “Structure and Properties of Arc-Sprayed TitaniumCoatings,” presented at the 7th Int’l Metal Spraying Conf. (1973)

56. Tucker, R. C., Jr., and P. W. Traub, “Wear Behavior of Wrought andPlasma-Deposited Aluminum Bronze and Beryllium Copper,” presentedto the Metallurgical Soc. of AIME (1971)

57. Tucker, R. C., Jr., “Wear Characteristics of Modified Plasma-DepositedAluminum Bronze,” presented to the Am. Soc. for Testing and MaterialsSymp. on Erosion, Wear and Interfaces with Corrosion (1973)

58. Taylor, T. A., Overs, M. P., Gill, B. J., and Tucker, R. C., Jr., J. Vac. Sci.Technol. A3:2526-2531 (Nov/Dec 1985)

59. Tucker, R. C., Jr., Taylor, T. A., Weatherly, M. H., “Plasma DepositedMCrAlY Airfoil and Zirconia/MCrAlY Thermal Barrier Coatings,” presentedat the Third Conf. on Gas Turbine Materials in a Marine Environment,Bath, England (20-23 September 1976)

A substantial amount of research and development of thermal spraycoatings has occurred since the first edition of this book. No attempt has beenmade here to provide an extensive list of references to such work. Theinterested reader, however, may wish to refer to the Proceedings of theInternational Conference on Metallurgical Coatings, published by ElsevierSequoia; and the Journal of the Thermal Spray Technology published by ASMInternational.

Page 666: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

669

12

Non-Elemental Characterization ofFilms and Coatings

Donald M. Mattox

1.0 INTRODUCTION

A coating may be defined as a near-surface region having propertiesdiffering from the bulk of the material which is prepared by adding a materialto the surface (overlay coating). A modified surface is a near-surface regionwhose properties differ from the bulk of the material and which is formed fromthe bulk material by changing the composition, phase, or properties; thesubstrate material is detectable in this region. Generally a modified surfaceis also referred to as a coating.

These definitions imply no thickness limitation but usually involve afunctional or property difference between the coating and substrate. Thus acoating allows the dissociation of the surface properties from the bulkproperties and allows engineering, fabrication, and design flexibility which canbe obtained by separating the surface properties from the structural require-ments.

Disadvantages of coatings are associated with:

1. Presence of an interface and the need for adhesion

2. A sharp discontinuity in material properties at the interface

3. Need for fabrication methods, some of which are expensive

4. Need for process control for a reproducible product

5. Properties of the coating material may differ significantly fromthe material in bulk form and the properties may be veryprocess dependent

Page 667: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

670 Deposition Technologies for Films and Coatings

Films are thin coatings, and in some instances the film properties areinfluenced by the substrate properties. In this chapter, a film is defined as acoating with a thickness less than 1 micron (103 nanometers or 40 micro-inches).

Films and coatings may be fabricated in a variety of compositional,morphological, and microstructural configurations. These include:

1. Monolithic—one composition throughout

2. Alloyed or mixed and not reacted

3. Compound

4. Graded composition

5. Layered structures—few to many, alternating

6. Composite (dispersed phases)

7. Dispersed impurities—possibly to greater than solubilitylimits

8. Special configuration, e.g., fine line metallization

9. On surfaces with properties that influence the film properties,e.g., roughness, hardness

Films, coatings, and modified surfaces are often unique materials withproperties that differ from those normally encountered in the same materialsprepared in other ways, and these unique characteristics should be consid-ered when making property, stability/degradation or compositional measure-ments. In many instances, these unique properties are derived from thefabrication techniques and parameters as well as the limited size andthicknesses that are encountered in film structures. Unique conditions,characteristics, and properties of films and coatings include:

1. Substrate influence on properties

2. Presence of the interface and interfacial (interphase) material

3. Graded composition and properties with thickness

4. Dispersed impurities

5. Non-stoichiometric compositions

6. Unique microstructures (bulk, surface), e.g., columnarmorphology

7. High surface/volume ratio

8. Local property variations, e.g., pinholes, nodules

9. Non-equilibrium conditions (defects, stress, crystallographicphase, structures, composition, impurities, etc.)

Page 668: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Non-Elemental Characterization of Films and Coatings 671

2.0 CHARACTERIZATION

There are many reasons to characterize a film or coating. These include:

1. In development: determining the effect of processing variableson properties of the material (process sensitivity). Determiningdegradation modes.

2. Determining functionality and establishing performance limitsfor a specific application.

3. Establishing product acceptance specifications (functionality,stability).

4. Establishing a baseline for satisfactory composition, structure,or performance so that subsequent materials may becompared to this “standard.”

5. Monitoring reproducibility of processing.

6. Determining the stability of the material under service anddegradation conditions.

7. Assisting in failure analysis.

8. Avoiding surprises.

Note: characterization is essentially meaningless unless the formationconditions are reproducible. This means that the process must be reproduc-ible and this is generally insured by using process controls and specifications.

In many cases, property measurements are used to establish process-ing reproducibility. For instance, in the deposition of a metallization film, onemight make:

1. A thickness measurement to insure that the right amount ofmaterial has been deposited and that the deposition conditions(contamination in a plasma when sputtering, for instance)have not changed when using the specified depositionparameters

2. An adhesion measurement to insure that the surfacepreparation was adequate and that the surface was notrecontaminated during processing

3. An electrical resistivity (or resistance) measurement toinsure functionality of the material

Page 669: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

672 Deposition Technologies for Films and Coatings

4. Environmental aging to insure stability of adhesion andelectrical resistivity during subsequent processing, storage,and service

5. Pinhole density measurements to insure that the likelihoodof developing “opens” in patterned metallization is small

Characterization may be categorized as: (i) absolute, (ii) relative, (iii)functional, (iv) behavioral, and (v) stability.

Absolute characterization means obtaining a specific value such as: (i)specific elemental composition (weight percent), (ii) resistivity (ohm-centime-ters), (iii) geometrical thickness (microns, angstroms), (iv) density (grams/cm3), etc. In order to get absolute values it is often necessary to use accuratemeasuring techniques and to compare the measured values to standards forthe parameter of interest.

Relative characterization means a comparison to an acceptable value (orknown variation thereto) such as: an Auger peak height, x-ray fluorescenceintensity, color, relative hardness, etc. Often precise, but not necessarilyaccurate, measurement techniques are used. Relative evaluations aregenerally more easily obtained and are less costly than are absolute values.

Functional characterization relates to the final use of the material andinclude such properties as: adhesion, electrical resistivity, hardness, wearbehavior, optical absorption, etc.

Behavioral properties are not directly related to functionality but are afunction of processing. These properties may be important in use or to indicatepossible changes in film properties. An example is adsorption of gases orcontaminants.

Stability properties refer to the property changes in the product duringsubsequent processing, handling/storage, and service. Stability measure-ments are usually done as a function of environment (temperature, chemicalspecies, fatigue, etc.). These environments must be carefully defined andspecified.

Properties may be general, such as film thickness, or may vary locallysuch as the presence of pinholes, nodules in the film, or small areas of highfilm stress. The general properties may not be uniform over a large surface areaor may not be constant from one area to another on the deposition fixtures(position equivalency). Often variations may be due to substrate conditions,deposition parameters, etc. This means that some care must be taken inselecting the samples (or areas) to be characterized and the samplingstatistics must take into consideration the possibility of such variations.

Page 670: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Non-Elemental Characterization of Films and Coatings 673

The importance of the property also determines the type of statisticsused in property measurements. For example, one may measure the mean-time-to-failure of a conductor due to electromigration, but since one failure cancause failure of a circuit, it may be more important to know the time-to-first-failure for reliability calculations. It is often helpful (or necessary) to interactwith a statistician in order to develop a meaningful statistical evaluationprogram.

In some cases, special substrates (witness plates or monitor plates)may be used to give properties or conditions that are not generally availableon the product to be used. Examples are: (i) the use of thin substrates thatcan be deformed by film stress, and (ii) smooth surfaces that may be maskedto give “steps” for stylus or interferometric thickness measurements. In somemeasurements such as those used for adhesion tests or stress measure-ments, it is very important that the witness plates be of the same material asthe substrates and processed in the same manner. In cases where differentmaterials, surface conditions (e.g., smooth vs. rough) or different processing(e.g., cleaning) is used for the witness plates, the effects of these differenceson the measured parameters must be known.

Some film properties may be measured during the deposition process (insitu) and may be used to control the deposition process. This may be calledin situ characterization and includes such measurements as:

1. Mass deposited (using deposition rate monitors, weight gainmeasurements)

2. Optical transmission, reflectance, and extinction (used withoptical coating processes)

3. Film resistivity (using masks and conductor patterns)

Upon opening a deposition system, some characteristics may bedetermined before the parts are removed from their fixtures. These character-istics may be called the first check characterization and include:

1. Uniformity of appearance and color over the depositionfixture, i.e., from sample-to-sample or over a large area.

2. Color (e.g., TiN [1]) and reflectivity—is it like other depositionruns?

3. Optical texturing—when viewed from different angles doesthe reflectance look different from different areas? This is anindication of morphological variation.

If there are a number of samples in the run, or if the area is large, oneshould determine if all the positions in the deposition system are equivalent

Page 671: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

674 Deposition Technologies for Films and Coatings

(i.e., position equivalency). It may be helpful to identify each sample and itsposition in the fixture for future reference—variations in properties may beposition dependent for reasons such as: angle-of-incidence of the depositingflux, plasma density variations, heating variations, presence of nearby virtualleaks, etc.

After the samples have been removed from the fixturing they may besubjected to further testing. Simple and easy tests may be used to determinefunctionality and relative comparison. These simple tests include: (i) adhe-sion, (ii) residual stress, (iii) reflectivity, (iv) electrical resistivity, (v) thickness,(vi) optical transmission of films on optically transparent substrates forthickness or pinholes, (vii) some types of elemental composition, (viii)chemical etch rate, and (ix) oblique lighting to see bumps on smooth surfaces.Often these simple tests can give the first indication of problems in processingor functionality. In many cases one characterization technique will give resultsthat depend on several properties of the material. For example: a chemicaletch rate test will depend on film density, pinholes, surface area, thickness,and chemical composition.

After the films have been exposed to the ambient, do they change withtime? Changes may be evident in color, adhesion, chemical composition ofthe surface, wetting angle, or bondability.

After the simple and easy tests, the films may be subjected to morecomplex and comprehensive tests which generally take a much longer timeand require special techniques and configurations.

In many cases, the functionality of the system must be determined incontext of the intended use of the film. The best test is the operational life-test where the film is used as it would be in service and samples are testedperiodically to determine any degradation. Since this means a long testperiod, it is often desirable to used accelerated life-tests where the degrada-tion mechanisms are accelerated by increasing the temperature (corrosion,diffusion processes), chemical concentration (corrosion), cyclic rate (fatiguefailures), etc. A comparison between the accelerated tests and the opera-tional tests gives an acceleration factor. A major concern in accelerated lifetests is to be sure the right degradation mechanisms are being accelerated.Most often, both types of tests (operational and accelerated) are run, and inaddition, control samples (archival or shelf samples) are kept in pristinecondition so that operational or accelerated aged samples can be comparedto the original materials. All of this assumes that the samples werereproducible when fabricated.

Page 672: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Non-Elemental Characterization of Films and Coatings 675

Many characterization techniques require destruction of the sample.Examples are: many adhesion tests, some compositional profiling tech-niques, mechanical properties testing. In some cases, evaluations may bemade by non-destructive evaluation (NDE) tests and the tested sample can beused for further processing. Examples are: electrical resistivity (four-pointprobe resistivity), adhesion (tensile pull to value, “Mattox bad breath test”), andcomposition (x-ray fluorescence).

Characterization may be at all levels of sophistication and expense. Inthis chapter, we discuss some of the most common characterizationtechniques, but before a characterization strategy is developed, the followingquestions should be asked.

• Most important—is the processing and product reproduc-ible?

• How will the information be used?

• How varible is the product from lot-to-lot, and from variouspositions in the deposition system?

• Are the statistics correct? Should a statistician be con-sulted?

• In development work: are the experiments properly designedto give the information needed to establish limits on theprocessing variables and the product properties?

• What is important? Who determines what is important andthe acceptable limits?

• How quickly is the information (feedback) needed?

• Who will do the characterization? Are the right questionsasked, and is the necessary background information pro-vided?

• Does the testing program include subsequent processing,operational, and environmental considerations?

• Is needless characterization being done, or can simpler andless expensive characterization methods be used?

• Can the characterization be done effectively in the neces-sary environment (development, production, quality assur-ance) and by the required workers (Ph.D.'s, hourly work-ers)?

• How will the specifications for the characterization methodsbe written?

Specifications must be written for characterizations that must be donerepeatability. Methods of characterizing the sample should be carefully

Page 673: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

676 Deposition Technologies for Films and Coatings

specified. Often professional organizations have specifications and standardsfor determining specific properties, tests, procedures, or processing.[2] Thesespecifications and standards may be classed as: (i) industrial (ii) military, and(iii) international.

Some of the organizations that have specifications and standards are:

Mil. Specs - Military Specifications

ISO - International Standards Organization

ASTM - American Society for Testing and Materials

ANSI - American National Standards Institute

API - American Petroleum Institute

ASME - American Society of Mechanical Engineers

ASQC - American Society for Quality Control

AWS - American Welding Society

AVS - American Vacuum Society (recommended practices)

EIA - Electronic Industries Association

IEEE - Institute of Electrical and Electronic Engineers

IES - Illuminating Engineering Society

ISA - Instrument Society of America

NEMA - National Electrical Manufacturers Association

SAE - Society of Automotive Engineers

UL - Underwriters Laboratories

NBS - National Bureau of Standards

IPC - Institute for Interconnecting and Packaging ElectronicCircuits

Others

There are several ways of retrieving the standards and specifications.One is the VSMF microfilm system with a subject index and microfilmedstandards and specifications. ASTM (American Society for Testing andMaterials) has a series of publications of their standards.

In many cases, published standards and specifications have to bemodified for a specific application.

Page 674: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Non-Elemental Characterization of Films and Coatings 677

3.0 FILM FORMATION

In order to understand how some of the unique film properties comeabout, we need to understand how the film fabrication is performed. Otherchapters in this volume treat the subject of film fabrication methods. Inaddition, we need to understand how a film or coating is formed with a specificfabrication process. For example: let us summarize how atomisticallydeposited films are formed.

The stages of atomistically deposited film formation are:[3] (i) surfacepreparation, (ii) condensation and nucleation of the adatoms, (iii) interfaceformation, (iv) film growth and, in some cases (v) post-deposition treatments.The characteristics of these stages may be very dependent on processingparameters. For example: (i) substrate heating is normally a very importantprocess variable, (ii) angle-of-incidence of the depositing material flux may beimportant in developing the film morphology, and (iii) concurrent energeticparticle bombardment during deposition may be used to modify all stages offilm growth.[4][5]

Surface preparation may be defined as the treatment of a surface in orderto obtain satisfactory processing, function, or stability.[6] Surface preparationmay be in the form of: (i) cleaning, (ii) modification of surface chemistry, (iii)modification of the physical or morphological properties of the surface, (iv)formation of nucleation sites or addition of nucleating agents (sensitization),and (v) activation of the surface to make it more chemically reactive.

When adatoms impinge on a surface they may have a degree of mobilityon the surface before they nucleate and condense.[5][7][8] The nucleationdensity of adatoms on a substrate surface (and mode of growth) determinesthe interfacial contact area and the development of interfacial voids—generallya high nucleation density is desirable for good film adhesion. The nucleationdensity depends on the kinetic energy and surface mobility of the adatoms,chemical reaction, and diffusion of the adatoms with the surface, adsorbedsurface species,[9] and the nucleation sites available.[10]

The nucleation stage of film formation may be studied by: (i) Transmis-sion Electron Microscopy (TEM), (ii) Scanning Tunneling Microscopy(STM),[11] (iii) electrical conductivity and temperature coefficient of electricalconductivity (conductors on insulating substrates), (iv) optical transmissionas a function of mass deposited (film on transparent substrate), (v)

Page 675: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

678 Deposition Technologies for Films and Coatings

extinction of the XPS (x-ray photoelectron spectroscopy) signal from thesubstrate as a function of deposited mass, or (vi) changes in the work functionwith deposited mass.

Interface formation will begin during nucleation of the adatoms on thesurface and may proceed throughout the deposition process and even duringpost-deposition processing, subsequent processing, and in-service usage,depending on conditions.

The interfacial types may be categorized as:[3] (i) abrupt, (ii) mechanical,(iii) diffusion, (iv) compound, and (v) pseudodiffusion. The abrupt interface isformed when there is no diffusion and thus the interface is a sharp transitionfrom one material to another in the space of a lattice parameter (e.g., Au onNaCl). In this case the gradient of materials properties is large. Due to thelack of reaction and the method of film growth, interfacial voids may be formedat the abrupt interface giving poor adhesion. The mechanical interface is anabrupt interface with mechanical interlocking. This type of interface mayprovide good adhesion if the surface roughness is “filled-in” and interfacial voidsare avoided.

The diffusion type interface is formed when there is interdiffusion of thefilm and substrate materials. A problem with this type of interface may be thedevelopment of voids in the interfacial (interphase) material if the diffusion ratesof the materials are different (Kirkendall voids).[12] In the compound interface,diffusion is accompanied by reaction to form a compound material. Theinterphase material formed may be brittle, have Kirkendall voids, and developmicrocracks due to the stresses developed in forming the compound mate-rial[13]—all of which reduce the fracture strength of the interface region andhence lower the film adhesion.[14] The pseudodiffusion type of interface maybe formed under low-temperature deposition conditions or when the materialsare insoluble, by physically mixing the depositing materials during multilayerfilm deposition, or by implantation or recoil implantation of atoms into thesubstrate surface. Figure 12.1 schematically depicts the types of interfacesand problems that can be associated with each type of interface.

Heating during deposition may enhance diffusion of chemical reactionsof the depositing atoms with the surface. Energetic particle bombardmentmay alter the interface formation by affecting the nucleation processes(cleaning, changes in surface chemistry, nucleation sites), by increasing thecontact area, decreasing the interfacial voids, generating surface defects,enhancing chemical reaction, and by providing a high thermal input into thesurface region.

Page 676: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Non-Elemental Characterization of Films and Coatings 679

Generally interfaces and interphase materials are difficult to characterizebecause of their small extent and the dependence of the materials propertieson interfacial flaws and the properties of the surrounding materials. Interfacesmay be studied by TEM techniques. Fracture-related studies may also beinformative.

Film growth occurs by nucleation on a “like-material” and the sameconsiderations as for nucleation on a foreign surface apply. In addition, larger-scale effects must be considered. In particular, at low deposition tempera-tures, geometrical effects may lead to the development of a columnar growthmorphology[15] that often leads to undesirable film properties such asmicroporosity, low film density, high chemical etch rates, contaminationretention and others. The addition of energetic particle bombardmentduring deposition can change the growth morphology giving a more densefilm.[16]-[20]

Figure 12.1. Types of interfaces and problems that can be associated with eachtype of interace.

Page 677: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

680 Deposition Technologies for Films and Coatings

For reactive film deposition processes, two general cases exist. In thefirst case, there is a condensible species and a gaseous reactive species(e.g., Ti + N). In the second case, both species are condensible and reactiveunder the proper conditions but may only form a mixture under other conditions(e.g., Ti + C). In reactive film deposition processes, the rate and degree ofreaction is dependent on the chemical reactivity of the reactive species, thetemperature, the extent of the reaction, and the availability of the reactivespecies to the depositing species which, in turn, may be very dependent onsystem geometry and relative surface areas.[21]

When a reactive species is present, concurrent energetic particlebombardment enhances chemical reactions. The nature of this enhance-ment is poorly understood since heating, physical collisions, molecularfragmentation, intermediate species, and the presence of energetic electrons(secondary electrons) may each play a role in the chemistry of the reaction.The existence of bombardment-enhanced chemical reactions is well estab-lished in etching studies where the reaction products are volatile[22][23] andbombardment effects are found in reactive film deposition processes where thereaction products are non-volatile (reactive deposition).[24]

In the condensation of atoms, there is developed a residual lattice strainwhich is usually evident as a residual tensile stress in the film. Where thereis concurrent bombardment during deposition, this strain may be compressivein nature due to the atomic peening (stuffing) of atoms into the lattice by recoilimplantation. These growth stresses are very important to some filmproperties such as adhesion and stability.[14][25][26]

It should be realized that very few surfaces are chemically and physicallyhomogeneous. Inhomogeneity in the substrate surface leads to variations infilm nucleation, growth, and properties. Processing which leads to greatersurface and growth homogeneity will lead to greater film homogeneity.

Substrate morphology, surface chemistry, and physical properties mayhave a important affect on film growth and thus on the subsequent filmproperties. Substrate specification and characterization are important partsof process development and production reproducibility. Specifications for thein-coming substrate material and the surface preparation must be included aspart of the process development.

Post-deposition processing may be used to change the film or interfaceproperties. Such processing includes: (i) burnishing or shot peening (softmetal films), (ii) rapid thermal processing,[27] (iii) annealing, (iv) ion beammixing.[28]

Page 678: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Non-Elemental Characterization of Films and Coatings 681

4.0 ELEMENTAL AND STRUCTURAL ANALYSIS

Some elemental and structural analysis techniques are covered in otherchapters of this book (others are not, see Ref. 29) but since they are closelytied to the non-elemental characterization techniques that are covered in thischapter, a few points about this type of characterization from that point of vieware included here.

Elemental and structural analysis is typically done by someone separatefrom the processing activity. Often the analysts are very parochial, so carefulconsideration is required to determine which analytical technique is bestsuited to the question/problem at hand. At the least, several people andtechniques and several sources of information may be required to make adecision. It may be necessary to work with the analyst to develop a programwhich will answer the questions that need to be addressed.

Each analytical person/technique must be given the background neces-sary obtain the needed information. If the person using the scanning electronmicroscope looks for unusual features and takes pictures of strange things onthe surface, a very distorted view of the product will emerge. If an Augeranalysis of a sample that was carried in a week ago doesn’t have carbon onthe surface, then the Auger system is not working right. If hydrogen in the filmia a concern, then Auger analysis is not appropriate; Nuclear ReactionAnalysis is needed. Many analytical techniques are very dependent on thesample preparation. Generally, one must determine if the findings of elementaland structural analysis are important or not. The product or process engineermust work with the analyst to obtain meaningful results.

In compositional analysis there is a big difference in time and effortbetween detection with relative values and absolute numbers. Usually, to getabsolute values, it is necessary to obtain or make standards for comparisonand this may be very difficult. Often it is just as informative to get relativevalues. It is also very important to have archival samples with which to comparethe analytical results.

Surface analysts take great pride in getting the highest resolutionpossible, but often variations (e.g., pinholes) in a large area and high resolutionof a small area (such as a SEM analysis) is not appropriate, and othercharacterization techniques should be used.

Elemental and structural analysis is a great way to run up characteriza-tion costs. Typically the turn-around time and feedback times are long.

Page 679: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

682 Deposition Technologies for Films and Coatings

Pretty pictures of microstructures and absolute numbers from compositionalanalysis may not be necessary but they might look good in a report or paper.

5.0 SOME PROPERTY MEASUREMENTS

Many different property measurements may be made on a film or coating.In many cases, the property measurements are highly specific. This part ofthe chapter will concentrate on a few of the most common property measure-ments.

5.1 Adhesion

Good adhesion, as defined by the fabrication, testing, and serviceconditions, is a fundamental requirement of any film-substrate system. Goodadhesion is determined by a large number of factors, many of which are difficultto control without careful processing. Process development is often done inan empirical manner, aided by some basic considerations of the factors mostlikely to give good adhesion and properties which are detrimental to goodadhesion. From these considerations one can decide what must be done toobtain good adhesion and the proper procedures for testing the adhesion

The American Society for Testing and Materials defines adhesion as the“condition in which two surfaces are held together either by valence forces orby mechanical anchoring or by both together,” (ASTM Definition D 907 - 70).[30]

In engineering applications, adhesion is the physical strength of an interfacebetween regions of a material system. Such interfaces are found in grainboundaries, solids in contact (friction, wear), and in film-substrate systems.Adhesion failure is the separation of the materials at or near the interface overa large area, usually under stress. Adhesion failure is the end result of fractureand/or deformation of material and may depend on the properties of thesubstrate material near the interface (nearby material), the interphase materialor the film material.

Adhesion strength is an irreversible macroscopic property of the systemand is amenable to specification and testing. “Good adhesion” is when theinterfacial region (or nearby material) does not fail under service conditions norat unacceptably low stress levels under fabrication and test conditions.[3]

Page 680: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Non-Elemental Characterization of Films and Coatings 683

The mechanical properties of the interfacial (interphase) material arecrucial to good adhesion. This interfacial material may have a composition,microstructure, and properties which differ from either of the bulk materials. Aninterface may be sharp or diffuse, rough or smooth.

The formation or presence of the interfacial region may affect thecomposition, stress, or microstructure of the nearby material (film or sub-strate) which may be weakened by a number of processes such as diffusionor flaw formation.

The stresses which may cause adhesion failure include: mechanical(tensile, shear, compressive, shock, fatigue), chemical (corrosion, solution)and thermal/time (diffusion, reaction). Internal (residual) film stresses maycontribute to the failure.[3]

The principal methods of attaining adhesion are by: surface energyreduction,[32]-[34] high fracture energy of the interfacial region,[3][14] or the useof bonding agents to provide a “new” surface.

The deposition process and process variables may have an importantbearing on the resultant adhesion by changing the nucleation, growth andproperties of the deposit. Energetic processes, such as high temperature orhigh particle kinetic energy processes,[35] promote the formation of diffusion,compound, or pseudodiffusion type interfaces. High temperature processingimposes constraints such as matching the coefficient of expansion of thedeposited material to the substrate materials so that thermally-inducedresidual stresses are not produced during cool-down.

Post-deposition treatments may be used to increase adhesion. Thesetreatments include: heating, ion mixing (e.g., see Refs. 28, 36) and thediffusion of reactive species to the interface.[37][38] Heating of the film-substrate couple allows stress relief in some systems.[39][40] Even time aloneunder ambient conditions can give changes in the adhesion. This may occurby allowing stress relief (grain growth) and diffusion of species to the interface.For example, plasma cleaning of glass surfaces prior to silver deposition hasbeen shown to give a time-dependent improvement in the adhesion of the silverfilms after deposition.[41] Of course some post-deposition treatments, suchas may occur during subsequent processing, can cause loss of adhesion.

The loss of adhesion under mechanical stress (tensile, compressive,shear) occurs by deformation and fracture of material at or near theinterface. The fracture mode (brittle or ductile) depends on the propertiesof the material and the presence of flaws which may create easy fracture

Page 681: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

684 Deposition Technologies for Films and Coatings

paths and can act as stress concentrators to initiate and propagate thefracture. Another important factor in fracture propagation is the presence ofstress and stress gradients in the material. These stresses may enhancefracture propagation (tensile stress) or retard fracture propagation (compres-sive stress), to some degree. The stress may be intrinsic to the system—arising from the deposition process, or may be extrinsic—arising from appliedstresses. In either case, the nature of the stresses which appear at theinterface depend on the properties of the materials involved.

Localized regions of high intrinsic stress may be found in films due togrowth discontinuities or defects such as pinholes[42] or nodules, or nearfeatures such as edges. These stressed areas may lead to localized adhesionfailure under applied stress.

The fracture path is determined by the properties of the film and substratematerials, the presence and distribution of flaws, stress distribution, and thepresence of features which may blunt or change the fracture propagationdirection. Conceptually, the energy needed to propagate the fracture andcreate the new surfaces can be measured and this fracture energy would bea good measure of the adhesion of the system.[43][44] Energy may beabsorbed by: (i) plastic deformation (slip, atom motion), (ii) elasticdeformation (heat), (iii) generation of free surfaces.

The fracture of a brittle material is often accompanied by acousticemission which results from the release of energy. This acoustic emission hasboth an energy and a frequency spectrum.[46] In one method of the detectionof adhesion failure by acoustic emission, the coated surface is scratched bya rounded diamond point and the load on the point is increased whilemonitoring the acoustic emission using a piezoelectric accelerometer. Thismode of detection is often more sensitive than the normally-used opticaldetection techniques

The fracture of an insulator interface is often accompanied by theemission of electrons, photons and/or ions (fractoemission). Thisfractoemission is probably due to microdischarges resulting from chargeseparation during fracture.[46]

Adhesion is determined by the nature of the stresses that appear at theinterface and the fracture energy needed to propagate a fracture. Goodadhesion is promoted by: high fracture toughness of the materials, lowconcentration of flaws, non-planar defects, presence of fracture bluntingfeatures, interfacial roughness that necessitates the change of direction of apropagating fracture, low stresses and stress gradients, and the absence ofoperational degradation mechanisms.

Page 682: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Non-Elemental Characterization of Films and Coatings 685

Poor adhesion may be attributable to: low degree of chemical bonding (asevidenced by a low nucleation density), poor interfacial contact , low fracturetoughness (brittle materials, flaws, stresses), high residual film stresses, andoperational degradation mechanisms. Poor adhesion may be localized,giving local failure on stress (pinholes, nodules, spallation).

Loss of adhesion may also occur due to non-mechanical stresses suchas: corrosion or solution of interfacial material, generation of flaws, diffusion toor away from the interface of species which can influence adhesion, precipi-tation of diffusing species (e.g., H, He) at the interface, or static fatigueprocesses that propagate existing flaws in brittle materials. These degrada-tion processes are often time, temperature, and environment dependent.

An example of the loss of adhesion due to corrosion effects is thedegradation of some Ti-Au metallizations in an HCl environment.[47] Thiselectrochemical degradation may be eliminated by the addition of a thinintermediate layer of palladium between the titanium and the gold.

An example of the loss of adhesion due to diffusion is the diffusion ofchromium from the interface of an oxide-Cr-Au metallization through the goldto the surface on heating to >200°C in air. At the surface, the chromiumoxidizes and creates a non-bondable surface and the loss of chromium at theinterface results in loss of adhesion. This out-diffusion of the interfacialmaterial is dependent on the composition of the gaseous ambient, and a non-oxidizing ambient reduces the diffusion.[48] The addition of a small amount ofoxygen in the chromium and/or the gold during deposition reduces thechromium diffusion rate and gives a more thermally stable metallization.[49]

The adhesion of the Ti-Au metallization can be degraded by the diffusion of Tito the surface and by chlorine impurities in the film material (chemically-induced segregation).[50]

The diffusion of hydrogen through a film to an interface where itprecipitates has been used by the electroplating community as an adhesiontest.[51] Gases incorporated into a surface or film during surface preparationor film deposition may diffuse to the interface on heating, giving a loss ofadhesion.

Diffusion of water vapor through a polymer film to the interface can leadto the degradation of metal-polymer adhesion.[53] Interfacial mixing canimprove the moisture degradation properties of polymer-metal filmsystems.[53]

Page 683: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

686 Deposition Technologies for Films and Coatings

Film properties may influence the apparent adhesion of a film-substratecouple. The deformation, microstructural, and morphological properties of thefilm material determine the ability of the material to transmit mechanical stressand to sustain residual stresses.

The objective of adhesion testing is to duplicate the stresses to which theinterface will be subjected in subsequent processing, testing, and service.Adhesion testing is used to monitor process and product reproducibility. Apart of the adhesion testing program should include possible time, environ-ment, or stress dependent degradation mechanisms. Generally adhesiontests subject rather large areas to stresses and often do not detect localizedareas of poor adhesion. The use of acoustic emission with some adhesiontests may give an indication of the onset of failure. Adhesion tests aregenerally very difficult to analyze and are most often used as comparative testsin product acceptance specifications. The best test of adhesion is function-ality under service conditions!

Typically adhesion testing is done by lot sampling on product or witnesssamples that are characteristic of the product. It should be remembered thatthe properties of the substrate material and surface preparation proceduresmay have an important effect on the measured adhesion, so the witnesssample material and its preparation should be carefully controlled.

Stressing a film to test for adhesion may result in other failure modessuch as cracking of the film, even though the film does not separate from thesurface.[54]

Methods of accelerating the degradation modes for accelerated adhe-sion testing should reflect the same degradation modes as are to be found inservice. Acceleration may be accomplished by increased temperature,mechanical fatigue, thermal fatigue, concentrated chemical environment, orby the introduction of interfacial flaws by some technique.

Non-destructive adhesion testing techniques would be highly desirablebut are of limited availability at the present time. Possibly thermal-wavetechniques, which have been used to monitor ion implantation damage,[55] canbe used to detect interfacial flaws. Testing-to-a-limit may be used and someuse of acoustic emission to detect onset of failure has been attempted.

Since adhesion is a macroscopic property of the system, the adhesiontest methods generally involve testing over an appreciable area. In somecases, the testing may be over a much larger area than we are really interestedin. Adhesion testing should test the coating under stresses similar to thoseencountered in production and service.

Page 684: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Non-Elemental Characterization of Films and Coatings 687

There are a large number of potential adhesion tests[56]-[60] and eachinvestigator or technology community favors different tests. Adhesion testsmay be categorized by the method that the stress is applied to the film/coating.

The following are some types of adhesion tests:

Functionality

Tensile (pull) tests:Wire bondThermocompression (TC) bondSoldered bondEpoxy bonded studElectroplated studRotor tests

Peel tests;“Tape test”

Topple tests

Shear tests:TC ball bond (push-off test)Ring shear

Lap shear tests

Deformation (of substrate ) tests:BendPull

Indentation test

Scratch test (with acoustic emission)

Stress-wave test:Flyer plate/foilLaser pulse

Abrasion tests

Thermal stressing

Diffusion test—diffusion of hydrogen to interface(electroplaters)

Weird tests:Mattox bad breath test (unpublished)

Page 685: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

688 Deposition Technologies for Films and Coatings

The best test of adhesion is “does it work?” under subsequent process-ing, testing, and service. This may be called a functionality test.

The peel test is a common test for adhesive bonding[61][62] and a variationof the peel test is the tape test, where an adhesive tape is stuck on the filmsurface, then a peel test is performed. This test is good for detecting pooradhesion (up to about 1000 psi) but is very sensitive to the method used—typeof tape, method of application of the tape, pull angle, pull rate etc. Often thefilm is scribed (cut, cross-hatched) beneath the tape to provide an edge onwhich the tape pulls. Measurement is in grams/mm.

The scratch test is an old adhesion test method[63] (more sophisticatedthan the scrape test) where a complex deformation is introduced into thesurface and then the failure mode is observed and a critical load for failure isassigned.[64] This test has been the subject of numerous investigations. Thestresses associated with a moving stylus have been analyzed.[65] The loadedstylus used for the scratch test may fracture a brittle substrate material givingerroneous results.[66] The use of an SEM with an in situ scratch testingcapability allows the observation of the failure and material transfer withouttime or environmental effects.[67] The scratch test can be combined withacoustic emission to give an indication of the onset and magnitude offailure.[63][68] The hardness of the substrate material may have a significantaffect on the scratch resistance (cracking) of thin coatings.[69] A commercialunit is available to perform the scratch test along with acoustic emission.

The tensile test generally utilizes a wire or stud bonded to the surface anda tensile tester. Bonding of the wire is usually done by thermocompressionbonding, ultrasonic bonding, or soldering. Bonding of a stud to the surface isusually done by thermosetting epoxy bonding. Tensile strengths to about10,000 psi can be measured, but the analysis of the result can be difficult.[70]

Care must be taken to avoid bonding stresses which will reduce the apparentadhesion. Commercial testers are available for the stud-bond test. Oneinteresting variation of the tensile test is used to study the fracture energy ofthe interface. This test involves bonding a surface to the film, then performinga notch tensile test.[43]

The shear tester[71] uses a bump bonded to the surface and a shearing(actually peeling) motion to determine the strength of the bond or of theadhesion of the film. Commercial units are available to perform this test.

In stress wave adhesion tests, a stress wave is propagated through thesystem and the reflection of the stress wave at the interface results in a tensilestress.[72]-[74] The stress can be injected into the solid from a flyer plate,a flyer foil or a pulse of radiation (laser). Conceptually, this technique couldbe used to initiate, then stop, an interfacial fracture so the fracture

Page 686: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Non-Elemental Characterization of Films and Coatings 689

mode could be studied. The onset of the fracture could be detected byacoustic emission.

The most recent advance in adhesion tests is the monitoring of acousticemission during adhesion testing. As fractures form and propagate, there isa release of acoustic energy which may be monitored. The onset of acousticemission correlates with the onset of adhesion failure in deformation tests.Acoustic emission can be correlated to the fracture of the interface of films onplastic surfaces,[75] plasma sprayed coatings,[76] and hard coatings ontools.[63][68]

Thermal stress adhesion testing is used on coatings intended for hightemperature applications and are often combined with mechanical stressessuch as found in thermal barrier coatings[77] and coatings for fusion reactorapplications.[78]

The Mattox Bad Breath test consists of breathing on the film (best on abrittle substrate material) so that moisture condenses on the film. If the filmhas a high residual stress, the moisture will tend to accelerate fracturepropagation, and blistering (compressive stress) or cracking (tensile stress)will be enhanced. This is an easy “first test” and the test is non-destructiveif the film adhesion and adhesion stability are good.

5.2 Film Thickness

A film or coating thickness may be defined in three ways: (i) geometricalthickness—separation between surfaces; (ii) mass thickness; and (ii) prop-erty thickness.

The geometrical thickness is the separation between surfaces and ismeasured in mils, microinches, nanometers, angstroms, or microns, anddoes not take into account the composition, density, microstructure, etc. Ageneral problem with this measurement is the definition of the surfaces. Massthickness is measured in micrograms/cm2 which can be converted to ageometrical thickness if one knows (or assumes) the density of the material.Property thickness measures some property such as x-ray absorption, beta(electron) backscatter, ion backscattering, optical adsorption or electricalconductivity which may be sensitive to density, composition, microstructure,crystallographic orientation of the film, etc. Property thickness measuringtechniques often require calibration standards. Different thickness measuringtechniques may give differing values for the thickness.

Thickness measuring techniques may also be categorized as contact-ing and non-contacting. The following are some of the most commonly used.

Page 687: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

690 Deposition Technologies for Films and Coatings

Contacting techniques:

• Surface profilometer (stylus technique). Measures theheight of a step from the substrate surface to the film surface.Step is formed by masking during deposition or by maskingand etching. Stylus scans length of several centimeters witha resolution of <0.2 mictrons and measures height of greaterthan 100 Å.[79] Sensitivity is dependent on surface rough-ness, flatness, and abruptness of the step. Commercialunits are available that scan over a surface and present thesurface topography on a screen.

Non-contacting techniques:

• Michelson interferometry - Measures the height of a stepusing a split beam of light. The differing optical path lengthsgive constructive and destructive interference patterns. Byknowing the wavelength of the light and the number of fringes,the step height can be calculated. Measures step heights of300 - 20,000 Å ± 150 - 300 Å.[80][81]

• X-ray fluorescence (XRF) - Measures the mass per unit areaof a material. By assuming the density (or calibrating theinstrument) the measurement can be presented as athickness. Measures thicknesses from 100 nm to 40microns, depending on the material.[82]

• X-ray absorption - Measured by x-ray attenuation. Thicknessby knowing the absorption coefficient or by calibration.Measures thicknesses from 0.1 to >1000 µm ±5%.

• Ellipsometry - Measures dielectric film thickness by therotation of polarization axis as the beam passes through thefilm. Thickness is determined by knowing the index ofrefraction of the dielectric or calibration.[83]

• Beta backscatter - Energetic electrons from a radioactivesource are backscattered from the film and underliyingsubstrate. Thickness is measured by calibration. Thicknessrange depends on the electron source and the scatteringproperties of the material. For example, using a C14 source,1.25 to 1.9 microns of gold can be measured; using a Ru106

source, 15 to 38 microns gold can be measured ± 5%.[83]

• Other techniques- Scanning Tunneling Microscopy (STM) (step height)

- Atomic Force Microscopy (AFM) (step height)

- Photon Tunneling Microscopy (PTM) (step height)

- Magnetic eddy current techniques

- Multiple beam interferometry (step height, 10 - 10,000 Å)

Page 688: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Non-Elemental Characterization of Films and Coatings 691

The determination of which technique is best for a particular applicationdepends on a number of factors.[84]-[86]

5.3 Film Stress

Films and coatings on substrates may have a residual stress that iseither compressive—as if the material were being compressed, or tensile—due to the differences of coefficient of expansion of the film and substrate (hightemperature deposition), or from strains grown-in during the growth process.These stresses contribute to adhesion failure (immediate or long-term) or mayaffect mass transport properties such as void growth,[87] low temperaturerecrystallization (crystalline materials),[88] or a low strain point temperature(glasses).[89]

Films under compression will try to expand and if the substrate is thin thefilm will bow the substrate with the film being on the convex side. If the filmhas a tensile stress, the film will try to contract, bowing the substrate so thefilm is on the concave side. Tensile stress may relieve itself by microcrackingthe film. Compressive stress may relieve itself by buckling, giving wrinkledspots (usually associated with contamination on the surface), or a wavypattern (clean surface) if the stress is isotropic.[9] The residual stresses maybe anisotropic with direction in the film.[81] A great deal can be learned aboutthe film stress by observing the stress relief patterns.[14]

The film stress may not be uniform through the film thickness, i.e., theremay be a stress gradient in the deposit. (If the stress is not uniform, the filmwill curl up when separated from the substrate; if uniform, the separated filmwill lie flat). The total film stress is a function of film thickness.

By knowing the mechanical properties of the substrate and film material,the film thickness and the substrate deflection the film stress can becalculated. There are a number of ways that the deflection of a beam can bemeasured and the stress calculated.[91]-[97] Figure 12.2 shows a commer-cially available attachment for use with a microscope to generate an interfer-ence pattern that can give the radius of curvature.[81]

If the beam is long and narrow so that there is no “angle-iron” stiffeningeffect, and the beam was clamped flat during the deposition, the film stress(σf) can be calculated from:[98]

Eq. (1)

+−

f

f

s

f

f

s

f

sff t

y

t

E

t

t

t

t

)(sEt

= 66

2

ρσ

Page 689: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

692 Deposition Technologies for Films and Coatings

where Tf and Ts are the thicknesses of the film and substrate, Ef and Es are theelastic moduli of the film and substrate material, ρ is the radius of curvature andthe term yf/tf is the relative position in the film for which the stress is calculatedand is measured from the midplane of the film (yf = 0) and is positive towardthe film-substrate interface where the film stress is maximum.

Figure 12.3 shows a sample calculation.

Figure 12.2. Michelson interferometer attachment for optical microscope.

Page 690: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Non-Elemental Characterization of Films and Coatings 693

A major uncertainty in measuring film stress is the elastic modulus (andPoisson's ratio) of the film material which has to be assumed in most cases.If the last term in Eq. (1) can be made small in comparison with the other terms,the stress determination can be made without knowing or assuming Ef. Thiscan be done by making ts/tf very large, which also means measuring a smallRs. This can be done with a sensitive, large optics interferometer.[98] Thesystem shown in Fig. 12.4 is capable of detecting the radius of curvature ofmore than 1 km over an area 2.5 cm in diameter.

Figure 12.3. Sample stress calculation for a molybdenum film on a thin glasssubstrate.

Page 691: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

694 Deposition Technologies for Films and Coatings

Film lattice strain (stress) may also be measured by x-ray diffraction andlattice parameter measurements.[99] However, this technique may not give thesame value of stress as measured by the deflection techniques since it doesnot sum over all the stresses (those associated with the grain boundaries forinstance) and is influenced by other factors such as grain size and filmmorphology. Strain in the surface lattice (few atom layers) can be measuredby LEED techniques.[100]

Figure 12.4. Large area Michelson interferometer with associated illumination anddata treatment system.[98] The setup shown is for measuring the mechanicalproperties of a coated substrate by four-point loading of the sample.

Page 692: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Non-Elemental Characterization of Films and Coatings 695

5.4 Coefficient of Thermal Expansion

The coefficient of thermal expansion of residual growth-stress-free films(annealed) can be determined using the same techniques used for determiningthe stress in the films by making the measurements at various temperatures.Again, one must know (or assume) the properties of the film and substratematerials. One often finds low temperature mass transport in as-depositedfilms (driven by high residual stresses and high defect concentrations/mobilities) giving low temperature annealing (strain point for glasses)[89] andgrain growth[88] during testing at elevated temperatures. These changingproperties will affect the expansion measurements until the film is annealed.

5.5 Mechanical Properties

The hardness of a material is usually defined as the resistance todeformation and is usually measured as the permanent deformation of asurface by a specifically shaped indenter under a given load.[101]-[102] Thisdoes not give an indication of the plastic deformation associated with loading.The hardness of a material may be influenced by the grain size, dispersedphases, defect structure, microstructure, density, temperature, deformationrate, etc. For films and coatings, there may be substrate influences on thedeformation which affect the measurements. As a rule, the coating thicknessshould be 10X the indentation depth to obtain meaningful results. Surfaceeffects may also influence the indentation measurements for thin films,particularly those with oxide layers.

Techniques to measure the microhardness of films and modified sur-faces (particularly ion implanted ones) usually use microindentation tech-niques.[103]-[111] In addition to hardness, the elastic properties of the materialcan be determined from the maximum penetration depth compared to theresidual depth of the indentation after the indenter has been removed. Theimpact of microspheres with a surface may be used to measure microhardnessand its variation over a surface.[112]

An advanced microindentation hardness testing system is commer-cially available. It is a computer-controlled machine capable of performingindentation tests with load and depth resolutions of 2.5 millinewtons and 0.4nanometers up to a maximum load of 10 grams. It detects penetrationmovement by changes in capacitance between stationary and moving plates.

Page 693: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

696 Deposition Technologies for Films and Coatings

5.6 Electrical Resistivity

The bulk resistivity of a material is given in micro-ohm cm and theresistance of a path is calculated from:

R = ρL/A

where ρ is the resistivity, L the length, and A the area. For a thin film, theresistivity may be a strong function of the film properties such as morphology,composition, etc.[113]

The film resistivity is often given as the sheet resistivity (sheet ρ) in ohmsper square since the resistance of any square is the same no matter what thesize of the square, as long as the thickness is uniform and other properties arethe same:

The sheet resistance is measured using a four-point probe techniquewhere the current[1] is injected through two probes and the voltage drop (V)between two other probes is measured.[114][115] This technique avoids contactresistance problems.[116][117]

For a linear probe arrangement, the resistivity is given by:

Rs = 4.532 V/I

Probe separation of commercial units may be as low as 0.025 inches.For layered structures of materials having a nonuniform resistivity, the

measurement is more complicated.[118][119] Resistivity (conductivity) canalso be measured by induction without contacting the surface of the film.[120]

5.7 Temperature Coefficient of Resistivity (TCR)

The TCR of metals is positive, i.e., increasing resistance with increasingtemperature while that of tunneling-type conductors (insulators) is negative,i.e., decreasing resistance with increasing temperature. To measure the TCR,one only needs to combine a resistance measuring device with a temperature-controlled environment. The measured TCR combines effects found in the film,i.e., metallic conduction in the grains (columns), with tunneling through oxidesat columnar boundaries. Often the film TCR is much less than that of the bulkmaterial and may be of an opposite sign altogether. TCR measurements cangive an indication of the perfection of the film material.

Page 694: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Non-Elemental Characterization of Films and Coatings 697

5.8 Electromigration

Electromigration is the movement of film atoms in the electron wind whenmetallizations are used to carry high current densities (Al: 106 A/cm2 - steady,107 A/cm2 - pulse). The origin of the electromigration effect is poorlyunderstood but it is probably dependent on local temperature, film stress, andlattice defects in the film material. The electromigration may result in hillockformation or void formation. Electromigration is measured by subjecting theconductor to the high current density, detecting failure (often defined as 50%reduction in cross-section area) and evaluating many samples prepared in thesame way. Elevated temperatures, and processing techniques that introducelattice defects, broaden the statistical failure curve and bring the early failuresto a shorter time.

Electromigration seems to be a statistical problem with some failuresoccurring far below the mean value. This can cause early failure of theelectrical circuits if there is no circuit redundancy. Electromigration can beminimized and the statistical spread can be lessened by process control,burn-in of the circuits to eliminate the metallizations most prone to failure(infant mortality), addition of dispersed particles (1 - 4% Cu in Al), multilayeringof the metallization (e.g., 3000 Å Al alternated with 50 - 100 Å Ti) or the useof cap (passivating) material.[121] Electromigration kinetics are dependent onthe composition and structure of the film.[122]

5.9 Density

The density of a material depends on: (i) composition, (ii) closed porosity(void) volume, and (iii) definition of the surfaces. Densities are given in g/cm3.

A deposited material may easily have several atomic percent of foreignmaterial incorporated into the lattice or may easily be off-stoichiometry by anappreciable amount, and this composition variation affects the density of thedeposit. Voids affect the density in an obvious way.

Density may be measured by several general techniques: (i) geometry-property relationships, and (ii) displacement-flotation techniques

In the geometry techniques, the size or thickness of the sample isdetermined as well as some property such as mass or x-ray absorption. Forexample, Rutherford Backscattering may be used to give the areal atomdensity and a profilometer can be used to give the geometrical thickness.[123]

Page 695: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

698 Deposition Technologies for Films and Coatings

Properties other than mass must be related to the mass by knownproperties or calibration. A principle difficulty with this technique is in definingthe surface in order to make accurate thickness measurements.

The displacement techniques include: (i) micropycnometry, (ii) densitygradient column, (iii) hydrostatic weighing, and (iv) volume displacement.

The most accurate techniques have been developed to study radiation-induced void formation in metals and utilizes hydrostatic weighing of smallsamples (30 mg) with an electrobalance to a precision of 0.04%.[124] Densitygradient columns utilize a thermal gradient in liquids of varying density (liquiddensities to 3.2 gr/cm3). The sample will float at a level of the same densityfluid (watch out for buoyant air bubbles attached to the sample). Calibrationfloats are used to determine the fluid density.

Pycnometry involves the displacement of a liquid or gas from a containerof accurately known volume and the weight of the sample.

Density is often related to other film properties such as chemical etchrate, corrosion, compressive strength, index of refraction, etc.

5.10 Porosity

The porosity in a deposit may consist of: (i) open porosity where the poresare interconnected, (ii) closed porosity where the pores are isolated and notinterconnected, and (iii) through-porosity where the pore extends through thedeposit from the surface to the interface. Typically, a deposit will contain bothopen and closed porosity to some extent. A material with closed porosity willshow a decrease in density while a material with open porosity will not (asmeasured by many of the techniques described under density measure-ments). Voids is another term used for isolated pores, while microvoids is theterm used for very small voids down to clusters of lattice vacancies (fewangstroms in diameter).

Voids in the bulk of the material form by the growth processes or byagglomeration of defects during or after deposition. Voids in the bulk affectdensity of the material, the deformation and fracture properties of the material,and the thermal and acoustic transmission of the material.

Closed voids in materials are typically measured and studied by: (i)density measurements or (ii) transmission electron microscopy (TEM).

In TEM, the sample is thinned and the voids are observed directly byusing the underfocus-overfocus technique. Voids as small as 7Å in diametermay be resolved using this technique.

Page 696: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Non-Elemental Characterization of Films and Coatings 699

Open porosity may be of several forms. Uniformly distributed intercon-nected pores develop as the pore volume becomes large (greater than about5%). Oriented porosity develops due to the growth mode of the depositedmaterial such as forming a columnar morphology. Through-porosity oftendevelops because a substrate-surface discontinuity gives geometrical shad-owing of the depositing flux.

Open porosity can affect material properties in both desirable andundesirable ways. Generally undesirable effects include: (i) high surfaceareas, (ii) easy access to the interfacial region, and (iii) easily deformedmaterial. A high surface area results in: (i) high chemical etch rates, (ii) highcorrosion rates, (iii) easy contamination/difficult cleaning, and (iv) dependenceof properties (e.g., resistivity) on surface effects (e.g., oxidation). Easyaccess to the interfacial region may result in; (i) interfacial corrosion (loss ofadhesion) and (ii) rapid diffusion paths (surface diffusion).

Desirable effects of porosity include: (i) less residual film stress, (ii) lowthermal conductivity (thermal barriers), (iii) higher resistance to thermal shock,and (iv) reduced mass transport effects such as grain growth.Open porosity in thick deposits may be measured by:

1. Mercury porosimetry

2. Gas absorption/desorption (BET [Brunauer-Emmett-Teller],[125] Surface Acoustic Wave attenuation

3. Dye penetrants - fluorescence, radioactive

In mercury porosimetry the sample is immersed in mercury and pressure(0.5 to 30,000 psia ) is used to force mercury into the pores (3.2 nm to 213microns) (mercury intrusion).[126] The smaller the pores, the greater thepressure that is needed to force the mercury into the pores. Force vs. volume-change is then a measure of the pore volume distribution. Because of the“bottle-neck” effect, the measurement is often biased toward the small poresize. Calculations of pore size depend on the contact angle between mercuryand the material being measured.

Through-porosity, or cracks through metal films, on metal substratesmay be measured by electrographic printing[127] where a chemical solution ina paper or gel is placed in contact with the film and a copper electrode is placedbehind the paper. The electrode is made the cathode and the substrate ismade the anode and a current is passed through the system (typically 200 mA,30 sec). The paper is then observed for spots which indicate that some of thedissolved substrate material has reacted with the chemical solution.

Page 697: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

700 Deposition Technologies for Films and Coatings

Some electrographic porosity tests are listed below:

Deposit Reagent solution (Indication)

Au on Cu Potassium ferricyanide (brown spots)

Ag on Cu Potassium ferricyanide (brown spots)

Sn on Fe Potassium ferricyanide (blue spots)

Au on Ni Ammoniacal dimethylglyoxime (red spots) and sodium chloride

Cr on Ni Dimethylglyoxime (pink spots)

Cu on Fe Dimethylglyoxime (deep cherry red spots)

Ni on steel Sodium chloride (rust spots) + hydrogen peroxide

Zn or Cd on steel Sodium hydrosulfide (black spots)

Porosity through thin dielectric films on metallic substrates may bemeasured by:[128]-[131]

1. Corrosion (liquid, gas)

2. Selective chemical dissolution (electrographic printing,solution analysis)

3. Electrochemical decoration

4. Anodic current measurement

5. Gas bubble generation (electrolytic)

6. Absorption (dyes, radioactive materials, liquids, gases)

Porosity through metal films on metallic substrates may be measured by:

1. Corrosion - selective of substrate materials

2. Selective chemical dissolution

3. Anodic currents (controlled potential)[132]

4. Corrosion potentials (anodic polarization)

Figure 12.5 shows the corrosion products that have built up in a pore ina gold film on a Kovar® surface.

A special case of porosity measurement is the use of a SurfaceAcoustic Wave (SAW) device where a film deposited on a piezoelectriccrystal adsorbs gases, changes the mass, and thus the acoustic dampen-ing.[133] From the adsorption/desorption curves, a pore size distribution canbe calculated. The SAW configuration is capable of detecting mass changesof 100 picograms/cm2.

Page 698: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Non-Elemental Characterization of Films and Coatings 701

5.11 Chemical Etch Rate (Dissolution)

The chemical etch rate of a material depends on density, surface area,intrinsic stress, stoichiometry, solution strength, and dissolution rate of thebulk, fully-dense stoichiometric material. Chemical etch rates are primarilyused as a comparative technique.[134] Reactive Plasma Etching (RPE) andReactive Ion Etching (RIE) are versions of chemical etching which use aplasma to activate the reactive species, which react with the surface, givinga volatile reaction product. The film microstructure and phase distribution canhave an important effect on the RIE etch rate and uniformity.[135]

6.0 SUMMARY

This discussion has given the reader an indication of the factors in filmdeposition technologies and film growth that affect the properties of theresulting material. Some simple characterization techniques have beendiscussed but there are many more to be found in the literature. The need forreproducible samples and characterization specifications has been stronglyemphasized.

Figure 12.5. SEM photograph of corrosion products emerging from a pore in a goldcoating on Kovar®. Corrosion was performed in a moist UV/O3 atmosphere.

Page 699: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

702 Deposition Technologies for Films and Coatings

REFERENCES

1. Perry, A. J., Thin Solid Films, 135:73 (1986)

2. Walters, S., Mechanical Engineering, p. 38 (April 1984)

3. Mattox, D. M., Adhesion Measurement of Thin Films, Thick Films andBulk Coatings, (K. L. Mittal, ed.), p. 54, ASTM STP 640, AmericanSociety for Testing and Materials (1978)

4. Mattox, D. M., Plasma Surface Engineering, (E. Broszeit, W. D. Munz,H. Oechsner, K.-T. Rie, and G. K. Wolf, eds.) 1:15, Informations-gesellschaft, Verlag (1989)

5. Greene, J. E., Proc. NATO Advanced Studies Institute on Plasma-surface Interactions and Processing of Materials, Alicante, SpainNATO ASI Series, (Sept 4-16, 1988) to be published

6. Mattox, D. M., “Surface Preparation” Ch. 6, this volume

7. Surface Mobilities on Solid Materials - Fundamental Concepts andApplications, (V. T. Binh, ed.), NATO ASI Series, Series B, PhysicsVol. 86, Plenum Press (1983)

8. Lewis, B. and Anderson, J. C., Nucleation and Growth of Thin Films,Academic Press (1978)

9. Mattox, D. M., J. Appl. Phys., 37:3613 (1966)

10. Miranda, R. and Rojo, J. M., Vacuum, 34:1069 (1984)

11. Chidsey, C. E. D., Loiacono, D. N., Sleaton, T., and Nakahara, S., Surf.Sci., 200:45 (1988)

12. Olumura, K., J. Electrochem. Soc., 128:571 (1981)

13. Philofsky, E., Solid State Electronics, 13:1391 (1970)

14. Mattox, D. M. and Cuthrell, R .E., MRS Proc., Vol. 119, (D. M. Mattox,J. E. E. Baglin, R. E. Gottschall, and C. D. Batich, eds.) MaterialsResearch Society (1988)

15. Movchan, B. A. and Demchishin, A. V., Fiz Met Metalloved, 28:653(1969)

16. Mattox, D. M. and Kominiak, G. J., J. Vac. Sci. Technol., 9:528 (1972)

17. Bland, R. D., Kominiak, G. J., and Mattox, D. M., J. Vac. Sci. Technol.,11:671 (1974)

18. Thornton, J. A., J. Vac. Sci. Technol., A4:3059 (1986)

19. Messier, R., Giri, A. P., and Roy, R. A., J. Vac. Sci. Technol., A2:500(1984)

20. Meissier, R. and Yehoda, J. E., J. Appl. Phys., 58:3739 (1985)

21. Berg, S., Blom, H-O., Larsson, T., and Nender, C., J. Vac. Sci.Technol., A5:202 (1987)

22. Geis, M. W., Lincoln, G. A., Efremow, N., and Piacentini, W .J., J. Vac.Sci. Technol., 19:1390 (1981)

Page 700: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Non-Elemental Characterization of Films and Coatings 703

23. Winters, H. F., Coburn, J. W., and Chuang, T. J., J. Vac. Sci. Technol.,B1:469 (1983)

24. Harper, J. M. E., Cuomo, J. J., and Henzell, H. T. G., Appl. Phys. Lett.,36:456 (1980); also Appl. Phys. Lett., 37:540 (1980)

25. Hoffman, R. W., Thin Solid Films, 89:155 (1982)

26. Pulker, H. K., Thin Solid Films, 89:191 (1982)

27. Singh, R., J. Appl. Phys., 63(8):R59 (1988)

28. Wie, C. R., Yang, J. Y., Tombrell, T. A., Grant, R. W., and Housley, R.M., Vacuum, 38:157 (1988)

29. Metals Handbook, 9th. ed., Vol. 10, (R. Wahn, ed.), American Societyfor Metals, Metals Park, OH 44073 (1986)

30. Good, R. J., J. Adhesion, 8:1 (1976)

32. Pulker, H. K., Perry, A. J., and Berger, R., Surf. Technol., 14:25 (1981)

33. Kinloch, A. J., [polymer] J. Mat. Sci., 15:2141 (1980)

34. Adhesion Aspects of Polymeric Coatings, (K. L. Mittal, ed.), Plenum(1981)

35. Mattox, D. M., J. Vac. Sci. Technol., 10:47 (1973)

36. Baglin, J. E. E., Ion Beam Modification of Insulators, (P. Mazzolsdi,and G. Arnold, eds.), Ch. 15, Elsevier (1987)

37. Benjamin, P. and Weaver, C., Proc. Royal Soc., 261A:516 (1961)

38. Laugier, M., Thin Solid Films, 75:L19 (1981)

39. Kominiak, G. J. and Mattox, D. M., J. Electrochem. Soc., 120:1535(1973)

40. Hershkovitz, M., Blech, I. A., and Komem, Y., Thin Solid Films, 130:87(1985)

41. Kikuchi, A., Baba, S., and Kinbara, A., Thin Solid Films, 124:343 (1985)

42. Zito, R. R., Thin Solid Films, 87:87 (1982)

43. Bascom, W. D., Becher, P. F., Bitner, J. L., and Murday, J. S.,Adhesion Measurement of Thin Film, Thick Film and Bulk Coatings, (K.L. Mittal, ed.), ASTM STP 640, pp. 63-82 (1977)

44. Oh, T. S., Cannon, R. M., and Richie, R. O., J. Am. Cer. Soc., 70:C352(1987)

45. Hintermann, H. E., J. Vac. Sci. Technol., B2:816 (1984)

46. K’Singam, L. A., Dickenson, J. T., and Jensen, L. C., J. Am. Cer. Soc.,68:510 (1985)

47. Speight J.D . and Bill, M. J. Thin Solid Films, 15:325 (1973)

48. Ray, S. K. and Lewis, R. K., Thin Solid Films, 131:197 (1985)

49. Mattox, D. M., unpublished results

50. Krzyzanowski, S., Sylwestrowicz, W. D., J. Mat. Sci. Lett., 1:35 (1982)

Page 701: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

704 Deposition Technologies for Films and Coatings

51. Hothersall, A. W. and Leadbeater, C. J., J. Electrodepositers Tech.Soc., 14:207 (1938)

52. Venables, J. D., J. Mat. Sci., 19:2431 (1984)

53. Yasuda, H. K., Sharma, A. K., Hale, E. B., and James, W. J., J.Adhesion, 13:269 (1982)

54. Grosskreutz, J. C. and McNeil, M. B., J. Appl. Phys., 40:355 (1969)

55. Smith, W. L., Rosecwaig, A., Willenborg, D. L., Opsal, J., and Taylor,M. W., Solid State Technol, 29:85 (1986)

56. Mittal, K. L., J. Adhesion Sci. Technol., 1:247 (1987)

57. Mittal, K. L., Electrocomponent Sci. Technol., 3:21 (1976)

58. Davies, D. and Whittaker, J. A., Metallurgical Rev., 12:15 (1967); Metalsand Materials, 1 (1967)

59. Valli, J., Makela, U., and Matthews, A., Surf. Eng., 2:49 (1986)

60. Chapman, B. N., J. Vac. Sci. Technol., 11:106 (1974)

61. Brown, D. J., Windle, A. H., Gilbert, D. G., and Beaumont, P. W. R.,J. Mat. Sci., 21:314 (1986)

62. Yoon, Il-B., Jpn. J. Appl. Phys. Suppl., 2 Pt 1:849 (1974)

63. Perry, A. J., Thin Solid Films, 107:167 (1983)

64. Perry, A. J., Thin Solid Films, 78:77 (1981)

65. Laugier, M. T., J. Vac. Sci. Technol., A5:67 (1987)

66. Laugier, M. T., J. Mat. Sci. Lett., 5:253 (1986)

67. Prasad, S. V. and Kosel, T. H., J. Matl. Sci. Lett., 3:133 (1984)

68. Hintermann, H. E., J. Vac. Sci. Technol., B2:816 (1984)

69. Je, J. H., Gyarmati, E., and Naoumidis, A., Thin Solid Films 135, 57,86

70. Jankowski, A. F., J. Mat. Sci., 22:346 (1987)

71. Jellison, J. L., IEEE PHP-11:206 (1975)

72. Anderholm, N. C. and Goodman, A., Patent # 3,605,486 (Sept 20, 1971)

73. Vossen, J. L., Adhesion Measurements of Thin Films, Thick Films andBulk Coatings, (K. L. Mittal, ed.), ASTM STP-640, pp. 122-131, ASTMPublications (1978)

74. Dini, J. W. and Johnson, H. R., Rev. Sci. Instrum., 46:1705 (1975)

75. Van de Leest, R. E., Thin Solid Films, 124:335 (1985)

76. Aithal, S., Rousset, G., Bertrand, L., Cielo, P.,and Dallaire, S., ThinSolid Films, 119:153 (1984)

77. Berndt, C. C. and Miller, R. A., Thin Solid Films, 119, 173 (1984)

78. Mattox , D. M., Mullendore, A. W., Whitley, J. B., and Pierson, H. O.,Thin Solid Films, 73:101 (1980)

79. Sherrington, I. and Smith, E. H., Wear, 125:241 (1988)

Page 702: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Non-Elemental Characterization of Films and Coatings 705

80. Sherrington, I. and Smith, E. H., Wear, 125:289, 1988 81. Cuthrell, R. E., Mattox, D. M., Peeples, C. R., Dreike, P. L., andLamppa, K. P., J. Vac. Sci. Technol., A6(5):2914 (1988) 82. Ferrandino, F., Metal Finish, 84(5):29 (1986) 83. Yaghmour, S. and Neal, W. E. J., Surf. Technol., 25:297 (1985) 84. Rajora, O. S. and Curzon, A. E., Thin Solid Films, 123:235 (1985) 85. Piegari, A., and Masetti, E., Thin Solid Films, 124:249 (1985) 86. Pliskin, W. A. and Zanin, S. J., Handbook of Thin Film Technology, (L.I. Maissel, and R. Glang, eds.), Ch. 11, McGraw-Hill (1970) 87. Li, C. Y., Black, R. D., and LaFontaine, W. R., Appl. Phys. Lett., 53:31(1988) 88. Patten, J. W., McClanahan, E. D., and Johnson, J. W., J. Appl. Phys.,42:4371 (1971) 89. Kominiak, G. J. and Mattox, D. M., J. Electrochem. Soc., 120:1535(1973) 90. Ogawa, K., Ohkoshi, T., Takeuchi, T., Mizoguchi, T., and Matsumoto,T., Jpn. J. Appl. Phys., 25:695 (1986) 91. Brenner, A. and Senderoff, S., J. of Research of the National Bureau ofStandards, Research Paper RP1954, 42:105-123 (Feb 1949) 92. Kouyumdjiev, C. N., Surf. Technol., 26:35 (1985) 93. Kouyumdjiev, C. N., Surf. Technol., 26:45 (1985) 94. Kouyumdjiev, C. N., Surf. Technol., 26:57 (1985) 95. Sotirova, G. and Armyanov, S., Surf. Coat. Technol., 28:33 (1986) 96. Kouyumdjiev, C. N., Surf. Coat. Technol., 28:39 (1986) 97. Pulker, H. K., Thin Solid Films, 89:191 (1982) 98. Cuthrell, R. E., Gerstile, F. P., and Mattox, D. M., Rev. Sci. Instrum.,60(6):1018 (1989) 99. Hauk, V. M. and Macherauch, E., Adv. X-ray Anal., 27:81 (1983)100. McRae, E. G. and Malic, R. A., Surf. Sci., 163:L702 (1985)101. Angus, H. T., Wear, 54:33 (1979)102. Microindentation Techniques in Material Science, (Blau and Lawn,eds.), ASTM Special Publication No. 889 (1986)103. Blau, P. J., Metallography, 16:1 (1983)104. Dirks, A. G., van den Broek, J. J., and Wierenga, P. E., JAP 55:4248(1984)105. Wierenga, P. E. and Franken, A. J. J., JAP 55, 4244, 84106. Jonsson, B. and Hogmark, S., Thin Solid Films, 114:257 (1984)107. Oliver, W. C., Mat. Res. Soc. Bull., 11(5):15 (1986)108. Doerner, M. F. and Nix, W. D., J. Mat. Res., 1:601 (1986)

109. Bourcier, R. J., Stone, C. M., and Yost, F. G., Sandia Report SAND85-0486, (Sept 1985)

Page 703: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

706 Deposition Technologies for Films and Coatings

110. Bourcier, R. J., Nelson, G. C., Hayes, A. K., and Romig, A. D., Jr, J.Vac. Sci. Technol., A4:2943 (1986)

111. Schmale, D. T., Bourcier, R. J., Martinez, E., Sandia Laboratory ReportSAND86-0509 (April 1986), available from NTIS

112. Cook, S. and Latham, R. V., Surf. Coat. Technol., 27:379 (1986)

113. Angadi, M. A., J. Mat. Sci., 20:761 (1985)

114. Valdes, L. B., Proc. IEEE (IRE), 42:420 (1954)

115. Keenan, W. A., Johnson, W. H., and Smith, A. K., Solid State Technol,28(6):143 (1985)

116. Cohen, S. S., Thin Solid Films, 104:361 (1983)

117. Cohen, S. S., Gildenblat, G., Ghezzo, M., and Brown, D. M., J.Electrochem. Soc., 129:1335 (1982)

118. Albers, J. and Berkowitz, H. L., J. Electrochem. Soc., 132:2453 (1985)

119. Albers, J. and Berkowitz, H. L., J. Electrochem. Soc., 131:392 (1984)

120. Esqueda, P., Octavio, M., and Callarotti, R. C., Thin Solid Films, 89:33(1982)

121. Teal, V., Vaidya, S., and Fraiser, D. B., Thin Solid Films, 136:21 (1986)

122. Felton, L. E., Schwartz, J. A., Pasco, R. W., and Norbury, D. A., J.Appl. Phys., 58:723 (1985)

123. Antilla, A., Koskinen, J., Bister, M., and Hirvonen, J., Thin Solid Films,136:29 (1986)

124. Pratten, N. A., J. Mat. Sci., 16:1737 (1981)

125. Young, D. M. and Cromwell, A. D., The Physical Absorption of Gases,Butterworth Pub. (1962)

126. Rootare, H. M., Advanced Experimental Techniques in Powder Metallurgy,(J. S. Horschorn and K. H. Roll, eds.), 5:225, Plenum (1970)

127. Tvarusko, A. and Hinterman, H. E., Surf. Technol., 9:209 (1979)

128. Kern, W., RCA Rev, 34:655 (1973)

129. Kern, W. and Comizzoli, R. B., J. Vac. Sci. Technol., 14:32 (1977)

130. Kern, W., Solid State Technol., 17:78 (1974)

131. Kern, W., Solid State Technol., 17:35 (1974)

132. Morrissey, R. J., J. Electrochem. Soc., 119:446 (1972)

133. Martin, S. J., Frye, G. C., Ricco, A. J., and Zipperian, T. E., Proc. IEEE,(1987); Ultrasonics Symposium, p. 563, (1987)

134. Pliskin, W. A., Physical Measurement and Analysis of Thin Films, (E.M. Murt and W. G. Guldner, eds.), Ch. 8, Plenum Press (1969)

135. Adachi, S., Susa, N., J. Electrochem. Soc., 132:2980 (1985)

Page 704: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

707

13

Nucleation, Film Growth, andMicrostructural Evolution

Joseph E. Greene

1.0 INTRODUCTION

The primary deposition variables which determine the nucleation andgrowth kinetics, microstructural evolution, and, hence, physical properties offilms grown by physical vapor deposition (evaporation and sputter depositionin all of their various forms, Chs. 4, 5, and 6) are: the film material, the incidentfilm flux, the kinetic energy E of species incident at the film growth surface,the film growth temperature Ts, the flux of contaminants, and the substratematerial, surface cleanliness, crystallinity, and orientation. These representthe control variables that the crystal grower has at his disposal to tailor theproperties of as-deposited materials. Note that the flux of contaminantswhich competes with the flux of film material for incorporation duringdeposition is strongly dependent upon the base pressure, pumping speed,and the design of the vacuum system (e.g., whether a substrate loadlock isused to circumvent repeated air-exposures) while substrate surface clean-liness depends also upon pre-deposition processing.

The kinetic-energy of the incident film flux during film growth by thermalevaporation, for which E is of the order of 0.1 eV, is determined by thetemperature of the evaporant source. However, in plasma or ion-beamdeposition techniques, E can be increased up to several hundred eV. Low-energy (often < 100 eV) ion irradiation during vapor-phase film growth hasbeen shown to be useful in controllably altering the physical properties

Page 705: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

708 Deposition Technologies for Films and Coatings

of as-deposited layers through trapping, preferential sputtering, enhancedadatom diffusion, and dynamic collisional mixing.[1]-[4]

This chapter is organized in the following manner. Section 2.0 dealswith nucleation and the early stages of film growth. Microstructure develop-ment in both the ballistic-aggregation, low-adatom-mobility, limit and in theadatom migration regime are discussed in Sec. 3.0 while Sec. 4.0 reviewsmicrostructural evolution and structure-zone diagrams. The important roleof low-energy ion/surface interactions in all stages of film growth is treatedin Sec. 5.0. Atomic-level mechanisms, the generation of point and extendeddefects, as well as the relationship of film properties such as stress to growthmechanisms is discussed throughout the chapter using experimental as wellas computer-simulated results.

2.0 NUCLEATION AND THE EARLY STAGES OF FILM GROWTH

There are three primary modes of film growth on substrates[5] asillustrated schematically in Fig. 13.1. During three-dimensional (3-D) island,or Volmer-Weber, growth, small clusters are nucleated directly on thesubstrate surface. The clusters then grow into islands which in turn coalesceto from a continuous film as shown schematically in Fig. 13.2. This type ofgrowth occurs when the film atoms are more strongly bound to each otherthan to the substrate as is often the case for metal films on insulators orcontaminated substrates. Two-dimensional (2-D) layer-by-layer, or Frank-van der Merwe, growth occurs when the binding between film atoms is equalto or less than that between the film atoms and the substrate. In addition tothe obvious example of homoepitaxial growth on a clean substrate, there arenumerous other examples of 2-D growth in metal-metal (e.g., Cd on W) andsemiconductor-semiconductor (e.g., Ga1-xAlxAs on GaAs) systems.

The third growth mode, often referred to as Stranski-Krastanov, is acombination of the first two. In this case, after first forming one or moremonolayers, further layer growth becomes unfavorable and 3-D islandsform. The transition from 2-D to 3-D growth is not completely understood butcan be driven in some cases by the release of elastic energy stored in the filmdue to film/substrate lattice mismatch. This growth mode occurs much morefrequently in metal-metal and metal-semiconductor systems than was origi-nally believed. A typical example of such a system is In on Si(100)2x1.[6]

Page 706: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Nucleation, Film Growth, and Microstructural Evolution 709

Figure 13.2. Schematic representation of the island density n as a function of thecoverage θ during three-dimensional growth. The value nmax is the maximum islandnumber density. The island formation rate dn/dt decreases and becomes negativewith increasing θ due to island coalescence. The remaining channels and voids arefilled by “secondary nucleation,” island growth, and coalescence.

Figure 13.1. Schematic representation of three film growth modes. θ is theoverlayer coverage in monolayers (ML).

Page 707: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

710 Deposition Technologies for Films and Coatings

2.1 Three-Dimensional Nucleation and Growth

Figure 13.3 schematically illustrates the essential features involved in3-D nucleation and growth. An impinging flux of film species must first bethermally accommodated with the substrate. This typically occurs within afew vibrational periods. The adatoms can then diffuse on the surface tointeract with other adatoms or re-evaporate. A fraction of the adatom clusterscontinue to grow in size and become islands which in turn coalesce to forma continuous film. Note that at sufficiently high deposition rates R or lowdeposition temperatures such that R > NoDs, where No is the substratesurface site number density (of the order of 1015 cm-2 depending uponmaterial and orientation) and Ds is the adatom surface diffusivity, the film isamorphous. This occurs since the adatoms do not have enough time todiffuse across the surface and find low energy sites before they are buriedby subsequently deposited adatoms. Covalently and ionically bondedmaterials have low packing densities and strong bonding directionality, andare thus easily deposited in the amorphous state. Metals, on the other hand,exhibit much higher diffusivities and are considerably more difficult to obtainin the amorphous state.

Figure 13.3. Schematic representation of processes leading to three-dimensionalnucleation and film growth.

From Fig. 13.3, the minimum thermodynamic requirements to obtainnet deposition would appear to be that the condensate pressure P in the gasphase equal its equilibrium vapor pressure Pe over the solid. Actually

Page 708: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Nucleation, Film Growth, and Microstructural Evolution 711

however, the supersaturation ratio S = P/Pe must be larger than one sincesmall particles such as nuclei have a larger vapor pressure than that of bulkmaterial due to their high surface-to-volume ratio.

A large surface-to-volume ratio also leads directly to the requirementthat clusters must be greater than a certain minimum critical size in order forgrowth to occur. This is easiest to understand in the case of homogeneousnucleation such as the formation of an embryonic ice particle in water cooledbelow its freezing point Tm. At temperatures less than Tm, solidificationlowers the volume free energy ∆Gv of the system and is, hence, favorable.However, the formation of the solid particle increases the total Gibbs freeenergy ∆G since it introduces new interfacial surface area. The differencebetween the decrease in ∆Gv and the increase in the free energy Γ resultsin a free energy activation barrier for nucleation. That is, the incipient clustersmust reach a critical size before the volume term overcomes the surface termand stable nuclei are formed.

Most theoretical treatments of heterogeneous three-dimensionalnucleation from the vapor phase are an extension of homogeneous nucle-ation theory using the capillarity, or droplet model.[7]-[9] More sophisticatedtreatments are also available.[5][10][11] However, the capillarity model hasthe virtue of being simple while still retaining all the essential physicalfeatures observed in experiments. In any case, all models, even the moresophisticated ones, suffer from several shortcomings. For example, macro-scopic thermodynamic values, which are not strictly appropriate for smallclusters, are used for surface energies, free energies of formation, contactangles, etc. In addition, convenient geometries, e.g., a spherical cap, areused to represent nuclei which are often anisotropic or crystallographic inshape.[6] Thus, for clarity of discussion, the capillarity model will be used inthis chapter.

Assume that a cluster of mean dimension r forms on a solid surface.The cluster has a surface area a1r2 exposed to the vapor phase, a contactarea a2r2 with the substrate, and a volume a3r3 where the ai terms areconstants of geometry. The total free energy of the cluster with respect todissociation into the vapor phase is

Eq. (1) ∆G = a1r2Γc-v + a2r2Γs-c - a2r2Γs-v + a3r3∆Gv

Γc-v is the positive free energy associated with the formation of a new surfacebetween the condensate and the vapor phase; Γs-c, which may be eitherpositive or negative, is the surface free energy between the substrate and the

Page 709: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

712 Deposition Technologies for Films and Coatings

condensate; and the term a2r2Γs-v accounts for the disappearance of freesubstrate area.

The problem can be simplified if we consider an isotropic geometrysuch as a spherical cluster. Equation 1 then becomes

Eq. (2) ∆G = 4/3(π r3) ∆Gv + 4π r2Γc-v

Equation 2 is plotted in Fig. 13.4 showing that there is an activation free-energy barrier ∆G* to nucleation. Clusters larger than the critical size r* canlower their free energy by continuing to grow while clusters with r < r* willdissolve. An expression for the critical cluster size r* can be obtained bymaximizing ∆G in Eq. 2, i.e., by setting δ (∆G) /δr = 0, and solving to yield

Eq. (3) rGv

* = − 2Γ∆

where, for simplicity of notation, Γ is used to represent Γc- v. The free energybarrier is then obtained by substituting Eq. 3 into Eq. 2 to give

Eq. (4)( )2

3

3

16

vGG

Γ=∆ ∗ π

The term ∆Gv in Eqs. 3 and 4 can be evaluated using a generalexpression of the combined first and second laws of thermodynamics

Eq. (5) d(∆G) = VdP - SdT

where V and S are the system volume and entropy, respectively. Substitut-ing the ideal gas law PV = kT at constant temperature,

Eq. (6) d G kT dPP

( )∆ =

Since ∆Gv is just ∆G/Ω where Ω is the volume of an adatom, then

Eq. (7) ∆Ω Ω

GkT P

P

kTv

e= =ln ln( )S

Substituting Eq. 7 into 3 gives

Eq. (8) rkT

*ln( )

= − 2ΩΓS

Page 710: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Nucleation, Film Growth, and Microstructural Evolution 713

Equation 8 shows that r* decreases as the supersaturation S increas-es. S can be increased either by increasing P, i.e. increasing the incident fluxof condensing species, or by decreasing Pe which depends exponentially onthe growth temperature Ts. That is, r* decreases as Ts decreases. An orderof magnitude estimate of r* can be obtained from Eq. 8. Γ for a noble metalis of the order of 1000 ergs/cm2. Assuming a supersaturation of 2 x 104 at300 K gives r* ≈ 0.5 nm. This is in reasonable agreement with experimentalresults[7] obtained by the use of field electron microscopy (FEM) andtransmission electron microscopy (TEM) observations fitted to nucleationkinetics models.[5][10] Such models, originally developed by Zinmeister,[11a]

are based upon rate theory in which a set of differential equations of the form

Eq. (9a)dndt

R n J Jd

ii

1 11

1

2= − − −≥∑τ

Eq. (9b) ( )2 11 ≥−= − iJJ

dt

dnii

Figure 13.4. Schematic diagram showing free energy vs. the radius r of aspherical nuclei.

Page 711: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

714 Deposition Technologies for Films and Coatings

is used to describe the nucleation process. ni is the number density ofclusters containing i atoms, τd is the mean residence time of an adatom,and Ji is the net capture rate of adatoms by clusters of size i atoms. Themean residence time τd depends exponentially on the desorption energyEd and Ji is proportional to the product of a capture cross-section and theadatom surface diffusivity Ds(Ts) which is, in turn, exponentially depen-dent upon the activation energy for surface diffusion Es. The experi-ments involve measuring ni as a function of R and Ts to obtain criticalnuclei sizes (typically one to a few atoms at low temperatures) andactivation energies for adatom surface diffusion and desorption.

As illustrated in Fig. 13.3, critical nuclei can grow by direct impinge-ment and capture of vapor-phase species and by the capture of con-densed adatoms diffusing across the substrate surface. At low cover-ages, the second mechanism dominates as nuclei capture any adatomswithin a diffusion distance x = (2 Dsτs)1/2 where τs is the mean timebetween surface diffusion jumps. Nucleation density measurements byTEM, carried out as a function of R and Ts, thus provide an estimate ofx and, hence, Ds.

A schematic illustration of sequential steps during the early stagesof three-dimensional film growth, as determined from transmission elec-tron microscopy (TEM) studies, is shown in Fig. 13.5. Supercritical nucleiare first observed at sizes corresponding to the resolution of the micro-scope. With further deposition time, the average nuclei size as well asthe number density n increases. n, however, reaches a maximum at avalue typically in the range from 1010 to 1012 cm-2 corresponding to anaverage island size of 10 to 100 nm. Continued deposition then leads toa decrease in n due to coalescence of adjacent clusters. Coalescenceis often described as occurring in a “liquid-like” manner although electrondiffraction results show that is generally a solid-state reaction. (Note thatliquid clusters have been reported for low melting point, Tm, films grown athigh Ts/Tm ratios[12] due to the depression in the freezing point associatedwith small clusters.[13]

As large islands continue to grow by capture of mobile adatoms andsmall clusters as well as by coalescence with nearby smaller islands andoccasionally with other large islands, the film becomes semi-continuouswith a network of channels and holes. Secondary nucleation, nucleigrowth, and island coalescence can also occur in the voids. Thesecondary islands are then incorporated into the growing film as itbecomes continuous.

Page 712: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Nucleation, Film Growth, and Microstructural Evolution 715

Figure 13.6, taken from the work of Donohoe and Robins,[14] is a plotof the number density of evaporated Au nuclei on NaCl(100) as deter-mined by replication TEM studies carried out as a function of depositiontime.[15] The experiments were performed in ultrahigh vacuum using adeposition rate of 0.1 nm/min onto vacuum-cleaved substrates maintainedat 250oC. The number density n reached a maximum of ≈1.5 x 1011 cm-2 afterdepositing an equivalent thickness of ≈1 nm. A series of electron micro-graphs which provided some of the data for Fig. 13.6 are shown in Fig. 13.7.Both n and the average island size are increasing in the sequence Figs. 13.7a(0.5 min of deposition) through 13.7d (8 min of deposition) with a significantamount of coalescence clearly observable in Fig. 13.7d.

A series of micrographs illustrating the nature of the morphologicalchanges which occur during coalescence are shown in Fig. 13.8 from thework of Pashley et al.[15] on the growth of Au on MoS2 at 400oC. The clusterslabeled A and B in Fig. 13.8a, observed at time t, have well-definedcrystallographic shapes. As the islands touch in Fig. 13.8b, taken 1 to 2 slater, there is a very rapid mass transport between them. The driving forcefor coalescence is a reduction in surface energy causing the islands tobecome taller and more rounded. Their total projected area on the substrate

Figure 13.5. Schematic illustration of sequential steps during nucleation and theearly stages of film growth. (From Ref. 8.)

Page 713: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

716 Deposition Technologies for Films and Coatings

is decreased allowing further secondary nucleation. In the early stages ofdeposition when the fractional coverage is still relatively low, compositeislands after coalescing can once more assume a crystallographic shape asshown in Fig. 13.8c which was taken at time (t + 60) s. Recrystallization,especially for the smaller islands, can also occur during coalescence. Thistends to eliminate mutual misorientation and provide an eventual averagegrain size which is much larger than the average nuclei size prior tocoalescence.

As islands continue to coalesce, holes and channels are left in anotherwise continuous film. These voids are eventually filled by secondarynucleation and island growth. Figure 13.9[15] is a sequence of transmissionelectron micrographs showing the liquid-like bridging and filling of a channelin a Au overlayer on MoS2. Islands resulting from secondary nuclei are alsovisible.

Films which display a dense population of small islands during the initialstages of deposition will become continuous at a relatively low average filmthickness, typically a few nm to a few tens of nm. However, films consistingof only a few large islands during the early stages of deposition will exhibitan island structure which persists up to relatively large average filmthicknesses.

Figure 13.6. Nuclei number density as a function of deposition time for Auevaporated onto NaCl(100). The deposition rate R and growth temperature Ts were1013 cm-2 s-1 and 250oC, respectively. (From Ref. 14.)

Page 714: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Nucleation, Film Growth, and Microstructural Evolution 717

Figure 13.7. Replication transmission electron micrographs of Au islands onNaCl(100) as a function of deposition time: (a) 0.5 min, (b) 1.5 min, (c) 4 min, (d) 8min, (e) 10 min, (f) 15 min, (g) 30 min, and (h) 85 min. The deposition rate R andgrowth temperature Ts were 1x1013 cm-2 s-1 and 250oC, respectively. (From Ref.14.)

Page 715: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

718 Deposition Technologies for Films and Coatings

Figure 13.8. Successive transmission electron micrographs showing the coales-cence of Au islands (labeled A and B) on MoS2 during deposition at 400oC. (FromRef. 15.)

Figure 13.9. Successive transmission electron micrographs showing the filling ofa channel during Au deposition on MoS2 at 400oC. (From Ref. 15.)

Page 716: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Nucleation, Film Growth, and Microstructural Evolution 719

The average thickness at which three-dimensionally nucleated filmsbecome continuous depends primarily upon the film and substrate materials,the supersaturation, and Ts. This can be demonstrated by re-deriving Eq. 3,this time accounting for all of the terms in Eq. 1. The critical cluster size thenbecomes

Eq. (10) ra a a

a G

c v s c s v

v* =

− + −− − −2

3

1 2 2

3

Γ Γ Γ∆

Thus, r* can be decreased, leading to lower average thicknesses, <t>,required for obtaining a continuous films, by choosing a low surface-energycondensate, a high surface-energy substrate, and a condensate/substratecombination with a low interfacial energy.

Equation 10 also shows that r*, and hence <t>, varies inversely with∆Gv. Therefore, following the earlier discussion of Eq. 8, <t> shoulddecrease with an increase in the deposition rate (i.e., the degree of super-saturation) at constant growth temperature, and with a decrease in Ts atconstant R. Note, however, that orders-of-magnitude changes in R arerequired in order to significantly affect r* since ∆Gv only depends logarithmi-cally on the supersaturation. Finally, for given values of both R and Ts, lowervalues of r* and <t> are also expected for materials which have high boiling(or sublimation) temperatures such as W, Mo, Ta, Pt, and Ni. This followsfrom the fact that they exhibit lower equilibrium vapor pressures, and hencehigher supersaturation rates, than low-boiling-temperature materials depos-ited under the same conditions.

The average grain size <d> of thin polycrystalline films, except in thecase of deposition under conditions which result in very low adatom surfacemobility, will be larger than the critical nucleus size and, generally, largereven than the average island size upon coalescence. However, <d> willusually be less than the film thickness t and will increase with increasing t.Typical results are shown in Fig. 13.10a, for evaporated InSb films oncleaved mica substrates.[16] The films were grown at ambient temperaturesand then annealed at 465oC. Similar results were obtained by Greene andWickersham[17] for sputter deposited InSb on CaF2(111). The latter authorsalso found (see Fig. 13.10b) that for a given film thickness, <d> increasedwith both increasing Ts and decreasing R as expected. Increasing Ts resultsin an increase in adatom diffusivity while decreasing R provides adatoms alonger time to find low-energy sites before they become buried by subse-quent layers.

Page 717: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

720 Deposition Technologies for Films and Coatings

Figure 13.10a. Average grain size <d> vs. film thickness t for InSb layers depositedby evaporation onto cleaved mica substrates at ambient temperature and thenannealed at 465oC. (From Ref. 16.)

Figure 13.10b. Average grain size <d> normalized to the film thickness t plotted asa function of the growth temperature Ts and the deposition rate R of InSb layersdeposited on CaF2(111) substrates by rf sputtering. (From Ref. 17.)

Page 718: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Nucleation, Film Growth, and Microstructural Evolution 721

In addition to large-angle grain boundaries, a high density of othermechanical defects such as dislocations, dislocation loops, twins, stackingfaults, and low-angle boundaries are often observed in polycrystalline films.Electron microscopy studies[18] have shown that islands during the initialstages of epitaxial film growth are essentially perfect crystallites. However,as coalescence occurs, the observed defect density increases rapidly.Figure 13.11[19] shows dislocation densities as a function of the thickness ofAu films evaporated onto cleaved MoS2(111) at 300oC. Such dislocations,as well as other line and volume defects, form for a variety of reasons. Islandrotation and recrystallization to eliminate misorientation during coalescencebecomes more difficult in the later stages of growth as the islands becomelarger. Stresses in continuous films due to film/substrate lattice constant andthermal expansion mismatch can be partially relieved by the generation ofclimbing dislocations. Contamination can also play an important role byinhibiting island re-orientation and recrystallization during coalescence.[20]

2.2 Two-Dimensional Nucleation and Growth

Much of the discussion of three dimensional nucleation in the abovesection evolved from the implicit assumption in Eq. 1 that

Figure 13.11. Dislocation density as a function of film thickness t for Au layersdeposited by evaporation onto cleaved MoS2(111) substrates at 300oC. (From Ref.19.)

Page 719: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

722 Deposition Technologies for Films and Coatings

Eq. (11) ( )vccsvs rarara −−− Γ+Γ<Γ 21

22

22

In other words, the net surface free energy associated with the formation ofa cluster is positive. This led directly to the establishment of a free-energybarrier (or, equivalently, a critical cluster size) to be overcome in order forembryonic clusters to grow and, in turn, required that the supersaturationnecessary to obtain film growth be greater than unity. The assumptionrepresented by Eq. 11 is generally a good one. However, there are cases,such as, for example, the growth of material A on a clean single-crystalsurface of A in ultra-high vacuum (UHV), where Eq. 11 does not hold and nonucleation barrier exists. Instead, growth occurs in a quasi layer-by-layerfashion with the motion of steps, which may be as small as one monolayer,across the surface. In the presence of such steps, often insured by the useof substrates with vicinal surfaces, growth can proceed with supersatura-tions approaching unity. Even in the absence of an initially high step density,steps can be created under conditions of high supersaturation.

A more general description of the requirement for a film/substratesystem to exhibit two-dimensional nucleation is that the desorption energyof film atoms condensed on the substrate be equal to or larger than thedesorption energy of film atoms condensed on other film atoms. That is,

Eq. (12) Ed,s ≥ Ed,f

where Ed,s and Ed,f are the desorption energies for film adatoms on sub-strate and film surfaces respectively. One obvious way to fulfill thisrequirement is to grow a film on a substrate of the same material, e.g., Si onSi or GaAs on GaAs, under very clean conditions. In the case of Si, it wasshown by Joyce et. al.[21] that small traces of carbon, near the detection limitof Auger spectroscopy (≈ 0.01 monolayer), resulted in island formationduring film growth on Si(111)7x7 surfaces. Reflection high-energy electrondiffraction (RHEED) analysis showed that the carbon was in the form of β-SiC particles.[22] Removing the carbon by flash heating the sample to ≥1200oC,[21] sputter cleaning and annealing,[23] or depositing a thick bufferlayer over the contaminated surface[24] resulted in two-dimensional nucle-ation and growth of Si films by molecular beam epitaxy (MBE),[24] chemicalvapor deposition (CVD),[23] and sputter deposition.[25]

RHEED has long been used as an in situ diagnostic technique forinvestigating surface reconstruction and roughness during film growth.However, more recently, it has been applied to in situ nucleation studies (see,for example, Refs. 26 - 28). In one mode of operation, the time variation of

Page 720: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Nucleation, Film Growth, and Microstructural Evolution 723

the intensity (RHEED oscillations) of a particular RHEED reflection isrecorded. RHEED oscillations occur due to periodic changes in island andstep number densities (i.e., alternate surface roughening and smoothening)during two-dimensional growth. Examples are shown in Fig. 13.12 for MBEGaAs growth at Ts = 600oC on a vicinal (100) substrate cut at an angle towardthe [110] direction to provide terrace lengths of 280 nm. The deposition rate(controlled by the Ga flux) was varied such that the time to deposit onemonolayer (one oscillation period) was: (a) 150 s, (b) 40 s, (c) 16 s, and (d)5 s. Note that both the amplitude and the minimum value of the intensityoscillations decrease with increasing Ga flux indicating a rougher surface athigher deposition rates.

Van Hove and Cohen[27] used the data in Fig. 13.12 together with atwo-level diffraction model to extract surface-adatom diffusion lengths. Theoverall decrease in oscillation amplitude which occurs with increasingdeposition time is an indication of increasing surface roughness. Van Hoveand Cohen also showed that extremely smooth growth surfaces can beobtained by starting with vicinal substrates having very short terrace lengthssuch that the dominate growth mode is step propagation and islandformation is minimized. In this case, RHEED oscillations are not observedsince the average terrace lengths do not change with time. Rockett[29][30]

has recently applied Monte-Carlo simulation techniques to model surfaceroughening during two-dimensional Si growth and used the results tocalculate RHEED oscillations.

Figure 13.12. RHEED intensity oscillations during GaAs MBE growth on a vicinal(100) GaAs substrate at 600oC. The deposition flux was varied such that the timeto deposit one bilayer was: (a) 150 s, (b) 40 s, (c) 16 s, and (d) 5 s. (From Ref. 27.)

Page 721: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

724 Deposition Technologies for Films and Coatings

Two-dimensional heterostructure growth has also been investigatedusing RHEED oscillations. Figure 13.13 shows that the recovery time for theintensity of a specular RHEED beam from (100)2x4 reconstructed surfacesis much longer for Ga0.79Al0.21Ga layers than for GaAs[31] indicating that themean adatom diffusion length decreases in the presence of Al. Note that onlyone monolayer of GaAs on (Ga,Al)As dramatically decreases the recoverytime.

The growth of rare-gas crystals on a variety of substrates also fulfills theconditions given by Eq. 11. Price and Venables[32] have used in situtransmission electron microscopy to investigate the two-dimensional nucle-ation of fcc Ar, Kr, and Xe crystals on graphite. Uniform epitaxial layer

Figure 13.13. RHEED intensity data showing differences in the recovery time forthe intensity of a specular beam after shuttering the group-III effusion cells duringthe MBE growth of GaAs and (Ga,Al)As on GaAs(100). (From Ref. 31.)

Page 722: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Nucleation, Film Growth, and Microstructural Evolution 725

growth of Xe was observed at substrate temperatures between 9 and 55 Kand for incident fluxes from 2 x 1014 to 1017 cm-2 s-1. The orientationrelationships observed were Xe(111) || C(0001) and Xe(220) || C(1010).The authors noted that, as in the case of Si epitaxy, small amounts ofsubstrate surface contamination were sufficient to cause the nucleationmode to revert to three-dimensional.

An interesting metallic heterostructure system which exhibits two-dimensional nucleation, Cd on W, was investigated by Wagner andVoorhoeve.[33] The authors used a combination of mass spectrometricdesorption measurements as a function of Ts and overlayer coverage θ withreplication TEM to study nucleation and the initial stages of crystal growth inUHV. They found that the desorption energy of Cd on W was greater thanthat for Cd on Cd. On clean polycrystalline W substrates, Ed decreased froman initial value of 2.2 eV at low coverages to a value approximately equal tothe heat of sublimation of bulk Cd, 1.2 eV, at a coverage of a few monolayers.Within a given W grain, Cd grew epitaxially at Ts ≈ 100oC. Film growthoccurred with no barrier to nucleation, regardless of coverage, and nosupersaturation was required. The introduction of a small amount of oxygen(less than a monolayer) reduced the growth rate, provided a nucleationbarrier which changed the nucleation mode to three dimensional, andinhibited epitaxial growth.[34] The replication electron micrographs in Fig.13.14 show the effect of oxygen contamination very graphically. Otherexamples of two dimensional growth of metals on metals include Pb onCu(111)[52] and Bi on Cu(100).[36]

Heteroepitaxial films which are grown in a layer-by-layer fashion areoften pseudomorphic with the substrate up to a critical thickness at whichmisfit dislocations are generated. That is, in thin layers the lattice constantmismatch is accommodated through elastic strain and the film/substrateinterface is coherent. Figure 13.15[37] shows measured changes in filmlattice constants as a function of thickness for PbSe on PbTe, PbSe on PbS,and Au on Pd. The first two films were grown at 230oC while the latter wasgrown at 300oC. The lattice of PbSe is ≈ 5.3% smaller than PbTe leaving thefilm in tension during growth while the other two systems correspond to theintroduction of a compressive stress in the film. In each case, the latticeconstant of very thin films, < 2 nm, was approximately equal to that of thesubstrate. As the films became thicker, they were less elastic and their latticeconstant increased towards the bulk film value. At critical film thicknesses,the interfaces became incoherent as dislocations and other mechanicaldefects were generated.

Page 723: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

726 Deposition Technologies for Films and Coatings

Figure 13.14. Replication transmission electron micrographs of (a) Cd depositedepitaxially on polycrystalline W by evaporation in UHV (from Ref. 33) and (b) Cddeposited on polycrystalline W in the presence of less than a monolayer of oxygencontamination (from Ref. 34). The upper and lower micrographs illustrate “layer-by-layer” and three-dimensional nucleation and growth, respectively.

Page 724: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Nucleation, Film Growth, and Microstructural Evolution 727

Figure 13.15. Film/substrate lattice-constant mismatch plotted as a function of filmthickness for: (a) PbSe on PbTe, (b) PbSe on PbS, and (c) Au on Pd. (From Ref.37.)

Page 725: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

728 Deposition Technologies for Films and Coatings

Eltoukhy and Greene[38] found that sputter-deposited single-crystalInSb/GaSb(100) interfaces (room-temperature lattice-constant mismatch≈ 7%) became completely incoherent in a superlattice with layer thicknessesof ≥ 3.5 nm. This was in good agreement with theoretical predictions. Usingexpressions derived by Matthews and Blakeslee,[39] the critical thicknessesfor (100) and (111) interfaces were calculated to be 2 and 5.7 nm respec-tively. In the (110) case, incoherence was associated with the generationof 60o dislocations with ½a<110> Burgers vectors on 111 slip planes.

Another interesting example of pseudomorphism is the MBE growth ofGexSi1-x alloys on Si(100) at 550oC.[40] Single-crystal films with completelycoherent interfaces, as judged by cross-sectional TEM, were obtained withalloys having compositions out to at least 50 at. % Ge. The critical thicknesswas found to vary from ≥ 10 nm for films with x = 0.5 up to ≈250 nm forGe0.2Si0.8. These thicknesses are quite large, certainly larger than would bepredicted from an equilibrium analysis of strain energy (room temperature Si-Ge lattice mismatch, ≈ 4.5%) and dislocation formation.[40a][40b]

2.3 Stranski-Krastanov Nucleation and Growth

Stranski-Krastanov growth refers to a mixed-mode in which the filminitially nucleates two-dimensionally and then transforms to three-dimen-sional growth. A wide variety of systems including Cu on Ag(111),[41] Cu onMo(100),[42] Sb on W(110),[43] K on W(100),[44] Ag on Si(111)7x7,[45] In onSi(100)2x1,[6] and InAs/GaAs(100)[46] follow this growth mode. The namederives from a calculation by Stranski and Krastanov[47] in which theyshowed that for a monovalent ionic crystal M+X- condensing onto a divalentM2+X2- substrate, the second M+X- layer is less strongly bound, while the firstM+X- epitaxial layer is more tightly bound, than the surface layer of a bulk MXcrystal. The normalized desorption energy as a function of film layerthickness is shown schematically in Fig. 13.16[48] for representative two-dimensional (Xe/graphite),[49] three-dimensional (Au/KCl), and Stranski-Krastanov (K/W) systems.

Figure 13.17, is a plot of the peak-to-peak intensities of differentiatedAuger electron spectroscopy (AES) In 404 eV MN4,5N4,5 and Si 92 eVLM2,3M2,3 lines as a function of In coverage θ In on Si(100)2x1 at Ts = 70oC.[6]

The data were taken in situ during an MBE nucleation experiment andprovide, together with RHEED and low-energy electron diffraction (LEED)data, a clear signature of Stranski-Krastanov growth. The solid line inFig. 13.17 represents calculated Si AES intensities ISi based upon two-

Page 726: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Nucleation, Film Growth, and Microstructural Evolution 729

dimensional growth. The escape depth for Si Auger electrons through theIn overlayer was obtained by fitting data from the first In monolayer (ML).

Figure 13.17. Peak-to-peak intensities I of differentiated Si 92 eV and In 404 eVAuger lines as a function of In coverage θ on Si(100)2x1 substrates at 70 and 300oC.A calculated curve for ISi vs. θ at 70oC, assuming two-dimensional growth, is alsoshown. (From Ref. 6.)

Figure 13.16. Adatom desorption energy Ed plotted as a function of the number ofadlayers for Au on KCl, Xe on graphite, and K on W. Each system is representativeof a different growth mode. (From Ref. 48.)

Page 727: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

730 Deposition Technologies for Films and Coatings

During deposition of the first three monolayers at Ts = 70oC, themeasured Auger intensities were in good agreement with the intensitiescalculated for two-dimensional growth. At higher coverages, however, therate of change of the experimentally obtained intensities was much less thanthat of the calculated curves, indicating the onset of three-dimensionalgrowth on top of the two-dimensional adlayer. The local maximum in IIn andthe corresponding local minimum in ISi at θ ≈ 3.5 ML in Fig. 13.17 is due tothe fact that nucleation of three-dimensional islands requires a criticalsupersaturation of adatoms in order to obtain nuclei of critical size r* (seeSec. 2.1). However, once nuclei with r > r* were formed, further growthoccurred three-dimensionally. Note that at Ts = 300oC, three-dimensionalgrowth begins at θIn = 1 ML.

Figures 13.18a and 13.18b show high-resolution scanning electronmicrographs of the surfaces of clean Si(100) samples with In coverages of6 and 200 ML, respectively. Island growth is seen to be highly oriented withthe islands forming single-crystal polyhedra having major axes along the[011] and [011 ] directions. Island orientations were easily obtained fromelectron channeling patterns of the Si(100) substrate since the islandscovered only ≈ 1% of the surface in Fig. 13.18a and ≈ 7% in Fig. 13.18b.

The quasi “one-dimensional wire” shaped In islands that form along<011> directions at θIn > 3 ML exhibit a clear crystallographic relationshipwith the underlying substrate. However, contamination of the substrate withless than 0.01 ML of oxygen and carbon prior to deposition completelyaltered the nucleation mode from Stranski-Krastanov to three-dimensionalgrowth of hemispherical islands. The formation of the elongated islands onclean substrates was explained based upon diffraction [6][50] and sychnrotronXPS core-level studies[51] of the In-stabilized Si(100)2x2-In surface whichhas one-dimensional channels along <011> directions. Assuming that theSi(100)2x1-In surface has similar channels, one would expect higher adatommobility down the channels rather than across them. Enhanced mobilitiesalong surface channels have been observed directly for fcc and bcc metalsurfaces using field-ion microscopy and atom-probe techniques.[52]

3.0 COMPUTER SIMULATIONS OF MICROSTRUCTUREEVOLUTION

Continued deposition past the nucleation stage eventually leads toisland coalescence and the growth of a continuous layer. The film micro-structure, especially during deposition at relatively low temperatures, contin-ues to evolve from the nucleation coalescence stage often through many

Page 728: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Nucleation, Film Growth, and Microstructural Evolution 731

Figure 13.18. Scanning electron micrographs of polyhedral In islands onSi(100)2x1 for coverages θ of 6 and 200 monolayers (Ts = 70°C). The islandsare oriented along [011] and [011] directions. (From Ref. 6.)

Page 729: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

732 Deposition Technologies for Films and Coatings

hundreds of nanometers to micrometers of film thickness, before reaching asteady-state configuration. Recent computer simulations of microstructuralevolution have been shown to qualitatively explain many of the featuresobserved experimentally (see Sec. 4 for a discussion of experimentalresults). In addition, the simulations provide a very useful tool for visualizing,as well as for testing, atomistic models of film growth.

3.1 Film Growth in the Ballistic Aggregation, Low-Adatom Mobility,Limit

The cross-sections of both polycrystalline and amorphous films depos-ited from the vapor phase at low temperatures are typically composed ofopen columnar structures with extended voids along the column boundaries.(“Low-temperatures” in the above sense generally corresponds to deposi-tion temperatures Ts which are less than ~0.3 of the melting point Tm of thedeposited material). Figure 13.19 is a scanning electron micrographshowing an example of such a microstructure observed in a Cr film depositedby cylindrical-magnetron sputter deposition onto a glass substrate cooled byliquid nitrogen.[53] (For reviews of early literature citing many examples ofopen columnar microstructures, see Refs. 54 and 55.) The porous networkin such columnar films results in poor mechanical properties together withoptical properties which are very sensitive to the environment, due toadsorption of water vapor and other atmospheric contaminants in the voidsupon air-exposure. Other properties, such as magnetization and electricalresistivity, are extremely anisotropic.

Figure 13.19. Scanning electron micrograph of a Cr film deposited by cylindrical-magnetron sputter deposition onto a glass substrate cooled by liquid nitrogen.(From Ref. 53.)

Page 730: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Nucleation, Film Growth, and Microstructural Evolution 733

Monte Carlo computer simulations[54]-[58] have shown that the opencolumnar structure is caused by low-adatom mobilities combined with self-shadowing by previously deposited atoms. Figure 13.20 shows the resultsof ballistic aggregation simulations of film growth using hard-sphere atomswhich are incident at randomly chosen surface positions.[54] The adatomsare not allowed to diffuse over the surface but only to relax into the nearest-lying cradle formed by at least two deposited atoms. As a consequence,extended microvoid formation leading to columnar structures occurs due toatomic self-shadowing by protruding clusters and small ledges. The simu-lated microstructures in Fig. 13.20 are slices, five atom diameters thick,through three-dimensional arrays. The columnar structure becomes in-creasing more noticeable with larger angles of incidence α, measured withrespect to the substrate surface normal, of the vapor flux.

Figure 13.20. Monte-Carlo computer simulations of amorphous films depositedwith incident flux angles a of (a) 45°, (b) 60°, and (c) 75°. (From Ref. 54.) The figuresshow slices, five atoms thick, through three-dimensional arrays.

Films with underdense columnar microstructures such as shown in Fig.13.19 are typically found to exhibit in-plane tensile stress.[59]-[61] This wasshown by Müller,[62] using molecular-dynamic computer simulations of thegrowth of a Lennard-Jones metal, to be due to attractive interatomic forcesin microvoided regions. Note, however, that contamination along intercon-

Page 731: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

734 Deposition Technologies for Films and Coatings

nected column and void boundaries following air-exposure of the film canresult in large, and time-dependent, changes in measured stress values.

3.2 Effects of Adatom Migration

Müller[58] has included adatom migration effects in microstructure-evolution growth simulations. He allowed thermally-activated adatoms tojump to empty neighboring sites of maximum coordination number. Theactivation barrier ∆E for migration on a terrace from a site i with Ni neighboringatoms to site j with Nj neighbors was assumed to be

Eq. (13) ∆E = Q if Ni < Nj

= (Nj - Ni)Φ + Q otherwise

where Q is the activation energy for surface diffusion and Φ is the energy ofa single bond. Boltzmann statistics were used to simulate fluctuations inadatom vibrational energy. Müller found that above a critical temperaturerange the porous columnar microstructure changes to a configuration ofmaximum packing density. That is, the hopping rate of adatoms to shadowedregions becomes large enough to exceed the rate of void incorporation.Figure 13.21 shows calculated results for a two-dimensional Ni lattice (Φ =- 0.74 eV) deposited at a rate of 1 nm s-1 with a vapor impingement angle α= 45o. The lower three atom layers in the figure correspond to the substrate.The typical open columnar structure characterizing low adatom mobilitygrowth was obtained at Ts = 350 K. Increasing the deposition temperatureto 420 K resulted in a film with much higher density, although still columnar.At Ts = 450 K, a fully dense film with local defects was obtained.

Müller’s simulations also predict that the temperature range over whichthe transition occurs from a film with a columnar microstructure to one thatis densely packed increases slowly with increasing deposition rate. Figure13.22 shows results for a Ni film deposited at α = 45o in which R wasincreased from 0.01 to 100 mn s-1. The four orders of magnitude increasein deposition rate raised the “transition” temperature from 340 to 525 K sincehigher adatom migration rates were required to overcome the larger voidincorporation at higher deposition rates.

Page 732: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Nu

cleation

, Film

Gro

wth

, and

Micro

structu

ral Evo

lutio

n735

Figure 13.21. Computer-simulated two-dimensional microstructures of Ni films deposited with an incident flux angle α = 45o,deposition rate R = 1 nm/s, and growth temperatures Ts of (a) 350 K, (b) 420 K, and (c) 450 K. The deposition time, t, is shown.(From Ref. 58.)

Page 733: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

736 Deposition Technologies for Films and Coatings

Monte Carlo simulations by Srolovitz and co-workers[63[[64] have re-cently demonstrated mechanisms by which “grain growth”, leading to bimodelgrain size distributions, can occur during film deposition at temperatureswhich are too low for significant grain boundary migration in the bulk, but highenough to allow sufficient adatom diffusion for grain boundary migration tooccur at the free surface. In this model, the evolution of grain size isdetermined by the curvature of the grain boundaries intersecting the growthsurface. The introduction of grains with low surface energy, i.e. crystallo-graphic texture, in the early stages of film deposition was found to greatlyaccelerate grain growth.[64]

4.0 MICROSTRUCTURE EVOLUTION AND STRUCTURE-ZONEMODELS

Movchan and Demchisin[65] were the first to categorize microstruc-tures observed in vapor-deposited films using a structure-zone diagram(SZD) in which the general features were schematically illustrated as afunction of the normalized growth temperature, Ts/Tm. The SZD, repro-duced in Fig. 13.23, was based on the results of their studies of themicrostructure of thick (0.3x103 - 2x103 µm) Ti, Ni, W, ZrO2, and Al2O3

coatings deposited by high-rate (of the order of 100 - 700 µm h-1)

Figure 13.22. Calculated packing densities as a function of growth temperature Tsfor Ni films grown at a vapor impingement angle α = 45o and depositions rates R =0.01, 1, and 100 nm/s. Ta, Tb, and Tc are the “transition temperatures” for the threeR values. (From Ref. 58).

Page 734: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Nucleation, Film Growth, and Microstructural Evolution 737

electron-beam evaporation as well as general features reported by otherresearchers. Based primarily upon optical metallographic studies, Movchanand Demchisin concluded that their deposited coatings could be representedas a function of Ts/Tm in terms of three zones, each with its own characteristicmicrostructure and physical properties.

Microstructures in zone 1 (Ts/Tm < 0.2 - 0.3) consisted of taperedcrystals with domed tops which are separated by voided boundaries. Theinternal structures of the crystals, on the scale of the resolution of opticalmetallography, was poorly defined. The crystallite width increased withincreasing Ts/Tm following a dependence that implies an apparent activationenergy, 0.1 - 0.2 eV, which is too low to be explained by grain-growth (i.e.,bulk or surface diffusion) mechanisms. Zone 2 (Ts/Tm 0.3 - 0.5) microstruc-tures consisted of columnar grains separated by dense intercrystallineboundaries. The surface structure exhibited a more smooth matte appear-ance. Average grain widths <d> were typically less than the film thicknesst and increased with increasing Ts/Tm. The dependence of <d> upon Ts/Tm

yielded apparent activation energies of the order of that expected for surfacediffusion. Zone 3 (0.5 < Ts/Tm < 1) microstructures consisted of moreequiaxed grains and a bright (for metals) smooth surface. The apparentactivation energy for <d> as a function of Ts/Tm corresponded to that of bulk

Figure 13.23. Structure-zone diagram for thick high-rate evaporated films. T1 andT2 are the growth transition temperatures between zone-1/zone-2 and zone-2/zone-3 microstructures, respectively, as described in the text. (From Ref. 65.)

Page 735: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

738 Deposition Technologies for Films and Coatings

self-diffusion. The transition between zone 2 and zone 3 microstructure wasgradual, thus the boundary was drawn with a positive slope to it.

The simplicity of the Movchan and Demchisin SZD insured its popularityand many researchers showed that the general features represented in thediagram also applied to films whose thickness was of the order ofmicrometers rather than millimeters (see, for example, Ref. 66), to filmsdeposited by other techniques such as sputtering (see, for example, thereview by Thornton in Ref. 67), and to amorphous as well as polycrystallinematerials.[68]-[73]

Thornton[53][74] extended the Movchan and Demchisin SZD byadding an additional axis to account for the pressure of the sputtering gasduring cylindrical-post magnetron sputter deposition of 25 - 250 µm thickTi, Cr, Fe, Cu, Mo, and Al coatings. The effect of increasing Ar pressurein Fig. 13.24 is shown to increase the normalized temperatures at whichthe zone boundaries occur. However, the sputtering gas pressure P isnot a fundamental parameter. Rather, the pressure affects the filmmicrostructure through several indirect mechanisms. Increasing the

Figure 13.24. Structure-zone diagram showing schematic microstructures of filmsdeposited by cylindrical magnetron sputtering as a function of growth temperatureand Ar pressure. (From Ref. 53.)

Page 736: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Nucleation, Film Growth, and Microstructural Evolution 739

pressure to values such that the mean-fee path for elastic collisions betweensputtered (or evaporated) species and the fill gas becomes of the order of thesource-substrate distance increases the oblique component of the deposi-tion flux resulting in a more open zone-1 type structure. In addition,decreasing the pressure during sputter deposition results in increasedenergetic-particle bombardment, and hence densification, of the growingfilm as discussed below in Sec. 5. Finally, it has been proposed thatincreasing the inert gas pressure during deposition leads to a decrease inadatom mobilities.[53] While this is undoubtedly true, it is probably not a majoreffect since the surface residence time of physisorbed inert gas species isvery short, and hence the steady-state coverage is quite low.

Thornton also added an additional region, labeled zone T in Fig. 13.24,to his SZD which consisted of a “dense array of poorly defined fibrous grains”which represented the transition between zones 1 and 2. He defined thezone T structure as the limiting form of the zone 1 structure at zero Ts/Tm.[67]

That is, the zone T “fibers” formed the internal structure of the zone 1crystallites. Examples of fracture cross-sections of metal coatings exhibitingzones 1, T, and 2 structures are shown in Fig. 13.25. The coatings weredeposited using cylindrical hollow-magnetron sputtering at the Ts/Tm valuesindicated and examined by scanning electron microscopy (SEM).

Grovenor et al.[75] have further modified earlier SZDs based upon theresults of their plan-view transmission electron microscopy (TEM) examina-tions of evaporated metal films with thicknesses of either 100 nm (Ni, Pt, Au,Cu, Al, Pb, Ti, Co, W, and Cr) or 9 - 14 µm (Ni and Ni-Al alloys thinned fromboth sides and examined at a thickness of ≈ 5-7 µm from the substrate).These researchers observed that the tapered columns in the zone-1 struc-ture are not single grains but are composed of bundles of small grains (withsizes of order of tens of nm depending upon film thickness) which arerelatively equiaxed. Their zone 1 corresponded to Ts/Tm < 0.1 while zone T,in which the small-grained substructure consisted of a bimodel distributionof sizes, extended to Ts/Tm = 0.3.

Substructure was also observed in sputter-deposited amorphous Gefilms by Messier et al.[73] using a combination of SEM, TEM, and field-ionmicroscopy. The microstructure of the a-Ge films consisted of columnswhich were observed to be composed of smaller columns spanning severaldifferent size scales, in a fractal-like behavior,[76] ranging from ≈ 2 nm to 300nm. Messier further extended earlier SZDs by adding a film thickness axisto underscore the evolutionary nature of film microstructure as the dominantfeatures move through different observable size scales.

Page 737: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

740D

epo

sition

Tech

no

log

ies for F

ilms an

d C

oatin

gs

Figure 13.25. Scanning electron microscopy cross-sections of metal coatings deposited by cylindrical magnetron sputtering in Arillustrating (a) zone-1 microstructure, (b) zone-T microstructure, and (c) zone-2 microstructure. (From Ref. 53).

Page 738: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Nucleation, Film Growth, and Microstructural Evolution 741

The researchers proposing the structure-zone diagrams describedabove discuss several mechanisms which play important roles in determin-ing microstructural development. That is, the columnar structure with openvoided boundaries characteristic of zone 1 is formed due to atomic self-shadowing and clustering effects which occur in the very low adatom-mobility, or ballistic aggregation, growth regime. Increasing adatom surfacediffusion at higher growth temperatures (zones T and 2) give rise to denser,although still columnar, structures while grain growth (recrystallizationduring deposition) occurs at deposition temperatures above ≈ 0.5 Tm.Grovenor et al.[75] also point out that activation energies of grain boundariesare a function of their crystallography. Thus, even at low temperatures (e.g.,zone T), a few boundaries could become mobile.

It is important to note that while SZDs provide a useful, simple, methodof qualitatively categorizing observed film microstructures, they do notprovide quantitative insight into the mechanisms of film growth. Moreover,one should take care in using such diagrams to “predict” expected filmmicrostructures and properties since film-growth kinetics are strongly de-pendent on other factors in addition to Ts/Tm. For example, substrate surfaceroughness due to poor substrate preparation,[67] or patterning as used inmicroelectronic device fabrication,[77] can promote zone-1 behavior atelevated temperatures by enhancing shadowing due to oblique depositionangles.

Contamination can play a significant, and often controlling role, indetermining film microstructure. Even very small concentrations of chemi-cally-reactive species such as oxygen can have dramatic effects on nucle-ation and growth kinetics as discussed in Sec. 5.2. In terms of SZDs,contamination generally reduces adatom mobilities[78][79] and thereforeacts to promote zone-1 structures. Substrate material, crystal structure,and orientation are also important (see discussion below in Sec. 5.2). Thisis illustrated in the cross-sectional TEM (XTEM) micrographs in Fig.13.26 which show microstructures of polycrystalline TiN films depositedby reactive magnetron sputter deposition on a two-phase high-speed-steel substrate.[80] Even at Ts = 200oC (Ts/Tm = 0.15), the microstructureof the film grown over the substrate martensetic matrix is a densecolumnar structure (zone 2) with single-crystal columns (as determined byselective-area diffraction), many of which extend through the entire ≈ 4-µm-thick film. Over the VC precipitates in the substrate, large TiN grains grewepitaxially and, at higher growth temperatures (Ts = 500oC, Ts/Tm = 0.24)also extended throughout the thickness of the film. Low-energy particle

Page 739: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

742D

epo

sition

Tech

no

log

ies for F

ilms an

d C

oatin

gs

Figure 13.26. Cross-sectional transmission electron micrographs of the microstructure of TiN films deposited by reactive magnetronsputtering on the martensitic matrix and the MC carbide phase (VC) of high-speed steel substrates at (a) 200oC, (b) 450oC, and (c)550oC. (From Ref. 80).

Page 740: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Nucleation, Film Growth, and Microstructural Evolution 743

bombardment of the substrate and growing film during deposition, asoften found in sputtering and plasma-assisted deposition techniques anddiscussed in detail in the following section, can also have significant effectson film microstructure.

5.0 EFFECTS OF LOW-ENERGY ION IRRADIATION DURING FILMGROWTH

Low-energy (often < 100 eV) ion and fast-neutral irradiation duringvapor-phase film growth has been shown to be useful for controllably alteringthe microstructure of as-deposited layers. In PVD, ion irradiation during filmgrowth is commonly used in glow-discharge bias sputter deposition (see Ch.5). In addition, low-energy ion bombardment is also an important techniquefor modifying the properties of films deposited by primary-ion deposition(PID) and ion-assisted molecular-beam epitaxy (MBE) as well as by CVDtechniques such as plasma-assisted chemical vapor deposition (PA-CVD).

Examples of applications in which low-energy ion/surface interac-tions are used to modify film microstructure include: densification andincreased oxidation resistance in optical films; minimization or eliminationof columnar microstructure in microelectronic metallization layers; alteringthe state of stress, average grain size, and preferred orientation; increasedfilm/substrate adhesion; enhanced conformal coverage; controlling mag-netic anisotropy in recording layers; and low-temperature epitaxy. Althoughthe focus of this chapter is on microstructural effects, it is important to beaware that ion irradiation is also used to controllably alter film microchem-istry through collisionally-induced dissociative chemisorption,[81] preferen-tial resputtering,[82]-[86] and selective deposition on patterned substrates.[87]-

[89] Ion irradiation has been used to promote the growth of unique newsingle-crystal metastable semiconductors[90]-[92] and to increase elementalincorporation probabilities with a corresponding decrease in segregation-induced broadening of dopant profiles in MBE-grown Si.[93]-[95]

5.1 Effects of Low-Energy Ion/Surface Interactions on NucleationKinetics

As discussed in recent review articles,[1]-[4] there have been manyreports in the literature over the past 10 to 15 years on nucleation studies

Page 741: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

744 Deposition Technologies for Films and Coatings

involving low-energy ion irradiation during deposition. The experiments havebeen carried out by a variety of means including: evaporation in which aportion of the evaporant stream is ionized and accelerated to the substrate(e.g., ion plating or PID from solid-source ion guns), evaporation in thepresence of a separate ion source which provides the accelerated particlebombardment, and sputter deposition or PA-CVD with the application of asubstrate bias. Depending upon the deposition technique, there are, inaddition to ions which are purposely accelerated to the substrate, otherenergetic particles such as secondary electrons, ions reflected (generally asneutrals) from a sputtering target, UV photons, and sputtered speciesincident at the substrate and growing film. Although the average ejectionenergy of sputtered particles under typical deposition conditions is ≈ 5 - 20eV, there is a high energy tail in the sputtered atom velocity distributionextending well above this range.[96]

Since much of the early work concerning ion-irradiation effects onnucleation kinetics was carried out in low to medium vacuum, at least part ofthe observed effects were very likely due to sputter cleaning of the substrate.Donahue and Reif[97] have argued, for example, that this effect played amajor role in allowing the homoepitaxial growth of Si by PA-CVD from SiH4

at substrate temperatures as low as 650oC. It should be noted, however, thation irradiation sometimes causes increased substrate contamination, par-ticularly in the presence of high hydrocarbon background pressures, due tocollisionally-induced dissociative chemisorption.

Low-energy ion bombardment of the substrate and growing film canlead, in addition to sputter cleaning, to fundamental changes in nucleationkinetics. Examples of irradiation-induced effects include the production ofdefects in the substrate surface which can act as preferred adsorption sites,trapping or implanting of incident species in the near-surface region, thedissociation of small clusters during the early stages of growth, enhancedadatom diffusion, and local electric field effects due to charging. The effectswhich dominate in a given experiment depend upon the film/substratecombination, the energy Ei, flux Ji, and mass mi of the incident particles, andthe growth temperature Ts.

The number density of active ion-irradiation-induced preferentialnucleation sites n will be determined by the difference between theproduction rate, which is a function of Ei, Ji, and the ion/substratespecies, and the loss rate due to annealing (which increases with increasingTs) during deposition. Krikorian and Sneed[98] have shown, for example, thation irradiation can be used to either increase or decrease the nucleation rate dn/

Page 742: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Nucleation, Film Growth, and Microstructural Evolution 745

dt of Ge depending upon the choice of Ts and the substrate material. Figure13.27 shows a comparison of island densities n for Ge deposited onamorphous carbon substrates at 550oC by 5 keV Ar+ ion-beam sputteringfrom a Ge target, and by evaporation at similar deposition rates and in similarvacuum conditions, 10-7 to 10-8 Torr. The sputter-deposited films, whichwere subjected to bombardment by both fast sputtered Ge species (averageenergy ≈ 20 eV) and Ar ions backscattered from the target as fast neutrals,exhibited much higher nucleation rates. In addition, nuclei coalescencebegan to occur at considerably lower nominal film thicknesses in the sputter-deposited layers than in the evaporated films.

One of the first studies of the role of incident energetic species during3-D nucleation was carried out by Chapman and Campbell[99] who used veryhigh energy, 40 - 50 keV, Ar and Xe ion-beam sputtering to deposit Au onto

Figure 13.27. Ge island number densities n on amorphous carbon substrates asa function of time during deposition by evaporation (deposition rate R = 0.6 nm/min)and ion-beam sputtering (R = 0.53 nm/min). In both cases, the film growthtemperature Ts was 550oC. (From Ref. 98.)

Page 743: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

746 Deposition Technologies for Films and Coatings

NaCl(100) at Ts between 30 and 310oC. They reported an increase in themaximum number density nmax of Au islands and an enhancement in thedegree of (100) preferred orientation compared with results for thermalevaporation. Lane and Anderson[100][101] also reported increases in nmax forAu, sputtered using a 2 keV Ar ion gun, onto cleaved NaCl at Ts = 130 - 325oC.The latter authors fit their results using classical nucleation theory withadditional terms to account for the production of preferred adsorption sites.They assumed that these more strongly binding sites were due to defectsproduced in the NaCl substrate surface by bombardment with fast sputteredatoms.

Harsdorff and Jark[102] used an analogous argument to explain in-creased dn/dt and nmax values obtained for RF-sputtered Au vs. evaporatedAu films on cleaved NaCl substrates maintained at 270 - 360oC. Sputteringwas carried out in He at 30 mTorr and the authors used magnetic fields tosuppress electron and ion bombardment of the substrate. However, as in theprevious experiments, this still did not eliminate bombardment by energeticneutral particles reflected from the target. In fact, the backscattered flux isexpected to be particularly large in the latter experiments due to the largemass mismatch between He and Au.

There are other mechanisms for producing preferred sites such as thetrapping of incident particles due to low-energy “implantation” or adatomrecoil processes. In addition, the disordering of a crystalline substratesurface by ion-bombardment at low temperatures prior to, or during, the earlystages of deposition may lead to the production of new lower binding energysites. Barnett et al.[103] have recently used modulated-beam mass spec-trometry and thermally-stimulated desorption techniques to directly measureion-irradiation-induced changes in Sb binding energies on Si(100) surfaces.

Several authors (see, for example, Ref. 104) have reported adecrease in island number densities in the presence of ion irradiationleading to larger average island sizes <d>, for a given nominal filmthickness, and hence larger ultimate grain sizes. One mechanism whichhas been proposed[15] to provide increased <d> values is the depletion of smallclusters by sputtering and ion-induced dissociation.[105] As small clusters arereduced in size, they become more mobile on the surface and can diffuseto feed larger stable islands. Moreover, clusters reduced to subcriticalsize are energetically unfavorable and will spontaneously dissociate toform adatoms, some of which will desorb or diffuse to larger clusters. Onthe other hand, ion bombardment of large islands will only result in aminor loss in material by sputtering. These effects are expected to occur

Page 744: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Nucleation, Film Growth, and Microstructural Evolution 747

when growth conditions leading to high nucleation rates are combined with,for example, self-ion bombardment under conditions in which there is a highincident ion-to-vapor flux ratio.

Hasan et al.[105] have recently carried out experiments using boththermal In and partially-ionized In+ beams (ion-to-neutral ratio = 0.35) todeposit In islands on amorphous Si3N4 substrates in an ultra-high vacuum(UHV) MBE system. The low-energy ion beams were provided using theUHV metal-ion source described in Ref. 106. The deposition temperaturewas ≈ 30oC (Ts/Tm = 0.7 where Tm is the In melting point in K), the thermalIn flux was 3 x 1013 cm-2s-1, and the total flux was 4.6 x 1013 cm-2s-1. A seriesof depositions were carried out with nominal film thicknesses t of 1.5 and 10nm (the loss of material by sputtering was accounted for in determining t) withacceleration energies EIn of 0 (i.e., thermal), 150, 200, and 300 eV. Typicaltransmission electron microscopy (TEM) images of the resulting layers areshown in Fig. 13.28. For a given film thickness, ion irradiation clearly resultedin larger average island sizes. In fact, from island size distribution histogramsobtained using lower-magnification, larger-area, micrographs, <d> for filmswith t = 10 nm increased from 6.5 to 8 to 13 to 50 nm with increasing EIn.Another striking feature was the decrease in secondary nucleation at higheracceleration energies until at 300 eV essentially no islands were observedwith <d> ≤ 11 nm. (The minimum island size resolution was ≈ 1 nm). Thehistograms showed that the island size distributions were more uniform athigher EIn values.

Increases in <d> and decreases in the secondary nucleation rate wereexplained, as discussed above, as being due primarily to the loss of smallclusters (incipient islands) by ion-bombardment-induced processes. Thesuppression of secondary nucleation in these experiments led, in turn, tosignificant differences in island growth kinetics. In the accelerated-beamcase, island growth continued to be dominated by random surface-diffusionprocesses even for 10-nm-thick overlayers. However, in the thermal-beamdeposits, coalescence of small islands had a much stronger influence onisland growth resulting in the observed differences in island-size distribuions.

Ion irradiation can also directly enhance adatom diffusivities duringdeposition through the initiation of shallow collision cascades and theexcitation of surface phonons. However, the excess energy of incidentaccelerated ions, as well as the excess energy gained by adatoms involvedin individual collision cascades, is lost to the lattice, i.e., the atoms becomethermalized, within several vibrational periods. Thus this mechanism, whileit may be important in contributing to bombardment-induced decreases in the

Page 745: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

748 Deposition Technologies for Films and Coatings

Figure 13.28. Transmission electron micrographs of In islands on Si3N4 substrates.Results are shown for two different nominal film thicknesses, t = 1.5 and 10 nm. Theincident In beams were either thermal or partially ionized and accelerated to Ei =150, 200, or 300 eV. (From Ref. 105.)

Page 746: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Nucleation, Film Growth, and Microstructural Evolution 749

epitaxial temperature as discussed below, would not be expected to resultin enhanced diffusion over distances of more than several lattice spacings,except in special cases. One example of such a special case was pointedout by Dodson[107] who used molecular dynamic simulations to show thatlow-energy (≤100 eV) ions incident at grazing angles on single crystalsurfaces can exhibit translations of up to hundreds of nm via surfacechanneling.

In summary, it is clear that energetic particle bombardment can greatlyaffect 3-D nucleation and growth kinetics of polycrystalline films, and hence,as will be discussed in the next section, grain size, preferred orientation, anddefect concentrations. However, much work remains to be done to under-stand these effects in detail. Considerably less is known about the growthof epitaxial layers by accelerated beams.

Several years ago, Narusawa et al.[108] reported a decrease in theepitaxial temperature Te of MBE Si on Si(111) and Al2O3 (1102) by ionizinga small fraction of the evaporant flux and accelerating it to the substrate. Te

on both substrates was found to decrease by more than 100oC after ionizing≤ 1% of the incident Si flux and accelerating it to 200 and 100 eV, respectively.Beckers and co-workers[109][110] later developed a hot cathode discharge,high-energy (10 - 15 keV), UHV, mass-filtered ion beam system followed bya deceleration lens to provide accelerated beams of, for example, Ag+ andSi+ with currents of the order of 10 µA at energies between ~ 20 and 100 eV.With this apparatus, they were able to grow epitaxial films of Ag on Si(111)at room temperature using acceleration energies between 25 and 100 eV.Epitaxial Si layers were also grown on Ge(100), Si(100) and Si(111) at Ts ≥230oC using 50 eV Si+. Herbots et al.[111] have recently demonstrated thelow-temperature epitaxial growth of Si and Ge layers in UHV using deceler-ated beams from a modified Freeman ion source.

Possible mechanisms, other than sputter cleaning,[112][113] for ion-bombardment-induced enhancement in film epitaxy can be visualized usingmolecular dynamic simulations such as those by Müller (see, for example,Ref. 114). The use of an accelerated incident growth flux increases whatMüller refers to as the impact mobility[115] of adatoms resulting in an increase(of the order of a few lattice spacings in these T = 0 K simulations) in theaverage distance between the point of first interaction with the substratesurface and the position where the atom is finally adsorbed. In addition, asdiscussed in more detail in the following section, atomic rearrangements oflattice atoms occur during both the first few hundred femtoseconds (fs)

Page 747: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

750 Deposition Technologies for Films and Coatings

following a bombardment event and during the subsequent relaxation, orrefreezing, period.

Figures 13.29a and 13.29b show calculated layer-by-layer film densi-ties in which the first two layers are the upper substrate planes. A fully-denselayer in this two-dimensional simulation corresponds to 20 atoms per unitlength. The simulated Ni overlayer in Fig. 13.29a was deposited at Ts = 0 Kwith no ion bombardment while that in Fig. 13.29b was grown with simulta-neous 100 eV Ar ion irradiation using an ion-to-neutral flux ratio of 0.042. Thethermally-deposited overlayer had a high defect concentration and only thefirst four layers crystallized in the same orientation as the substrate. Subse-quent layers were disordered with no indication of texture. Figure 13.29b, onthe other hand, shows that ion irradiation during growth, even in the absenceof thermal diffusion, resulted in the deposition of well-oriented epitaxiallayers.

Tsao et al.[116] have recently carried out some of the first in situmeasurements of ion-irradiation effects during epitaxial film growth. Theauthors videotaped changes in reflection high-energy electron diffraction(video-RHEED) patterns resulting from the addition of 500 eV Ar+ ionirradiation during MBE growth of Ge(100) at 550oC. They proposed that theprimary effect of ion irradiation in their initial experiments was to break up3-D clusters to provide a smoother growth surface.

Both experimental results and growth simulations show that low-energyion bombardment during deposition can provide local atomic re-arrange-ment allowing atoms to relax into lower energy sites. However, the questionof residual ion damage still needs to be addressed in more detail. The growthof high-quality films with reduced epitaxial temperatures requires a balancebetween the beneficial effects of ion irradiation such as enhanced diffusionand minimizing residual damage by annealing out bombardment-induceddefects during deposition. As discussed in the next section, the mostfavorable deposition conditions would seem to be: low Ei, relatively high ion-to- neutral flux ratios, and (provided that contamination from backgroundimpurities is not a problem) low deposition rates.

5.2 Effects of Low-Energy Ion/Surface Interactions on Film GrowthKinetics

Interest in the use of low-energy ion irradiation during film growth tomodify the morphology, microstructure, defect concentration, preferredorientation, state of stress, and physical properties of layers deposited fromthe vapor phase is continuously growing. In areas such as optical and wear-

Page 748: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Nucleation, Film Growth, and Microstructural Evolution 751

Figure 13.29. Molecular-dynamic simulation of the layer densities for a Ni filmdeposited at Ts = 0 K with (a) no ion bombardment and (b) 100 eV Ar+ ionbombardment at an ion-to-vapor flux ratio of 0.042. (K. H. Müller, unpublished).

Page 749: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

752 Deposition Technologies for Films and Coatings

protective films, the application of ion irradiation to densify and increase theinternal strength of the layers as well as to increase film/substrate adhesionis already a prerequisite to commercial success. However, ion irradiationduring growth can also be disadvantageous for certain applications due to,for example, the generation of very high compressive stress levels in thefilms which can lead to spalling.

During growth at low substrate temperatures (Ts/Tm less than ~ 0.3) forwhich, as discussed in Sec. 4, the films are generally underdense with acolumnar (zone 1) microstructure, many experiments involving both electri-cally conductive[117]-[121] and insulating films[122][123] have shown that thenumber density of voids and pores decreases dramatically with increasingion energy and/or ion flux. Mattox and Kominiak[117] were among the first todemonstrate this effect. They found, in the case of sputter-deposited Tafilms, that the microstructure changed from a pronounced columnar mor-phology to a more equiaxed structure and that the film density increased from≈ 14.5 to 16.3 g cm-3 (bulk density = 16.6 g cm-3) as the negative substratebias was increased from 0 to 500 V (see Fig. 13.30).

Figure 13.30. The density, as a function of negative substrate bias Vs, of 6-µm-thickTa films deposited at 300oC by DC sputter deposition in Ar. (From Ref. 117.)

Page 750: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Nucleation, Film Growth, and Microstructural Evolution 753

The effectiveness of ion irradiation in decreasing film porosity can beseen directly by comparing the TEM micrographs in Figs. 13.31a - d of poly-crystalline Ti0.5Al0.5N films deposited by reactive magnetron sputtering ongrounded stainless-steel substrates at ≈ 400oC (Ts/Tm ≈ 0.21).[121] Thegrowth conditions were the same for all four films except for the impositionof applied substrate negative potentials Vs of 0, 75, 120, and 250 V,respectively. The ion-to-vapor flux ratio was ≈ 0.9 for the three bias-sputtered films. nv was estimated from planview TEM micrographs takenfrom regions approximately in the middle of the 4-µm-thick films. The voiddensity nv decreased sharply for Vs > 100 V until, for Vs > 120 V, no voidscould be observed using under- and over-focus contrast. In addition to anincrease in film density, Fig. 13.31 also shows that at low Ts, ion irradiationdisrupts the columnar structure, as evidenced by the presence of Moirefringes, and increases the number density of defects such as dislocationloops.

Figure 13.31. Plan-view transmission electron micrographs of polycrystallineTi0.5Al0.5N films deposited by reactive magnetron sputter deposition at Ts ≈400oCwith applied negative biases of (a) Vs = 0, (b) Vs = 75 V, (c) Vs = 120 V, and (d) Vs= 250 V. (From Ref. 121.)

Page 751: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

754 Deposition Technologies for Films and Coatings

Monte Carlo (MC) and molecular dynamic (MD) simulations byMüller[58][62][114][115][124][125] of film growth under ion irradiation have alsoshown an increase in film density towards bulk values. For example, in low-temperature growth simulations, the MC films had porous columnar struc-tures in the absence of ion bombardment. However, if ion irradiation effectswere taken into account (this was done using a modified version of the TRIMcomputer code), quite different growth morphologies were obtained. Ionincorporation, sputtering, and recoil implantation resulted in a film densitythat increased almost linearly with the ion-to-vapor flux ratio Ji/Jv. Thecalculations also predicted that there should be an optimum ion energy Ei*for densification. The optimization resulted from the fact that at Ei < Ei* thenumber of recoil events is small while at Ei > Ei* an increasing fraction of theion energy is lost deeper in the lattice leaving vacancies which cannot be filledby arriving vapor species.

In cases for which the computer simulations were compared to experi-mental data, good agreement was found. Examples include ZrO2 and CeO2

films deposited at ambient temperature on optically flat silica substrates byevaporating the metal in the presence of O2

+ ions provided by a dual-gridKaufmann ion source.[122][123] Figure 13.32 shows both calculated andexperimental results for CeO2 film density as a function of ion energy.[125]

The films were deposited with Ji/Jv = 1 and Ei* was found to be ≈ 200 eV. Itshould be noted, however, that the films were underdense even at Ei = Ei*.

Two-dimensional MD calculations including up to 800 particles havebeen used to simulate Ar+-ion-assisted growth of Ni films at Ts = 0 K.[114] Atypical result from a single 100 eV ion impact event during deposition isdepicted in Fig. 13.33 which shows the time evolution of subsequent atomicrearrangements. Figures 13.33b, 13.33c, and 13.33d correspond to timesof 0.3, 1.1, and 10.9 picoseconds (ps) after ion impact. The incident iontransfers kinetic energy to a few surface atoms which in turn transfer energyto other atoms as the collision sequence develops. The initial violentcollision events occur over times of the order of a few hundred femtosecondswhile the relaxation process requires several picoseconds. Figure 13.33illustrates a collision sequence leading to the disappearance of a protrudingledge overshadowing an incipient void. The central void also decreased insize resulting in a denser structure. The forward sputtering events shown inFig. 13.33 can be viewed as an enhancement in the apparent rate of adatomsurface diffusion. Simulations such as this are not intended to correspondto a particular physical reality. However, they do provide a means forvisualizing the general effects of ion irradiation on the atomic level.

Page 752: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Nucleation, Film Growth, and Microstructural Evolution 755

While ion irradiation is useful for increasing the density and modifyingthe morphology of films deposited at low temperatures, other irradiation-induced effects occur simultaneously. For example, as the ion energy andion flux are increased, atomic displacements produced in the collisioncascades result in an increasing number of residual interstitials and vacan-cies. These point defects can, in turn, lead to an increased density ofextended defects such as dislocation loops.

Huang et al.[126] have studied the effect of Ar+ ion bombardment duringthe growth of Ag films at room temperature using a UHV dual-ion-beamapparatus. They found that the void density decreased with increasingion energy in agreement with the results presented in the previousparagraphs. However, they also showed that the use of averageirradiation energy densities <E> ranging from thermal (obtained byevaporation) to 190 eV per deposited metal atom yielded a decrease inthe grain size from 42 to 14.5 nm while the dislocation number density nd

Figure 13.32. Experimental and theoretical values of the density of CeO2 filmsdeposited at ambient temperature by simultaneous evaporation of Ce and ion-beamacceleration of O2

+ as a function of the ion energy Ei for an ion-to-vapor flux ratio Ji/Jv of 1. The bulk density of CeO2 is 8.1 g/cm3. (From Ref. 125.)

Page 753: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

756 Deposition Technologies for Films and Coatings

increased from 0.7 x 1011 to 13.2 x 1011 cm-2 (see Fig. 13.34). In addition,the degree of (111) preferred orientation decreased while the plane stressreversed from 0.6 x 108 N m-2 tensile to - 4.5x108 N m-2 compressive for <E>larger than 42 eV. Increased defect concentrations and reduced grain sizeshave also been observed in a number of other polycrystalline thin filmsystems grown under low-energy ion irradiation.[121][127]-[130]

Figure 13.33. Molecular-dynamic simulation of the structure of a Ni film depositedat 0 K at various times t after bombardment by a 100 eV Ar+ ion. Atomicdisplacements (not trajectories) are indicated by straight line segments with originsat the zero-time positions of the relocated atoms. (From Ref. 114.)

Page 754: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Nucleation, Film Growth, and Microstructural Evolution 757

At elevated growth temperatures, low-energy ion irradiation can, incontrast to the above low temperature results, have the opposite effect andactually reduce residual defect densities in as-deposited films. Directevidence has recently been published by Hultman et al.[131][132] who usedTEM analyses to investigate the dislocation structure in epitaxial TiN filmsgrown on MgO(100) substrates at Ts between 550 and 850oC by reactivemagnetron sputtering in pure nitrogen discharges. (The minimum epitaxialtemperature in this case is 525 - 550oC.) The primary defects in the TiN filmswere dislocation loops on (111) planes.

The dislocation number density nd in epitaxial TiN layers was found todecrease with increasing Ts, due to higher adatom surface mobilities, for agiven negative substrate bias Vs. For example, in films grown with Vs = 0,

Figure 13.34. The average grain size and dislocation number density nd in Ag filmsdeposited at room temperature as a function of the average energy <E> perdeposited atom. (Plotted from data given in Ref. 126.)

Page 755: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

758 Deposition Technologies for Films and Coatings

nd continuously decreased from ≈ 1013 cm-2 at Ts = 550oC to ≈ 1012 cm-2 atTs = 850oC. (Note that 850oC is still a relatively low temperature for TiN andcorresponds to Ts/Tm = 0.35.) However, nd decreased much more rapidlywith increasing Vs at constant Ts until a minimum defect density was obtainedat a specific voltage Vs*. For Vs > Vs*, nd increased rapidly and eventuallythe films became polycrystalline. Ji/Jv in these experiments ranged from ≈1 to 1.4 and the energy per incident accelerated N atom was Vs/2.

Vs* was found to be ≈ 300 V at Ts = 650oC for which nd ≈ 2 x 1010 cm-

2 compared to ≈ 5 x 1011 cm-2 at Vs = 0 and Ts = 650oC. The minimum in nd(Vs)became broader and Vs* increased slightly with increasing Ts. Films grownat Ts > 750oC and Vs = Vs* were essentially free of dislocation loops. Thiscan be seen in Fig. 13.35 which shows TEM micrographs from films grownat 850oC with Vs = 0 and at 800oC with Vs = Vs* = 400 V. XTEM micrographsof multilayer films in which sequential layers were grown with different valuesof Vs showed that ion bombardment effects were reversible.[132] Forexample, changing Vs from 0 to Vs* to 0 resulted in nd abruptly decreasingand then abruptly increasing again.

Figure 13.35. Plan-view transmission electron micrographs of epitaxial TiN filmsgrown by reactive magnetron sputtering on MgO(100) substrates at (a) Ts = 850oCwith a negative substrate bias Vs = 0 and (b) Ts = 800oC with Vs = 400 V. (From Ref.131.)

Page 756: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Nucleation, Film Growth, and Microstructural Evolution 759

Ion irradiation in the above experiments played at least two major roles.For the lower biases, the primary effect was to enhance adatom mobilitiesthereby accelerating the rate at which defects (both growth-related and ion-irradiation-induced) were annealed out during deposition. At higher biasvoltages (Vs > Vs*), the increased projected range of the impinging ionsresulted in a larger fraction of the irradiation-induced defects being trappedin the growing film. Eventually, nd became high enough that renucleationoccurred during growth and polycrystalline films were obtained.

ACKNOWLEDGEMENTS

The author gratefully acknowledges the financial assistance of the JointServices Electronics Program, the Materials Science Division of the Depart-ment of Energy, and the Semiconductor Research Corporation during thecourse of this work. He also appreciates, and has gained much, from severalyears of scientific collaboration and close personal friendship with Prof. Jan-Eric Sundgren (Physics Dept., Linköing University, Sweden) who proofreadthis manuscript.

Page 757: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

760 Deposition Technologies for Films and Coatings

REFERENCES

1. Greene, J. E. and Barnett, S. A., J. Vac. Sci. Technol., 21:285 (1982)

2. Greene, J. E., Motooka, T., Sundgren, J. E., Lubben, D., Gorbatkin, S.,and Barnett, S. A., J. Nucl. Instr. Methods, B27:226 (1987)

3. Greene, J. E., Sol. St. Technol., 30:115 (April 1987)

4. Greene, J. E., Barnett, S. A., Sundgren, J. E., and Rockett, A., in Ion-Assisted Film Growth, (T. Itoh, ed.) p. 101, Elsevier, Amsterdam(1988)

5. Venables, J. A., Spiller, G. D. T., and Hanbcken, M., Rept. Prog.Physics , 47:399 (1984)

6. Knall, J., Sundgren, J. E., Hansson, G. V., and Greene, J. E., Surf. Sci.,166:512 (1986)

7. Hirth, J. P. and Moazed, K. L., Thin Film Physics 4, (G. Hass and R.E. Thun, eds.) p. 97., Academic Press, New York (1967)

8. Neugebauer, C. A., in Handbook of Thin Film Physics (L. I. Maissel andR. Glang, eds.) Ch. 8., McGraw Hill, New York (1970)

9. Wagner, R. S. and Voorhoeve, R. J. H., J. Appl. Phys., 43:3948 (1971)

10. Lewis, B. and Anderson, J. C., Nucleation and Growth of Thin Films,Academic Press, New York (1978)

11. Stoyanov, S. and Kaschiev, D., in Current Topics in Materials Science,Vol. 7, (E. Kaldis, ed.) North Holland, Amsterdam (1981)

11a. Zinmeister, G., Vacuum, 16:529 (1966); Thin Solid Films, 2:497(1968), 4:363 (1969); Kristall Technik 5:207 (1970); and Thin SolidFilms 7:51 (1971)

12. Pocza, J. F., Barna, A., and Barna, P. B., J. Vac. Sci. Technol., 6:472(1969)

13. Chopra, K. L., Thin Film Phenomena, p. 160, McGraw Hill, New York(1969)

14. Donohoe, A. J. and Robins, J. L., J. Cryst. Growth 17:70 (1972)

15. Pashley, D. W., Stowell, M. J., Jacobs, M. H., and Law, J. T., Phil. Mag.10:127 (1964)

16. Williamson, W. J., Solid State Electron. 9:213 (1966)

17. Greene, J. E. and Wickersham, C. E., J. Appl. Phys. 47:3630 (1976)

18. Bassett, G. A., Menter, J. W., and Pashley, D. W., in Structure andProperties of Thin Films, (C. A. Neugebauer, J. B. Newkirk and D. A.Vermilyea, eds.) p. 11, John Wiley and Sons, New York (1969)

Page 758: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Nucleation, Film Growth, and Microstructural Evolution 761

19. Jacobs, M. H., Pashley, D. W., and Stowell, M. J., Phil. Mag. 13:129(1966)

20. Barna, P. B., Proc. IX Internet. Vac. Congr., p. 382, Madrid (1983)

21. Joyce, B. A., Neave, J. H., and Watts, B. E., Surf. Sci. 15:1 (1969)

22. Henderson, R. C., Polito, W. J., and Simpson, J., Appl. Phys. Letters16:15 (1970)

23. Henderson, R. C. and Helm, R. F., Surf. Sci. 30:310 (1972)

24. Abbink, H. C., Broudy, R. M., and McCarthy, G. P., J. Appl. Phys.39:4673 (1968)

25. Aleksandrov, L. N. and Lovyagin, R. N., Thin Solid Films 20:1 (1974)

26. Van Hove, J. M., Pukite, P. R., and Cohen, P. I., J. Vac. Sci. Technol.B3:563 (1985)

27. Van Hove, J. M. and Cohen, P. I., J. Cryst. Growth 81:67 (1987)

28. Reflection High-Energy Electron Diffraction and Reflection ElectronImaging of Surfaces, NATO AISI Series B: Physics, (P. K. Larson andP. J. Dobson, eds.) Vol. 188, Plenum Press, New York (1988)

29. Rockett, A., J. Vac. Sci. Technol. B6:763 (1988)

30. Rockett, A., SPIE Proceedings, 944:63 (1988)

31. Shtrikman, H., Heiblum, H., Seo, K., Galbi, D. E., and Osterling, L., J.Vac. Sci. Technol. B6:670 (1988)

32. Price, G. L. and Venables, J. A., Surf. Sci. 49:264 (1975)

33. Wagner, R. S. and Voorhoeve, R. J. H., J. Appl. Phys. 42:3948 (1971)

34. Voorhoeve, R. H. J. and Wagner, R. S., Met. Trans. 2:3421 (1971)

35. Henrion, J. and Rhead, G. E., Surf. Sci. 29:20 (1972)

36. Delamare, F. and Rhead, G. E., Surf. Sci. 35:172 (1973)

37. Yagi, Y., Takayanagi, K., Kobayashi, K., and Honjo, G., J. Cryst.Growth 9:84 (1971); Honjo, G. and Yagi, K., in Current Topics inMaterials Science (E. Kaldis, ed.) Vol. 6, North Holland Publishing Co.,Amsterdam (1980)

38. Eltoukhy, A. H. and Greene, J. E., J. Appl. Phys. 50:505 (1979)

39. Matthews, J. W. and Blakeslee, A. E., J. Cryst. Growth 27:118 (1974)

40. Bean, J. C., Sheng, T. T., Feldman, L. C., Fiory, A. T., and Lynch, R.T., Appl. Phys. Letters 44:102 (1984)

40a. People, R. and Bean, J. C., Appl. Phys. Letters 47:322 (1985) and49:229 (1986)

40b. Tsao, J. Y., Dodson, B. W., Picraux, S. T., and Cornelison, D. M.,Phys. Rev. Letters, 59:2455 (1987)

Page 759: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

762 Deposition Technologies for Films and Coatings

41. Horng, C. T. and Vook, R. W., J. Vac. Sci. Technol. 11:140 (1974)

42. Soria, F. and Poppa, H., J. Vac. Sci. Technol. 17:449 (1980).

43. Hopkins, B. J. and Watts, G. D., J. Phys. C: Solid State 7:4259 (1974)

44. Steinhage, P. W. and Mayer, M., Thin Solid Films 28:131 (1975)

45. Van Loenen, E. J., Iwami, M., Tromp, R. M., and van der Veen, J. F.,Surf. Sci. 137:1 (1984)

46. Honzay, F., Guille, C., Moison, J. M., Henoc, P., and Barthe, F., J.Cryst. Growth, 81:67 (1987)

47. Stranski, I. N. and Krastanov, L., Acad. Wiss. Math-Nat. KIIIb 146:797(1938)

48. Venables, J. A., in Current Topics in Materials Science (E. Kaldis andH. J. Scheel, eds.) Vol. 2, p. 165, North Holland, Amsterdam (1977)

49. Price, G. L., Surf. Sci. 46:697 (1974)

50. Knall, J., Barnett, S. A. and Sundgren, J. E., Surf. Sci., in press

51. Rich, D. H., Samsavar, A., Miller, T., Lin, H. F., Chiang, T. C.,Sundgren, J. E., and Greene, J. E., Phys. Rev. Letters, 58:579 (1987)

52. Ehrlich, G. and Stolt, K., Ann. Rev. Phys. Chem. 31:603 (1980)

53. Thornton, J. A., J. Vac. Sci. Technol. 11:666 (1974)

54. Dirks, A. G. and Leamy, H. J., Thin Solid Films 47:219 (1977)

55. Leamy, H. J., Gilmer, G. H., and Dirks, A. G., in Current Topics inMaterials Science (E. Kaldis, ed.) 6:309 North Holland Publishing Co.,Amsterdam (1980)

56. Henderson, D., Brodsky, M. H., and Chauderi, P., Appl. Phys. Letters,25:641 (1975)

57. Kim, S. and Henderson, D. J., Thin Solid Films, 47:155 (1977)

58. Müller, K. H., J. Appl. Physics, 58:2573 (1985)

59. Holmwood, R. A. and Glang, R., J. Electrochem. Soc. 112:831 (1965)

60. Klokholm, E. and Berry, B. S., J. Electrochem. Soc. 115:823 (1968)

61. Huang, T. C., Lim, G., Parmiagiani, F., and Kay, E., J. Vac. Sci.Technol. A3:2161 (1985)

62. Müller, K. H., J. Appl. Phys. 62:1796 (1987)

63. Srolovitz, D. J., J. Vac. Sci. Technol. A4:2925 (1986)

64. Srolovitz, D. J., Mazor, A., and Bukiet, B. G., J. Vac. Sci. Technol.A6:2371 (1988)

65. Movchan, B. A. and Demchisin, A. V., Phys. Met. Metallogr. 28:83(1969)

Page 760: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Nucleation, Film Growth, and Microstructural Evolution 763

66. Hentzell, H. T. G., Grovenor, C. R. M., and Smith, D. A., J. Vac. Sci.Technol. A2:218 (1984)

67. Thornton, J. A., Ann. Rev. Mater. Sci. 7:239 (1977)

68. Guenther, K. H., Thin Solid Films, 77:239 (1981)

69. Guenther, K. H., Appl. Optics, 20:1034 (1981)

70. Messier, R., Krishnaswamy, S. V., Gilbert, L. R., and Swab, P., J. Appl.Phys. 51:1611 (1980)

71. Swab, P., Krishnaswamy, S. V., and Messier, R., J. Vac. Sci. Technol.17:362 (1980)

72. Ross, R. C. and Messier, R., J. Appl. Phys. 52:5329 (1981)

73. Messier, R., Giri, A. P., and Roy, R. A., J. Vac. Sci. Technol. A2:500(1984)

74. Thornton, J. A., J. Vac. Sci. Technol. 12:830 (1975)

75. Grovenor, C. R. M., Hentzell, H. T. G., and Smith, D. A., Acta. Metall.32:773 (1984)

76. Messier, R., J. Vac. Sci. Technol. A4:490 (1986)

77. Thornton, J. A., J. Vac. Sci. Technol. A4:3059 (1986)

78. Venables, J. A. and Price, G. L., in Epitaxial Growth, Part B, (J. W.Matthews, ed.) p. 381, Academic Press, New York (1975)

79. Leamy, H. J. and Dirks, A. G., J. Appl. Phys. 49:3430 (1978)

80. Helmersson, U., Sundgren, J. E., and Greene, J. E., J. Vac. Sci.Technol. A4:500 (1986)

81. See, for example, Winters, H. F., J. Chem. Phys. 44:1472 (1966)

82. Winters, H. F., Ramondi, D. L., and Horne, D. E., J. Appl. Phys.40:2996 (1969)

83. Tarng, M. L. and Wehner, G. K., J. Appl. Phys. 42:2449 (1971)

84. Cuomo, J. J. and Gambino, R. J., J. Vac. Sci. Technol. 12:79 (1975)

85. Harper, J. M. E. and Gambino, R. J., J. Vac. Sci. Technol. A4:448(1986)

86. Zilko, J. L. and Greene, J. E., J. Appl. Phys. 51:1549 (1980)

87. Berg, S., Nender, C., and Gelin, B., J. Vac. Sci. Technol. A4:448(1986)

88. Nender, C., Berg, S., Gelin, B., and Stridh, B., J. Vac. Sci. Technol.A5:1703 (1987)

89. Kondo, N. and Kawashima, M., GaAs and Related Compounds 1985,Inst. Phys. Conf. Series 79, p. 97 (1985)

Page 761: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

764 Deposition Technologies for Films and Coatings

90. Greene, J. E., J. Vac. Sci. Technol. B1:229 (1983)

91. Romano, L. T., Robertson, I. M., Greene, J. E., and Sundgren, J. E.Phys. Rev. B36:7523 (1987)

92. Shah, S. I., Greene, J. E., Abels, L. L., and Raccah, P. M., J. Cryst.Growth, 91:71 (1988)

93. Fons, P., Hirashita, N., Markert, L. C., Kim, Y. W., Greene, J. E., Ni, W.X., Knall, J., Hansson, G. V., and Sundgren, J. E., Appl. Phys. Letters,53:1732 (1988)

94. Hasan, M. A., Knall, J., Barnett, S. A., Sundgren, J. E., Markert, L. C.,Rockett, A., and Greene, J. E., J. Appl. Phys. 65:172 (1989)

95. Noel, J. P., Hirashita, N., Markert, L. C., Kim, Y. W., Greene, J. E.,Knall, J., Ni, W. X., Hasan, M. A., and Sundgren, J. E., J. Appl. Phys.65:1189 (1989)

96. Wehner, G. K. and Anderson, G. S., in Handbook of Thin FilmTechnology, (L. I. Massel and R. Glang, eds.) Ch. 3, McGraw-Hill, NewYork (1970)

97. Donahue, T. J. and Reif, R., Semiconductor International, 142, (August,1985)

98. Krikorian, E. and Sneed, R. J., Astrophys. Space Sci. 65:129 (1979)

99. Chapman, B. N. and Campbell, D. S., J. Phys. C2:200 (1969)

100. Lane, G. E. and Anderson, J. C., Thin Solid Films, 26:5 (1975)

101. Lane, G. E. and Anderson, J. C., Thin Solid Films, 57:277 (1979)

102. Harsdorff, M. and Jark, W., Thin Solid Films, 128:79 (1985)

103. Barnett, S. A., Winters, H. F., and Greene, J. E., Surf. Sci. 181:596(1987)

104. Marinov, M., Thin Solid Films, 46:267 (1977)

105. Hasan, M. A., Barnett, S. A., Sundgren, J. E., and Greene, J. E., J. Vac.Sci. Technol. A5:1883 (1987)

106. Hasan, M. A., Knall, J., Barnett, S. A., Rockett, A., Sundgren, J. E., andGreene, J. E., J. Vac. Sci. Technol. B5:1332 (1987)

107. Dodson, B. W., J. Vac. Sci. Technol. B5:1393 (1987)

108. Narusawa, T., Shimizu, S., and Komiya, S., J. Vac. Sci. Technol.16:366 (1979)

109. Thomas, G. E., Beckers, L. J., Vrakking, J. J., and de Koning, B. R.,J. Cryst. Growth, 56:257 (1982)

110. Zalm, P. C. and Beckers, L. J., Appl. Phys. Lett. 41:167 (1982)

Page 762: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Nucleation, Film Growth, and Microstructural Evolution 765

111. Herbots, N., Noggle, T. S., Appleton, B. R., and Zhur, R. A., J. Vac.Sci. Technol., in press

112. Yagi, K., Tamura, S., and Tokuyama, T., Jpn. J. Appl. Phys. 16:245(1977)

113. Tokuyama, T., Yagi, K., Miyaki, K., Tamura, M., Natsuaki, N., andTachi, S., Nucl. Instr. Meth. 182/183:241 (1981)

114. Müller, K. H., Phys. Rev. 35:7906 (1987)

115. Müller, K. H., Surf. Sci. Lett. 184:L375 (1987)

116. Tsao, J. Y., Chason, E., Horn, K. M., Brice, D. K., and Picraux, S. T.,Nucl. Instr. Meth., in press

117. Mattox, D. M. and Kominiak, G. J., J. Vac. Sci. Technol. 9:528 (1972)

118. Mizzoguchi, T. and Cargill, G. S., III, J. Appl. Phys. 50:3570 (1979)

119. Mnz, W. D. and Hofmann, D., Metalloberflche, 37:279 (1983)

120. Parmiagiani, F., Kay, E., Huang, T. C., Perrin, J., Jurich, M., andSwalin, J. D., Phys. Rev. B33:879 (1986)

121. Hakanssan, G., Sundgren, J. E., McIntyre, D., Greene, J. E., and Mnz,W. D., Thin Solid Films, 153:55 (1987)

122. Martin, P. J., Netterfield, R. P., and Sainty, W. G., J. Appl. Phys. 55:235(1984)

123. Netterfield, R. P., Sainty, W. G., Martin, P. J., and Sie,S. H., Appl. Opt.24:2267 (1985)

124. Müller, K. H., J. Appl. Phys. 58:2803 (1986)

125. Müller, K. H., Appl. Phys. A40:209 (1986)

126. Huang, T. C., Lim, G., Parmiagiani, F., and Kay, E., J. Vac. Sci.Technol. A3:2161 (1985)

127. Igasaki, Y. and Mitsuhashi, H., Thin Solid Films 70:17 (1980)

128. Poitevin, J. M., Lemperiere, G., and Tardy, J., Thin Solid Films 97:69(1982)

129. Johansson, B. O., Sundgren, J. E., and Helmersson, U., J. Appl. Phys.58:3112 (1985)

130. Kay, E., Parmigiani, F., and Parrish, W., J. Vac. Sci. Technol. A5:44(1987)

131. Hultman, L., Helmersson, U., Barnett, S. A., Sundgren, J. E., andGreene, J. E., J. Appl. Phys. 61:552 (1987)

132. Hultman, L., Barnett, S. A., Sundgren, J. E., and Greene, J. E., J.Cryst. Growth 92:639 (1988)

Page 763: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

766 Deposition Technologies for Films and Coatings

766

14

Metallurgical Applications

Rointan F. Bunshah

1.0 INTRODUCTION

Corrosion and wear are often referred to as the twin demons of materialsdegradation. The loss of material annually due to these causes is a significantfraction of their total production each year. Often, materials degradationresults from both corrosion and wear phenomena acting simultaneously, e.g.,corrosive wear, corrosion erosion, etc. The following sections discuss eachof these phenomena and the role of coatings in decreasing the resultantmaterials degradation.

2.0 CORROSION

Corrosion is the destructive attack of a metal or alloy by chemicalreaction with its environment which can be aqueous solutions, molten salts,molten metals, or corrosive gases at high temperatures. In some cases,chemical attack accompanies physical degradation which is described by theterms corrosion erosion, corrosive wear, fretting corrosion, etc. Polymers andceramics at high temperatures are also subject to corrosion. The role ofcoatings in protection of polymers and ceramics at high temperature has notbeen given much attention and hence will not be considered here.

For the sake of convenience in the discussion of this multi-faceted topic,the subject is divided into two broad categories:

Page 764: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Metallurgical Applications 767

• Galvanic corrosion in aqueous solutions, molten salts, etc.

• High temperature corrosion: oxidation, sulphidation, etc.

3.0 GALVANIC CORROSION

Types of corrosion damage:

1. Uniform attack. Examples are rusting of iron, hightemperature oxidation of metals, “fogging” of nickel etc.Units are milligrams per square/deciliter per day.

2. Pitting Corrosion. Localized attack usually confined to asmall area which is anodic to the rest of the metal.

3. Fretting Corrosion. It is due to the slight relative motion of twomaterials in contact usually leading to a series of pits at themetal interface filled with metal oxide.

4. Corrosion-erosion. It results from formation and collapse ofvapor bubbles at a dynamic metal-liquid interface causing asequence of pits or fissures.

5. Dezincification and Parting. Dezincification occurs in zincalloys such as brass in which zinc corrodes preferentiallyleaving a porous residue of copper and corrosion products.Parting is similar to dezincification in which one or morereactive components of an alloy corrode preferentially leavinga porous residue that may retain the original shape of thealloy. It is usually restricted to noble metal alloys.

6. Intergranular Corrosion. This is a localized type of attack atthe grain boundaries of a metal resulting in loss of strengthand ductility. Grain boundary material of limited area, actingas anode, is in contact with large areas of grain acting ascathodes. Attack is often rapid and deeply penetrating.Examples are improperly heat-treated austenitic stainlesssteel, Al - 4% Cu alloys, etc.

7. Cracking. Metal cracks when subjected to repeated oralternative tensile stresses in a corrosive environment leadingto corrosion-fatigue.

If the metal which is subjected to constant tensile stress and exposedto a specific corrosive environment cracks immediately or after a time delay,the failure is called stress-corrosion cracking.

Page 765: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

768 Deposition Technologies for Films and Coatings

3.1 Galvanic Cells

A combination of two electrical conductors (electrodes) immersed in anelectrolyte is called galvanic cell in honor of Luigi Galvani, a physician inBologna, Italy, who published his studies of electrochemical action in 1791.A galvanic cell converts chemical energy into electrical energy. On short-circuiting such a cell (attaching a low-resistance wire to each electrode),positive current flows through the metallic path from positive electrode tonegative electrode. The direction of current flow follows an arbitrary conventionestablished before anything was known about the nature of electricity, and isfollowed today despite present-day knowledge that only negative carriers ofelectrons move in a metal. Electrons, of course, go from negative to positivepole, opposite to the imaginary flow of positive carriers.

Within the electrolyte, current is carried by both negative and positivecarriers known as ions (electrically charged atoms or groups of atoms). Thecurrent carried by each ion depends on its respective mobility and electriccharge. The total of positive and negative current in the electrolyte of a cell isalways exactly equivalent to the total current carried in the metallic path byelectrons alone.

The electrode at which chemical reduction occurs (or + current enters theelectrode from the electrolyte) is called the cathode. Examples of cathodicreactions are:

H+ → ½H2 - e-

Cu++ → Cu - 2e-

Fe+3 → Fe++ - e-

all of which represent reduction in the chemical sense.The electrode at which chemical oxidation occurs (or + electricity leaves

the electrode and enters the electrolyte) is call the anode. Examples of anodicreaction are:

Zn → Zn++ + 2e-

Al → Al+3 + 3e-

Fe++ → Fe+3 + e-

These represent oxidation in the chemical sense. For metals, it is at the anodethat corrosion usually occurs.

Page 766: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Metallurgical Applications 769

In galvanic cells, the cathode is the positive pole and the anode is thenegative pole.

There are three main types of cells that take part in corrosion reactions.Dissimilar Electrode Cells. These are illustrated by the dry cell

discussed earlier. A metal containing electrically conducting impurities on thesurface as a separate phase, or a copper pipe connected to an iron pipe, ora bronze propeller in contact with the steel hull of a ship are examples of thistype of corrosion cell. These cells also include cold-worked metal in contactwith the same metal annealed, or grain boundary metal in contact with grains,or a single metal crystal of definite orientation in contact with another crystalof differing orientation

Concentration Cells. These are cells having two identical electrodeseach in contact with a solution of differing composition. There are two kindsof such cells. The first is called a salt concentration cell. For example, if onecopper electrode is exposed to a concentrated copper sulfate solution, andanother to a dilute copper sulfate solution, on short-circulating such a cell,copper dissolves from the electrode in contact with the dilute solution (anode)and plates out on the other electrode (cathode). Both reactions tend to bringthe solutions to the came concentration.

The second kind of concentration cell, which in practice is the moreimportant, is called a differential aeration cell. This may include two ironelectrodes in dilute NaCl solution, the electrolyte around one electrode beingthoroughly aerated (cathode), and the other deaerated (anode), brought about,for example, by bubbling through nitrogen. The difference in oxygen concen-tration produces a potential difference, and causes current to flow. This typeof cell accounts for pronounced damage at crevices such as are formed at theinterface of two coupled pipes, or at threaded connections, because O2

concentration is lower within the crevice or at the threads than elsewhere. Italso accounts for pitting damage under rust or at the water line (water-airinterface). Less oxygen reaches the metal that is covered by rust or otherinsoluble reaction products than at other portions where the permeable coatingis thinner or absent.

Differential aeration cells also usually initiate pits in the stainless steels,aluminum, nickel, and other so-called passive metals when they are exposedto aqueous environments, such as water.

Differential Temperature Cells. Components of these cells areelectrodes of the same metal, each of which is at a different temperature,immersed in an electrolyte of the same initial composition. Less is knownabout the practical importance and fundamental theory of differential

Page 767: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

770 Deposition Technologies for Films and Coatings

temperature cells than for the cells previously described. They occur in heatexchangers, boilers, immersion heaters, and similar equipment.

In CuSO4 solution the copper electrode at the higher temperature iscathode and the copper electrode at the lower temperature is anode. On short-circuiting the cell, copper deposits on the hot electrode and dissolves from thecold electrode. Lead acts similarly, but for silver the polarity is reversed.

For iron immersed in dilute aerated NaCl solutions, the hot electrode isanodic to colder metal of the same composition, but after a matter of hours,depending on aeration, stirring rate, and whether the two metals are short-circuited or not, the polarity may reverse.

In practice, cells responsible for corrosion may be a combination of thesethree types.

4.0 EMF AND GALVANIC SERIES

The EMF series shown in Table 14.1 is determined by the equilibriumpotential of a metal in contact with its ions at a concentration equal to unitactivity of the two metals composing a cell. The reactivity of the metals shownin Table 14.1 decreases from Li at the top (the most reactive) to Au at thebottom (very noble). Thus if two metals are in contact, the one with the higheroxidation potential will dissolve with respect to the other. For example, Zn ismore reactive (sacrificial) with respect to Fe—but Fe is more reactive(sacrificial) with respect to Sn. The anode is the more active metal in the EMFseries provided that the ion activities in equilibrium are both unity. In somecases this corresponds to impossible concentrations of metal ions becauseof the restricted solubility of metal salts. Hence, the EMF series has limitedutility in predicting which metal is cathodic to another.

5.0 COATINGS FOR GALVANIC CORROSION

There are a large number of different types of protective coatings. Theycan be classified as:

1. Anodic coatings - coating dissolves, e.g., Zn, Al vs. Fe

2. Cathodic coatings - substrate attacked, e.g., Sn vs. Fe(defect free coating is necessary in this case)

3. Inert coatings

4. Inhibitive coatings

Page 768: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Metallurgical Applications 771

Table 14.1. Electromotive Force Series

Page 769: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

772 Deposition Technologies for Films and Coatings

Let us consider the case of a coated metal article exposed to anelectrolyte with discontinuities in the coating. There are several possibilities.An electric current may flow from the coating through the electrolyte to thebase metal. The coating is then anodic to the base metal. If the current densityat the exposed area of the base metal is of the correct magnitude, corrosionof the base metal is prevented. Thus anodic coatings tend to prevent corrosionof exposed areas of the base metal by sending electric current to them throughany contacting layer or electrolyte. In contrast, cathodic coatings stimulatecorrosion of exposed areas of the base metal.

Metallic coatings show the most pronounced anodic or cathodic behav-ior. Non-metallic coatings especially oxides and sulfides act as cathodiccoatings. The same metallic coating on the same base metal can behave asan anodic coating under one set of exposure conditions, and as an inhibitiveor inert coating under different conditions. For example, tin is cathodic toexposed areas of steel base in sea water, natural water, or even to many foodproducts in the presence of air. However, when exposed to nearly air-free foodproducts, tin is definitely anodic to steel. Inorganic coatings are sometimesinert, sometimes cathodic, and sometimes inhibitive. Organic coatings aregenerally inert or inhibitive.

6.0 METHODS OF DEPOSITION OF METALLIC COATINGS

1. Hot-dip process for zinc, tin, aluminum and lead.

2. Metal spraying for most common metals using a wire spraygun.

3. Metal cementation for zinc, chromium, aluminum and siliconin which the protective metal is alloyed with the surface of thesteel. Other names for this process are: SHERADIZING,CHROMIZING, CALORIZING, IHRIGIZING (for Sc),CORRONIZING.

4. Metal cladding: copper clad onto steel by dipping, andaluminum cladding by hot rolling a pack.

5. Fusion welding.

6. Electroplating.

7. Sputter deposition or evaporation deposition.

Page 770: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Metallurgical Applications 773

7.0 EXAMPLES OF CORROSION-RESISTANT COATINGS

7.1 Preamble

At the outset, an important aspect of such coatings is noted. Thesecoatings can be classified into sacrificial or non-sacrificial coatings. Sacri-ficial coatings are corroded in preference to the base metal which is protected.In this case, the microstructure of the coating does not have to be dense. Onthe other hand, for a non-sacrificial coating, the microstructure has to be fullydense to isolate the underlying metal from the corrosive fluid (liquid or gas).Hence microstructure control becomes very important. Nowak[1] hasdiscussed the subject in more detail with emphasis on composition andmicrostructural factors. Egert[2] has presented a theory on corrosion ofsubstrates with protective metal coatings. Assuming no galvanic interactionbetween the coating and the substrate, corrosion occurs at defects in thecoating and is proportional to the number of defects per unit area. Multilayercoatings and amorphous alloy coatings appear to be more effective in generalthan single layer coatings. In the latter case, the absence of grain boundarieswhich are often corrosive channels is an important microstructural factor.

Zinc coatings, produced by a dip coating process, have been used toprotect steel products for 150 years. A modern development is the one-sidedzinc coatings for the automobile industry by PVD techniques with the abilityto make paint adhere much better than on a galvanized surface. Zinc coatingsare outstanding in their ability to protect steel by galvanic action where thecoating is mechanically damaged such as at the sheared edges of a steelsheet. On the other hand, galvanized coatings do not last as long in sulfate-bearing industrial atmospheres. Zinc oxide coatings are not protective asgauged from their linear oxidation rate.

Conversely, metals such as aluminum and chromium form protectiveoxide films and are more corrosion resistant. Aluminum likewise, does notconfer galvanic protection to a steel base. As a result, aluminum coated steelshows unsightly rust staining or rust spots at points of mechanical damagesuch as sheared edges or scratches through the coating. An early solutionin 1961 was to produce a Zn-55%Al alloy coating by the hot-dip process. Thiscoating combines the best features of galvanizing and aluminizing. Horton[3]

has discussed the physical metallurgy of the Al-Zn alloy coatings.In the middle of the 1960 - 1970 decade, several steel companies studied

the possibility of depositing very thin aluminum and/or zinc coatings on steelsheet in air-to-air coating lines by high rate electron-beam evaporation of Al[4]

Page 771: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

774 Deposition Technologies for Films and Coatings

or zinc by resistance evaporation from a graphite boat.[5] For thin (sub-micron)aluminum coatings, the degree of pitting in the coating after corrosion testingwas influenced by the deposition rate, and the surface preparation of the steelstrip. About a decade later, in the former state of East Germany, a peculiareconomic circumstance, i.e., shortage of hard currency, forced the investiga-tion of substitution of Al for Sn in steel sheet for food and beverage containerapplication. The development of an air-to-air coating line used in tandem withsteel strip rolling in a commercial steel plant was successfully implementedin 1981 at the BEJO Steel Company in Bad Salzungen.[6] Typical depositionconditions were as follows: for Al deposition, the film thickness was 0.5 - 3 µmon a steel strip 400 mm wide by 0.2 mm thickness at a substrate temperatureof 220 - 300°C, a deposition rate of 20 µm/second at a strip speed of 2 m/secusing 50 kg/hour of aluminum from a continuously-fed electron beam evapo-ration source. In subsequent investigations, mechanical activation of the steelstrip by wire brushing prior to deposition was found to enhance the coatingperformance. Since the unification of Germany, this coating line is now beingused to deposit copper and chromium onto steel.

Zn deposition from a resistance-heated graphite source produced a 15µm thickness coating on steel strip at a substrate temperature of 150 - 300°Cat a line speed of 100 ft/min with Zn usage of 40 - 100 lb/hour.[6] According toSchiller et al.,[6] there are fifteen plants world-wide for coating metal stripscontinuously by PVD techniques, of which eight are in Japan. They usethermionic electron beam, hollow cathode arc, resistance-heated sources andmagnetron-sputtering sources to produce the metal vapor.

Another application involves the deposition of Al onto steel and titaniumalloy fasteners used in air-frame construction. The problem to be solved wasgalvanic corrosion caused by dissimilar metal contact, i.e., steel or titaniumof the fastener and aluminum in the air-frame. The process used is “ion-plating”of aluminum using a resistance-heated source in a partial pressure of 10millitorr of Ar gas with the parts biased to a -2 kV potential.[7] The parts arehung on racks if they are large, or tumbled in a barrel for small parts such asfasteners. The Al coating thickness is ~1 µm and 100 lbs of fasteners can becoated per hour.

Other examples of corrosion resistance imparted by coatings are asfollows:

1. Antler in 1977[8] discusses corrosion resistance conferredby intermetallic phases, particularly those in the nickel-tinsystem.

Page 772: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Metallurgical Applications 775

2. Nowak and co-workers[9][10] have studied thin films of Al-Cu,Al, Mg and Al1-xZnx ion-plated onto steel substrates forenhancement of corrosion resistance. These thin,microcrystalline films can surpass bulk material in corrosionresistance. The ion-plated Al-Zn alloys have markedlysuperior corrosion resistance compared to the commercialhot dipped coatings Galvlume (55Al-Zn) and Galfan (5Al-Zn).

3. Microcrystalline/amorphous iron alloy films (Fe-180Cr-8Ni)with additions of about one atomic percent Al or Si weredeposited onto 304 stainless steel or Erbnite substrates.Substantial improvement in corrosion resistance wasproduced by modification of the microstructure with furtherimprovements through chemical and structural mechanisms.The results indicate that sufficient alloy additions to stabilizethe material to an entirely amorphous state would produceeven greater improvements.[12]

4. Type 304 stainless steel coated by CVD techniques with TiNproduced substantial improvements in both corrosion andwhirledsand abrasion resistance in sea water.[13]

5. Wialla et al.[14] deposited TiN and ZrN coatings onto highspeed steel and stainless steel by reactive triode ion plating.The results showed improved corrosion resistance.

6. Beveskog et al.[15] used potentiodymanic measurements todemonstrate the excellent corrosion resistance imparted bya TiC coating deposited by the ARE process onto quartzsubstrates.

7. Bearings of steel in a stagnant lubricating oil environmentwith Cl ions present in the oil show marked corrosion atopposing mating surfaces. Such a circumstance occurs inaircraft engines used in a marine environment which areinactive over a period of time, a circumstance that can occurwith military aircraft. It was found that ion-implantation of Moand Cr solved the corrosion problem. Overlay coatings of Cr,Mo, TiC, TiN also solved the problem at a much lowerprocessing cost.[16]

8. Type 52100 bearing steel and type 304 stainless steel wereovercoated with TiN and ZrN by cathodic arc plasma deposition

Page 773: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

776 Deposition Technologies for Films and Coatings

technique and tested in a 0.5N NaCl solution.[17] The TiNcoatings were also ion implanted with N, Ti and Au todetermine the effect of ion implantation on corrosive behavior.TiN coatings did not provide corrosion protection and ion-implantation of the TiN coatings also did not improve thecorrosion resistance. ZrN coatings, on the other hand, didprovide corrosion protection by the formation of a passivefilm.

9. Platinum coatings were deposited onto Mo substrate byelectrodeposition from molten cyanide electrolytes. Theywere then melted with a Nd-YAG laser and corrosion testedin an In HNO3 environment. Coatings of 25 µm thicknesswere produced and showed corrosion behavior similar tobulk Pt, thus demonstrating a defect free coating.[18]

10. Thick coatings of stainless steel were produced by theparticle occlusion technique followed by heat treatment.The corrosion rates were equivalent to bulk stainless steel.[18]

11. For valve seats and stems used in nuclear power plants,Yoshioka et. al.[19] developed a unique plasma CVD techniqueto deposit multilayer Al2O3/TiN/TiC, Al2O3/TiC, and TiN/TiCcoatings on stellite and stainless steel substrates. Thecoatings showed good wear resistance in a high temperaturewater environment. The coated specimens also showedexcellent corrosion resistance when tested at 548°K for 200hours.

8.0 HIGH TEMPERATURE OXIDATION/CORROSION

The focus here is to decelerate the degradation mechanisms of hightemperature alloys caused by high temperature exposure to air and corrosivefuel/combustion product mixtures encountered in heat exchangers, fire-boxgrates, blades and vanes in the hot section of the gas turbine engine, etc. Thecoating degradation modes are oxidation, hot corrosion, thermal fatigue, anderosion. Their role in gas turbines and diesel engines is illustrated in Table14.2. The basic materials science approach is to develop a protective oxidesurface on the high temperature alloy. The most suitable oxides are Cr2O3,SiO2, and Al2O3 as shown in Fig. 14.1. Additionally, abrasive wear problems

Page 774: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Me

tallu

rgic

al A

pp

lica

tion

s777Table 14.2. Coating Degradation Modes for Various Gas Turbine and Diesel Engines.

Page 775: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

778 Deposition Technologies for Films and Coatings

caused by particles in the gas stream can occur. The severest problem is inrotating parts such as the turbine airfoil where a combination of hightemperature strength and oxidation resistance is desired. In spite of extensivesuperalloy development (i.e., Co, Ni, or Fe base material) over a 20 year span,a single alloy composition which has the requisite strength (produced by γ’precipitation strengthening) and which develops a sufficiently dense protectiveoxide by thermal growth has not been developed. Fortunately, coatingtechnology has enabled the development of a composite material where thehigh temperature strength results from the base superalloy composition andthe corrosion resistance is provided by the coating which is enriched in theoxide forming elements such as Al, Cr or Si.

Figure 14.1. Plot of parabolic rate constant vs. 1/T for various metals forming CoO,NiO, SiO2 and Al2O3 layers showing the diffusional stability of Al2O3 forming system.

Page 776: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Metallurgical Applications 779

The requirements of high temperature protective metallic coating compo-sitions are:

1. Ability to form Al2O3 (or other suitable stable and protectiveoxides).

2. Ability to form Al2O3 over a wide compositional range therebyproviding compositional freedom to optimize coatingmechanical and physical properties.

3. Ability to promote oxide adherence; this provides increasedlifetimes or comparable lifetimes at lower coating alloyingcontent.

4. Freedom from detrimental elements and phases which cancompete with the formation of the preferred protective oxide,or interact with the corroding product to promote protectiveoxide breakdown.

5. Freedom from detrimental elements and phases which caninteract with the substrate to reduce its stability, loadcarrying ability, and fatigue properties.

6. Presence of sufficient levels of beneficial “backup” elementsto provide resistance to oxide breakdown and penetration,and to increase primary oxide-element-former activity, e.g.,Cr increases the activity of Al.

Several coating methods are used. The first development (1950’s) wasthe chemical vapor deposition process involving aluminizing either in a packor out of a pack.[20] It is a simple, inexpensive, non-line-of-sight process whichresults in good oxidation resistance from the NiAl coating. However, theprocess is limited to specific substrate compositions, and the coating layerhas limited low temperature ductility. It became unsuitable for the advancedgas turbines and many industrial turbines developed in the 1960’s and 1970’s.The need arose to produce a coating tailored to the specific environmental andmechanical conditions, and one which is independent of the substratecomposition and structure. Such an overlay coating is produced by thesimple PVD evaporation process using high rate electron beam evaporation.A key development was the ability to deposit alloys from a single source. Anextended rod-fed evaporation source is heated by the 150 kW electron beamguns with a sophisticated beam deflection program to obtain precisethickness distribution and coating chemistry. Eleven turbine blades are coatedat one time. Up to 20,000 parts can be coated each month.[21] These alloys areknown as MCrAlY where M can be Ni, Co, Fe or Ni + Co. The steps in electron

Page 777: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

780 Deposition Technologies for Films and Coatings

beam evaporation-deposition processes for MCrAlY coatings are givenbelow.

Receiving

Inspection and Batching

Surface Preparation:

- Degreasing

- Grit Blasting

- Vapor Honing

Weighing (if used as thickness and process control)

Loading into Fixtures and Masking

Coating Cycle

- Pre-Heating

- Coating Deposition

- Cooling

Removal from Fixtures

Weighing

Overspray Removal

Peening

Diffusion Heat-Treatment

(often substrate alloy solution treatment)

Aging Heat-Treatment (if specified)

Inspection and Documentation

Shipment

Reworking—if applicable

(stripping and re-cycling through appropriate process)

Later developments include the use of an alternate deposition technique,low pressure plasma spray (LPPS), although e-beam evaporation is still theproduction technique used. As the source temperature of the engine hasincreased to the limit for the use of superalloys (even including hollow airfoilswith forced air cooling) the use of stabilized zirconia as a thermal barrier outerlayer is being assessed on an experimental basis. These coatings can bedeposited by both e-beam evaporation and LPPS. Currently, the e-beamevaporation method is the preferred one. However, due to a tendency towardsbrittleness, a microlaminate composite consisting of multiple alternate layersof MCr-Al-Y and Al2O3 deposited by e-beam evaporation has been developedby Professor B. A. Movchan at the Paton Electric Welding Institute, Kiev,

Page 778: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Metallurgical Applications 781

Ukraine and is reported to have been used by him on an experimental scale.The thermal conductivity of microlaminate composites has been recently

studied by Radhakrishna, Doerr, Deshpandey, and Bunshah,[22][23] whostudied the microlaminate materials systems Ni-NiCoCrAlY and Ti-CoCrAlYwith up to 480 alternate layers. They showed that the thermal conductivity ofthe microlaminate composites perpendicular to the laminate plane decreaseswith increasing number of interfaces (layers) but in a non-linear fashion. Thedrop in conductivity is associated with the interface. This would present analternate to the stabilized zirconia coating and has the advantage that is notbrittle.

9.0 FRICTION AND WEAR

Friction and wear are interrelated phenomena. Tribology is the scienceof friction and wear. Friction may be defined as the force necessary to initiatesliding between two contacting surfaces—surfaces are not atomically smooth.Contact is between asperities. Surface topography is thus an essential factorin the study of wear. Tribological contacts are both elastic and plastic, thelatter leading to the formation of junctions or microwelds between asperitieson mating surfaces. Lubrication is the means used to attempt to separatecontacting surfaces by inserting a lubricant between them. The lubricant canbe a solid or liquid.

There are several types of wear.

9.1 Adhesive Wear

The various steps in adhesive wear are:

1. Formation of microwelds between asperities which aredeformed under load.

2. Shear of the two surfaces leading to fracture in or near themicroweld region causing the generation of wear debriswhich can then cause abrasive wear.

3. Repeat steps (1) and (2).

9.2 Fretting Wear

Two loaded surfaces in contact undergo relative oscillating tangentialmovement known as “slip” as a result of vibration or cyclic stressing. Theamplitude of slip is 2 - 20 µm. The mechanism is somewhat similar to adhesive

Page 779: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

782 Deposition Technologies for Films and Coatings

wear and consists of:

1. Adhesion by microwelding causing material to be raisedabove the level of the original surface.

2. Shearing of the raised regions.

3. Removal of material by delamination causing the formation ofwear debris.

9.3 Abrasive Wear

Abrasive wear may be described as damage to a surface by a hardermaterial. Other terms used to describe abrasive wear are scratching, scoring,gouging. There are two types of abrasion wear:

1. Two body abrasion, where the harder surface cuts into thesofter surface such as in grinding, cutting, and machiningoperations.

2. Three body abrasion, where a hard particle like a wear debrisis caught between softer surfaces, thus abrading them.

9.4 Fatigue Wear

Repeated stresses or stress cycles with two surfaces in contact causeinitiation of failure at subsurface levels, finally leading to delamination of thesurface.

9.5 Impact Erosion Wear by Solid Particles and Fluids

This type of wear occurs when solid particles impact against a targetmaterial at speed. It is measured as a weight of material removed (Ε) by aunit weight of impacting particles. When considering the performance oftarget materials of different densities, it is more appropriate to use volumetricerosion εν which is ε/ρ), ρ being the density.

The important parameters are the size, morphology, hardness, andangle of impingement of the particles, and the hardness of the impactedsurface.

Brittle erosion can occur by micro-fracture with little or no deformation.Ductile erosion can occur by: (i) cutting action, (ii) extrusion and

fragmentationSpecial circumstances lead to the following additional types of wear.

Page 780: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Metallurgical Applications 783

9.6 Corrosive Wear

The type of wear is due to the dynamic interaction between theenvironment and the mating material surfaces. It occurs in a two-step cyclicmanner:

1. The contacting surfaces react with the environment andreaction products are formed on the surface.

2. The reaction products are attrited off the surfaces by crackformation and/or abrasion in the contact interactions.

9.7 Electric Arc Induced Wear

Arcing between surfaces due to the presence of a high potential causeswear by melting, corrosion, and even direct ablation of material. The largecraters caused by arcing, in subsequent sliding in oscillatory motion, lead toshears and fractures, abrasion, corrosion, surface fatigue, and fretting. Thusarcing can initiate several modes of wear and can cause catastrophic failurein electrical machinery.

9.8 Solution Wear (Thermodynamic Wear)

This type of wear occurs due to the relative thermodynamic instability ofone material with respect to the other. It occurs predominantly at hightemperatures where chemical interaction is active, e.g., at cutting tool tipscontacting the work piece. Consequently, a diamond cutting tool is unstableagainst a steel work piece because of the large solid solubility of carbon in ironat elevated temperatures. Similarly, various ceramic coatings have differentthermodynamic stability vis-à-vis the specific material being cut. The theoryhas been developed by Professor Bruce Kramer and appears to work well forvarious carbide and nitride CVD coatings on cemented carbide substrates forthe machining of steel.

10.0 COATINGS TO REDUCE FRICTION AND WEAR

10.1 Friction

As discussed above, friction is the force necessary to initiate slidingbetween two mating surfaces. It is proportional to the real area contactbetween the two surfaces, keeping in mind that mating surfaces are in contactalong the asperities. Under load, if the real area of contact increases by

Page 781: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

784 Deposition Technologies for Films and Coatings

Material Pair

LowHumidity

(0.5 - 20%)

ModerateHumidity(~50%)

DepositionMethodology Reference

METAL/METAL1. SS-Type 304/SS Type 4102. Titanium/SS Type 410

0.670.75

--

--

11

METAL/CERAMIC1. Tool Steel/SiC2. Tool Steel/TiC3. Tool Steel/TiN4. SS Type 304/TiC5. SS Type 304/TiN6. Ti/TiN7. Steel/BN, TiN

---

0.420.750.45

0.1 - 12

0.230.250.49

----

CVDCVDCVD

ARE (PVD)ARE (PVD)ARE (PVD)

-

2221114

CERAMIC/CERAMIC1. TiC/TiN2. TiC/TiN3. TiC/TiC4. TiN/TiN5. TiN/TiN

-0.180.32

----

0.05 - 0.2--

0.190.65

0.1 - 0.30.19

ARE (PVD)CVDCVDCVD

ARE (PVD)ARE (PVD)

CVD

322233-

deformation of the asperity contacts, friction will increase. For stronger(harder) materials, the real contact area will be smaller than with weakermaterials. This is illustrated in Table 14.3 where the coefficient of frictiondecreases when the surfaces are strong ceramics as compared to weakermetals. Also, in the case of ceramic-ceramic contacts, there is no tendencyfor microwelding, which occurs between metal-metal contacts, which wouldincrease the friction.

Table 14.3. Friction Coefficient Data at Ambient Temperatures WithoutLubrication

Friction Coefficient

References:1. Suri, A. K., Nimmagadda, R., and Bunshah, R. F., Thin Solid Films, 64:191 (1979)2. Hintermann, H. E., Thin Solid Films, 84:215 (1981)3. Jamal, R., Nimmagadda, R., and Bunshah, R. F., Thin Solid Films, 73:245 (1980)4. Dimigen, H. and Hübsch, H., ICMC '83

6. TiN/Ti2N + TiN 7. Al2O3/TiC

Page 782: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Metallurgical Applications 785

10.2 Lubrication

Lubrication is a universally applied technique to decrease friction andwear. In most engineering applications, oil is common lubricating fluid. Thinfilms of soft materials are also good lubricants if they can deform easily byshear. Well-known examples are pure face-centered cubic metals like silverand gold, or hexagonal close-packed materials like graphite, molybdenum di-sulfide (MoS2), tungsten di-selenide (WSe2), etc., which slip easily on thebasal plane. These solid lubricants are particularly useful in space-craftoperations of long duration (years) where a bearing would have to be activatedafter a long period of time to move an instrument for example. If liquidlubricants were to be used, the danger is that they may evaporate in the highvacuum of space or be polymerized by the various radiation fluxes present.This danger does not exist with solid lubricants which are usually depositedby sputtering. It is important that the temperature of deposition be such thatthe film is fully crystalline to enable it to shear,[23] as contrasted to anamorphous deposit produced at lower deposition temperatures.

10.3 Wear

A recent book by Bhusan and Gupta[24] gives an extensive discussionon coatings to resist wear. Most of these are hard coatings accompaniedpreferably by a low coefficient of friction. Hard surfaces can be created by anumber of surface treatments:

Mechanical - work hardening

Thermal - heating and coating to produce phase changes,e.g., martensite formation in studs, precipita-tion in non-ferrous alloys.

Chemical - diffusion of various elements into the surface,e.g., carburizing, nitriding, boriding, chromiz-ing, aluminizing, etc.

Alternately, an overlay coating of a hard layer can be produced on thesurface by various deposition techniques such as hard facing (plasma spacing,wire spray, detonation gun, etc.), chemical vapor deposition (CVD), physicalvapor deposition (PVD) such as evaporation and sputtering techniques, andplasma-assisted physical vapor deposition (PACVD) such as activatedreactive evaporation (ARE), reactive sputtering (RS) and reactive ion plating(RIP). The materials deposited by these overlay processes are metal, alloys,cermets, ceramics, and multilayer composites on a macro and micro scale.

Page 783: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

786 Deposition Technologies for Films and Coatings

The most prominent application of hard coatings for wear is in the lifeimprovement of cutting tools. The CVD process for deposition of hard coatingswas developed in the late 1940's and commercially applied to improve cuttingtool life nearly 20 years later. One of the problems that had to be overcometo make CVD processing commercially feasible was the need to reharden andtemper the high-speed steel substrate after the CVD process was carried outat temperatures ranging from 1000 to 1100°C (1830 to 2010°F). This spurredthe development of a low-temperature high-rate deposition process for hardcoatings. Such a process (the ARE process) was developed by Bunshah andRaghuram in 1971 (Ref. 25). The application of TiC and TiN coatings onto M42high-speed steel tools by Bunshah and Shabaik (Ref. 26) in 1975 was the firstdemonstration of large increases in cutting-tool life. Bhusan and Gupta[24]

have compiled a table that summarizes tool wear-life improvement. Table 14.4is an adaptation of Bhusan’s original table.

Table 14.4. Summary of Tool Wear-Life Improvement by Hard CoatingDeposition

Tools substrate Coating material/treatment

CoatingThickness,

(µm)

Depositionmethod

Improvement in wearlife

Reference

HSS (M42) cuttingtool

TiC 5 - 8 ARE Three to eight times Bunshah et al.(1975,1977)

Cemented carbidecutting tool

TiN, TiC 5 IP, CVD IP comparable toCVD

Kobayashi and Doi(1978)

HSS (M-10) drill TiC, TiN 2 ARE Twenty times Nimmagadda et al.(1981)

Cemented carbidecutting tool

TiN 5 MS Several Times Ramalingham andWiner (1980)

Cemented carbidecutting tool

TiC, TiN 8 - 10 CVD Several times Hintermann (1981,1984)

Cemented carbidecutting tool

HfN, TiC/Al2O3,Al2O3, TiC/TiN

- CVD HfN most superior Oakes (1983)

HSS (M-10) drill TiN 1 - 2 MS Fifty times Sproul and Rothstein(1985)

Cemented carbidecutting tool

(Ti, Al)N, TiN/TiC - CVD Three times betterwith (Ti, Al)N

Knotek et al. (1987)

HSS (M-10) drill (Ti, Al)N, TiN - IP Three times betterwith (Ti, Al)N

Knotek et al. (1987)

Cemented carbidecutting tool

TiN, HfN, ZrN 8 - 18 CVD, IP Hardness of IP, MS,ARE Coatings atRoom TemperatureSuperior to CVD

Quinto et al. (187)

HfN, TiC/Al2O3,Al2O3, TiC/TiN

Page 784: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Metallurgical Applications 787

Other important developments include diamond and diamond like carboncoatings, cubic boron nitride coatings and multilayer composites on amicroscale, a development which is spurred on by the realization of large multi-target unbalanced magnetron sputtering machines.

REFERENCES

1. Nowak, W. B., Surface and Coatings Technology, 49:71 (1989)

2. Egert, C. M., Corrosion, 44:36 (1988)

3. Horton, J. B., Corrosion Control by Coatings, (H. Leidheiser, ed.), p. 59,Science Press, Princeton (1978)

4. Meyers, R. G. and Morgan, R. P., Trans. Vac. Met. Conference, p. 271(1966)

5. Butler, J. F., J. Vac. Sci. Tech., 1:S52 (1970)

6. Schiller, S., Forster, H., and Jasch, G. J., Vac. Sci. Tech., 12:800(1975); Schuller, S., Goedicke, K., and Metzner, C., Plasma ActivatedHigh Rate Electron Beam Evaporation for Coating Metal Strips, 12thInternational Vacuum Congress, (Oct. 1992), to be published

7. Fannion, E. R., Reports McAir No. 77-012 and 77-014, McDonaldDouglas Corp, St. Louis (1977)

8. Antler, M., Corrosion Control by Coatings, (H. Leidheiser, ed.) p.115,Science Press, Princeton (1978)

9. Novak, W. B., and Wong, G., J. Vac. Sci. Tech., A5(4):2164 (1987)

10. Novak, W. B. and Seyyedi, J., Fundamental Aspects of CorrosionProtection by Surface Modifications, p. 89, The ElectrochemicalSociety, Pennington, NJ (1984)

11. Novak, W. B., Burns, L. E., and Harris, V. G., J. Vac. Sci. Tech.,A7(3):2350 (1989)

12. Novak, W. B., Materials Science and Engineering, 23:301 (1976)

13. Motojima, S. and Kohno, M., Thin Solid Films, 137:59 (1986)

14. Wiiala, U. K., et. al., Surface and Coatings Technology, 41:191 (1990)

15. Beverskog, B., et. al., Surface and Coatings Technology, 41:221 (1990)

16. Agarwal, P., Nath, P., Doerr, H. J., Bunshah, R. F., Kuhlnam, G., andKoury, A. J., Thin Solid Films, 83:37 (1981)

Page 785: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

788 Deposition Technologies for Films and Coatings

17. van Leaven, L., Alias, M. N., and Bronon, R., Corrosion Behavior of IonPlated and Ion Implanted Films, - to be published

18. Walters, R. P., Surface and Coatings Technology, 39/40:655 (1989)

19. Yoshioka, T., Ukegawa, H., Kawai, H., Fujita, N., and Iragashi, T., paperpresented at the International Conference on Metallurgical Coatings,San Diego (1988)

20. Goward, G. W., J. of Metals, 22:31 (1970)

21. Stephan, M., Dietrich, W., Feurstein, A., and Hoffmann, O. H.,Metallurgy, 5:2 (1981)

22. Radhaknshna, M. C., Doerr, H. J., Deshpandey, C. V., and Bunshah,R. F., Surface and Coatings Technology, 36:143 (1988)

23. Radhaknshna, M. C., Doerr, H. J., Deshpandey, C. V., and Bunshah,R. F., Surface and Coatings Technology, 39/40,153 (1989)

24. Goward, G. W., J. of Metals, 22:31 (1970)

25. Stephan, M., Dietrich, W., Feuerstein, A., and Hoffmann, O. H, Metall,5:2 (1981)

26. Radhakrishna, M. C., Doerr, H. J., Deshpandey, C. V., and Bunshah,R. F., Surface and Coatings Technology, 36:143 (1988)

27. Radhakrishna, M. C., Doerr, H. J., Deshpandey, C. V., and Bunshah,R. F., Surface and Coatings Technology, 39/40:153 (1989)

28. Spalvins, T., Thin Solid Films, 53:285 (1978)

29. Bhusan, B. and Gupta, B. V., Handbook of Tribology, McGraw-Hill,(1991)

30. Bunshah, R. F. and Raghuram, A. C., J. Vac. Sci. Tech., 9:1385 (1972)

31. Bunshah, R. F. and Shabaik, A. H., Research and Development, 26:46(1975)

Page 786: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

789

15

Characterization of Thin Filmsand Coatings

Gary E. McGuire

1.0 INTRODUCTION

Characterization techniques for the analysis of thin films and coatingsencompass a broad range of spectroscopies. Each one has a special nichewhich is based on the information it provides that is not available from otheranalytical techniques. To fully characterize a material requires a combinationof these tools. There are hundreds of different characterization techniques butonly a small fraction of them are widely used as general purpose analyticaltechniques.

In this chapter some of the more widely utilized techniques for charac-terizing thin films and coatings will be described. The discussion will addresssurface analysis, microscopy and optical techniques. The basic principles ofeach technique will be reviewed and applications will be described whichillustrate the use of the tool. Characterization strategies will be considered inlight of the type of information that may be obtained.

2.0 SURFACE ANALYSIS TECHNIQUES

2.1 Auger Electron Spectroscopy

Auger electron (AE) excitation is usually accomplished with anenergetic electron beam. Figure 15.1 shows an energy level diagram which

Page 787: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

790 Deposition Technologies for Films and Coatings

depicts the AE process. Incident electrons with sufficient energy create acore hole through the excitation of an ionizing photoelectron.[1] The atom, leftin an excited state, de-excites through the emission of soft x-rays or Augerelectrons, both of which are characteristic of the energy levels involved. Thekinetic energy of the Auger electron illustrated in Fig. 15.1 may be describedas

Eq. (1) E E E EKL L K L L1 2 3 1 2 3, ,= − − − ∅

where EK is the energy of the ionized core level, EL1 is the energy of the levelfrom which the electron originates to fill the initial core hole, EL2 3, is the energylevel from which the Auger electron is emitted and Ø is the work function.Except for the lighter elements, multiple characteristic Auger transitions occurdue to the various core electrons that undergo electron stimulated emissionand the multiple combinations of outer energy levels available for de-excitationand Auger emission. The characteristic AE is usually described by the threeenergy levels involved in its emission as in Eq. 1.

Figure 15.1. Energy level diagram describing the process for the emission of Augerand photoelectrons.

Page 788: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Characterization of Thin Films and Coatings 791

The kinetic energy of the AE is independent of the excitation source.Electron beams are the preferred excitation source because they can befocused to a small spot and deflected to, or rastered over, a region ofinterest on a sample. Electron beams in the 1 - 20 kV range have beenutilized, however, the maximum cross-section for excitation is usually 2.5- 3 times the energy of the core shell.[2] Higher electron beam energiesare more favorable in order to focus the beam to a small spot size. Spatialresolution of 25 - 50 nm has been achieved, however spatial resolution isgained by sacrificing beam current and subsequently sensitivity. Highbrightness electron sources such as LaB6 or field emitters are used tominimize the loss in sensitivity.

The AE transition is a small feature sitting on a large background ofinelastically scattered electrons.[3] The most prominent features in the AEspectrum are the backscattered electrons from the primary beam and lowenergy secondary electrons. The data is presented in the N(E) versus E modeand background subtracted to enhance the weak Auger signal. Historicallythis was done using a lock-in amplifier and presenting the data in the dN(E)/dE versus E format.

Figure 15.2 shows a schematic diagram of an Auger spectrometer. Theoptics for the primary electron beam are coincident with the cylindrical mirroranalyzer. The focal point of the primary electron beam is designed to beidentical to that of the electron spectrometer. When a sample is positionedat the focal of the electron spectrometer, Auger electrons are excited at thesurface by the primary beam and pass through the acceptance slits into thespectrometer. A negative potential applied to the outer cylinder of the analyzerdeflects the electrons through the exit slit onto an electron multiplier. Bysweeping the voltage on the outer cylinder, the electron energy spectrum maybe scanned. A secondary electron detector is incorporated in the chamber tofacilitate locating the primary beam on the area of the sample to be analyzed.

The shallow attenuation length or inelastic mean free path of electronsas a function of energy is the factor which gives all of the electron spec-troscopies their surface sensitivity.[4] In the range of interest, from 0 - 2000 eV,the inelastic mean free path (IMFP) is only a few monolayers, Fig. 15.3. TheIMFP dependence on electron energy in this range varies considerably frommaterial to material. These variations are associated with differences in theelectron energy loss functions among the materials.[5] These variations leadto uncertainty in the quantification of the data.

Page 789: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

792D

epo

sition

Tech

no

log

ies for F

ilms an

d C

oatin

gs

Figure 15.2. Schematic diagram of a cylindrical mirror analyzer Auger spectrometer.

Page 790: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Characterization of Thin Films and Coatings 793

Characteristic Auger transitions may be observed for all elements withthree or more electrons. As a result, AES is often used to survey the surfacecomposition of materials. For example, Fig. 15.4 shows an AES spectrum ofthe surface of a Si wafer coated with an Al - 4% Cu (atomic weight) after etchingin a CCl4 plasma. The plasma etch removes the Al but leaves a Cu residuesince Cu-plasma reaction products are not as volatile as those of Al. The Cu-rich residue is only a few monolayers thick and, as a result, can only bedetected by surface analysis techniques such as AES.

Utilizing the AES surface sensitivity with ion sputtering provides ameasurement of the elemental composition as a function of depth (depthprofile). An ion gun is utilized to bombard the surface with a flux of inert gas

Figure 15.3. Plot of electron inelastic mean free path versus energy whichillustrates the basis for the surface sensitivity of the electron spectroscopies.(Reprinted with the permission of the publisher, John Wiley & Sons, Ltd.)

Page 791: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

794 Deposition Technologies for Films and Coatings

ions in the 1 - 5 keV range, removing controlled amounts of material dueto the transfer of momentum from the impinging ions to the surface atoms.By monitoring the Auger signal intensity of selected elements as afunction of sputtering time, a plot can be generated which represents theconcentration as a function of depth. Figure 15.5 shows the in-depthprofile of a sample consisting of multiple 50 nm layers of Ni and Cr.[6] Thedepth resolution between the Ni and Cr layers is excellent and is achievedby using a low primary beam energy to minimize knock-on effects andsample rotation to reduce ion induced surface roughness. A variety of ion-induced artifacts may occur, however the additional information gained asa result of an in-depth profile with a resolution of 20 - 50 Å usuallyoutweighs the disadvantages.

Figure 15.4. Auger spectrum of a silicon surface after removing a copper-dopedaluminum layer using a CCl4 plasma.

Page 792: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Characterization of Thin Films and Coatings 795

Auger spectra usually contain features which are characteristic of thesurface chemistry of the material under investigation as a result of theparticipation of the valence band electrons in the Auger process. Thesefeatures have been studied for many systems and may be used as a meansof identifying the chemical oxidation state. Figure 15.6 illustrates the changein the Ga L3M4,5M4,5 Auger electron kinetic energy and line shape in twodifferent chemical environments.[7] The Ga Auger transition is shifted by 4.9eV for the oxide formed on GaAs by anodic oxidation relative to the peak forthe underlying GaAs substrate. A similar shift of 5.8 eV is observed for the AsL3M4,5M4,5 Auger transition for As in the anodic oxide relative to the transitionfor As in GaAs. Chemical shifts of this magnitude have been observed for mostelements. Since the spectral features are complex and the magnitude of thechemical shift relatively small, it is not simple to determine the compositionof multicomponent systems.

The primary electron beam can be focused to less than 200 Å. Byrastering the beam over the sample surface, elemental distribution maps maybe obtained of the surface composition. This is accomplished by fixing thepass energy of the spectrometer so that only one Auger transition is beingmonitored while the beam is scanned over the surface. If more than oneelement is of interest the pass energy is adjusted for each elemental map. Onetypically looks for inter-relationships in the maps as an indication of surfacestructure, compound formation, corrosion, etc.[8] When the Auger transitionsexhibit features which are indicative of certain oxidation states, thesetransitions may be mapped to obtain the chemical state distribution.

Figure 15.5. AES depth profile of a sample of multiple 50 nm layers of Ni and Cr.(Reprinted with the permission of the publisher, John Wiley & Sons, Ltd.)

Page 793: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

796 Deposition Technologies for Films and Coatings

AES has a detection limit of approximately 0.1% atomic or 1018 atoms/cm3 with a sensitivity variation of 50 - 100 across the Periodic Table. Severalhandbooks of Auger data[9] provide relative sensitivity factors for the elementswhich may be used for quantitative analysis. A new journal, Surface ScienceSpectra, archives AES and XPS spectra that have been peer reviewed. Figure15.6 illustrates the typical relationship between minimum detectable concen-tration and primary beam current and diameter at 10 kV for AES.[10] At higherresolution the beam current is restricted resulting in lower sensitivity. Eventhough AES has relatively poor sensitivity, it is one of the more popular surfaceand thin film analysis techniques.

Figure 15.6. Typical relationship between minimum detectable concentration andprimary beam current and diameter at 10 kV for AES.

Page 794: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Characterization of Thin Films and Coatings 797

AES may be utilized on a wide variety of materials but, due to the useof an electron beam for excitation, it suffers limitations. The electron beam,and ion beam used for sputtering, may induce sample decomposition. Thisproblem is accentuated by the high current densities that occur with smallprobe diameters. Insulating materials may be difficult to evaluate due tosample charging effects. The imbalance of currents from the primary beam,the secondary electrons, and the sample result in a surface potential whichdistorts the Auger electron energy.

2.2 Photoelectron Spectroscopy

Photoelectron spectroscopy is a technique which has many similaritiesto AES.[11] The same energy level diagram (Fig. 15.1) may be used to describethe photoemission process. Excitation of the ionizing photoelectron may beaccomplished through the use of a variety of energetic photons or chargedparticles. The primary focus in this section will be monochromatic x-rayexcitation of photoelectrons (XPS). Use of a monochromatic excitation sourceis essential to this spectroscopy since the photoelectron’s kinetic energy isdirectly dependent on the energy of the excitation source. By knowing theenergy of the x-ray (hv) with a high degree of accuracy and measuring thekinetic energy (KE) of the emitted photoelectron, the binding energy (BE) ofany electron energy level less than the photon energy can be determined fromthe relationship:

Eq. (2) BE = hv - KE + Ø

where Ø is the work function.A variety of electrostatic electron energy analyzers have been produced

commercially. The most widely used come from the family of spherical sectoranalyzers illustrated schematically in Fig. 15.7. The lens in this case is simplya transfer lens which transfers electrons from the analyzed area on the sampleonto the entrance slit of the analyzer. Removing the sample from closeproximity to the entrance slit of the analyzer in this way provides much greaterworking space around the sample. Most spectrometers make use of aposition-sensitive, multiple-array detector to enhance the count rate.

An x-ray source with either an Al or Mg anode, mounted in proximityto the sample is used for excitation. The x-rays flood a broad area of thesample since they, unlike the electron source in AES, can not be easily

Page 795: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

798 Deposition Technologies for Films and Coatings

focused. The acceptance angle of the spectrometer in combination withthe transfer lens determines the area of analysis which is typically a fewmillimeters in area. Figure 15.7 illustrates an x-ray source with amonochromator, although x-ray sources with and without monochroma-tors are in widespread use. The monochromator is based on the diffractionof Al x-rays off of a bent quartz crystal resulting in a narrower linewidth (0.4eV versus 0.9 eV), focusing of the x-rays (<150 µm), and elimination of thesatellite x-ray lines.

Figure 15.7. Schematic diagram of an XPS system utilizing a bent quartz crystal x-ray monochromator in conjunction with an electrostatic lens and spherical sectoranalyzer.

Page 796: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Characterization of Thin Films and Coatings 799

The spectra are usually plotted in the N(E) versus BE format. Eachelement exhibits a unique set of photoelectron (PE) transitions correspondingto its atomic energy levels. The PE transition energies are a function of atomicnumber so that the energy levels of adjacent elements in the Periodic Tableare shifted in binding energy.[12] The spectral features are Gaussian-like,sitting on a low background. In addition to elemental identification, thestrongest attribute of XPS is its ability to distinguish different oxidation states.Figure 15.8 shows the XPS spectrum of a bare Si wafer. The two peaksassociated with the Si2p transition are from elemental Si and SiO2 of the 20- 30 Å of native oxide. So, in addition to illustrating the chemically-shiftedpeaks, this figure also illustrates the surface sensitivity of XPS. The surfacesensitivity, as in AES, is controlled by the inelastic mean free path of theelectron as illustrated in Fig. 15.3, rather than the path length of the x-raysused for excitation.

Figure 15.8. XPS spectrum of a Si surface showing two Si2p peaks, one associatedwith elemental Si and the other associated with the SiO2 of the native oxide.

Page 797: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

800 Deposition Technologies for Films and Coatings

The ability to distinguish different oxidation states, as illustrated by thechemical shift of the Si2p transition of SiO2 relative to that of Si, is one of thestrengths of XPS. The shift in the core level binding energy is due to changesin the valence electron density. Chemical shifts as large as 12 eV have beenobserved; however, since there are many compounds in which the element ofinterest is in similar oxidation states, the binding energies are not unique.This is illustrated in Table 15.1 for the Cr2p3/2 transitions for a series ofchromium compounds. Similar chemical shifts may be observed for bothcations and anions. Table 15.2 lists the relative chemical shifts of S, Se andTe compounds[13] for anionic species X−2, XO3

−2 and XO4−2. In addition, there

are other spectral features which provide additional chemical information.[14]

Table 15.1. Chemical Shifts in the Cr2p3/2 Transition of ChromiumCompounds

Page 798: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Characterization of Thin Films and Coatings 801

XPS, like AES, can be combined with ion sputtering in order to generatein-depth profiles. Since the area of analysis for XPS is larger than for AES, theion beam must be defocused or rastered over a larger area in order to obtainan analysis area of uniform depth. As a result, the sputter rate is much slowerwhich, especially when coupled with the longer data acquisition times of XPS,results in longer times to generate concentration versus depth profiles. Bymonitoring the chemically shifted peaks it is possible to generate chemicaloxidation state versus depth profiles. This is extremely valuable for multicom-ponent systems. For example, Table 15.3 lists the oxide formed during thethermal oxidation of various compound semiconductors. Typically one of thecomponents of the system will oxidize more readily; based on the heats offormation, the predominant oxide may be predicted. Frequently the othercomponent is concentrated at the interface or lost due to evaporation. Thecomposition of the oxide, especially as a function of depth, has been found tobe strongly dependent on the method and conditions of formation.

Since the photoelectron spectra of many elements exhibit only smallchemical shifts for a series of compounds, it is frequently necessary toexamine the other features of the spectrum. One of these features whichfrequently exhibits useful chemical information, even when the photoelectrontransitions do not, is the corresponding Auger transition. Since Augeremission is a multi-step process in which two electrons are emitted—theAuger and photoelectron—the electron shells surrounding the atom have more

Table 15.2. XPS Chemical Shifts of Anion Compounds

Page 799: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

802 Deposition Technologies for Films and Coatings

time to undergo relaxation. This results in larger chemical shifts for the Augertransition as compared to the corresponding photoelectron transition. Table15.4 compares the binding energies of photoelectron and Auger transitions fora series of metals and their oxides.[12] In all cases, the Auger transitionexhibits a factor of two larger chemical shift over that of the photoelectrontransition. However, Auger spectra are more complex and exhibit broader linewidths which limits the use of the chemical shift information they contain. Inaddition, Auger transitions are not always excited due to the limited energyrange of the typical Al or Mg x-ray source.

The detection limit for XPS is approximately 0.5% atomic or 5 x 1018

atoms/cm3 with a sensitivity variation of 102. There are several data setsavailable which provide relative sensitivity factors based on peak area.[15]

The x-rays used for excitation do less damage to the surface than theelectron beams used for AES. Sample charging is minor since only thephotoemission and sample return currents must be balanced.

Table 15.3. Chemical Compound Formation During Thermal Oxidation ofCompound Semiconductors

Page 800: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Characterization of Thin Films and Coatings 803

Table 15.4. Chemical Shifts in X-ray Excited Auger Spectra

2.3 Secondary Ion Mass Spectroscopy

Secondary ion mass spectroscopy (SIMS) is the mass analysis ofsecondary ions generated by ion sputtering. As illustrated in Fig. 15.9,bombarding the surface of a solid with an energetic ion beam generates avariety of secondary transitions, including the emission of electrons, photonsand ions. Detection of any of these secondary events could serve as the basisfor an analytical probe, however, SIMS is optimized for the detection of positiveand negative secondary ions.

Page 801: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

804 Deposition Technologies for Films and Coatings

There are several different types of SIMS instruments in widespread use.They are based on a magnetic sector or quadrupole mass spectrometer.Figure 15.10 is a schematic diagram of a quadrupole mass spectrometer-based instrument which contains all the essential features of a SIMS system.Many SIMS instruments have two ion sources; a duoplasmatron ion sourcewhich generates ions from a gas source such as Ar or O2 and a liquid metalion source which generates ions using emission of low melting-point metals.The primary beam is mass analyzed to separate the positive and negative ionsand the neutrals which are produced in the ion source. A condenser lens isused to focus the ions and charge deflection plates are used to position thebeam or raster it over the sample surface. A simple electrostatic analyzer isused prior to the mass analyzer in order to select a narrow energy distributionof the secondary ions. A quadrupole mass spectrometer is used for low cost,low to intermediate mass resolution and high speed peak switching. Magneticsector mass spectrometers are used for high mass resolution and highcollection efficiency but are slow at peak switching. Instruments frequentlymake use of a secondary electron detector to position the primary ion beamand an electron gun for charge compensation.

Figure 15.9. Schematic diagram of secondary particles generated by an incidention beam.

Page 802: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Characterization of Thin Films and Coatings 805

Since SIMS uses ion bombardment to generate the secondary ions, itis intrinsically a depth profiling technique. In order to insure that the ionsoriginate from a uniform depth it is necessary to raster the Gaussian-shapedbeam in order to achieve a uniform ion flux. The ion beam is rastered over anarea slightly larger than the area of analysis in order to avoid accepting a signalfrom the sloping sidewall. The signal is gated such that the signal is onlyaccepted when the primary beam is away from the crater wall.

Figure 15.10. Schematic diagram of a quadrupole mass spectrometer basedSIMS.

Page 803: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

806 Deposition Technologies for Films and Coatings

One of the most important aspects of SIMS analysis is the success-ful generation of secondary ions. One aspect of this is the selection of theprimary beam energy. The sputtering yield, atoms removed per incidention, is dependent on the incident ion energy. SIMS ion sources are usuallydesigned to operate in the 2 to 20 keV energy range. There is no benefitin going to higher accelerating voltages since the sputtering yield is flat ordecreases above 20 keV. Operation at lower primary beam energiesresults in slower sputtering rates and decreased ion yields but results inimproved depth resolution. For shallow doping profiles, cascade mixingand surface roughening may limit the depth resolution of SIMS analysis.Several studies have demonstrated a practical lower limit of approximately3 keV before the ion beam broadens and limits the depth resolution[17] asillustrated in Fig. 15.11. The angle of incidence of the primary ion beamas well as sample rotation, which was discussed in the section on depthprofiling using AES,[18] play a role in depth resolution.

Only a few percent of the atoms removed by sputtering are ionized.The remainder are neutral atoms or atom clusters. Proper selection of theprimary ion can enhance the ion yield. Positive primary ions enhance theyield of negative secondary ions while negative primary ions enhance theyield of positive secondary ions. The favored primary ions are O− and Cs+

for their high yield of positive and negative secondary ions, respectively.The secondary ion yield is also a function of the electronegativity of

the elements in the sample. For example, when a negative primary ionbeam is used, the relative positive ion yield will be greatest for thoseelements with the lowest electronegativity. Figure 15.12 illustrates thevariation in secondary ion intensity as a function of atomic number for O−

bombardment. The secondary ion yield correlates with the periodic natureof the electronegativity of the elements.[19] Conversely, when a positiveprimary ion beam is utilized the relative negative ion yield will be greatestfor those elements with the highest electronegativity.

The SIMS spectrum is a plot of the secondary ion intensity versus themass-to-charge ratio. As can be seen from Fig. 15.13, the spectrum fromeven high purity elements like Si can be very complex. The spectrumresults from the detection of singly and multiply-ionized atoms and multi-atomic species formed during ion sputtering. The ion intensity is usuallyplotted on a log scale due to the large dynamic range, 106, of the data.

Page 804: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Characterization of Thin Films and Coatings 807

Figure 15.11. The energy dependence of the depth resolution of a quardrupole-based SIMS is illustrated for Cs ion beam profiling of As-implanted Si. (Reprintedwith the permission of the Amer. Vac. Soc.)

Page 805: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

808D

epo

sition

Tech

no

log

ies for F

ilms an

d C

oatin

gs

Figure 15.12. Plot of the relative positive secondary ion yield versus atomic number for 13.5 keV oxygen ions. (Reprintedwith the permission of the journal, Analytical Chemistry.)

Page 806: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Ch

aracterization

of T

hin

Film

s and

Co

ating

s809

Figure 15.13. Plot of the relative secondary ion intensity versus the mass-to-charge ratio resulting from oxygen ionbombardment of high purity silicon.

Page 807: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

810 Deposition Technologies for Films and Coatings

The bulk detection limit of SIMS is 1014 - 1015 atoms/cm3 for manyelements. This is a factor of 104 - 105 more sensitive than AES or XPS.However, SIMS data is more difficult to quantify because the ion yield ismatrix dependent. As a result, other techniques such as Rutherfordbackscattering spectroscopy (RBS) are used to normalize the SIMS data.This is most often done for implant profiles where the SIMS profile may varyfrom 1020 to 1015 atoms/cm3. RBS is used to calibrate the high concentrationportion of the SIMS profile. The depth resolution of these profiles is less than50 Å, depending on the analysis conditions. SIMS depth profiles up to severalmicrometers may be obtained with this depth resolution but, without precau-tions such as sample rotation, cumulative ion mixing may degrade the depthresolution. The depth scale of a SIMS profile requires secondary calibrationusing a mechanical stylus technique, ellipsometry, or an interferometrictechnique.

SIMS is one of a few analytical tools capable of distinguishing isotopes.This has resulted in some well designed experiments that take advantage ofthis capability. For example, Coleman et al.[20] utilized SIMS to investigatethe anodic oxidation of GaAs. By using isotopically labeled H2O, they wereable to distinguish the mechanism by which anodic oxidation proceeds.Figure 15.14 illustrates the depth profiles that were obtained from a GaAs (001)wafer anodized in H2O18 then H2O16 and another sample anodized in theopposite sequence. From this study the authors were able to show thatoxygen is incorporated into the growing oxide at the oxide-electrolyteinterface as opposed to the oxide-semiconductor interface. Mass transportoccurs through the interstices of the growing oxide.

SIMS is effectively used in the analysis of a broad range of materials;however, it does suffer from several limitations in addition to the matrix-dependent ion yield. One of these is charge-induced migration of easilyionized elements such as Na, Li or Cl. The charge that builds up on insulatorsduring ion bombardment may reach sufficient field strength to cause charge-induced migration. Since both positive and negative primary ion beams areused for analysis, charge-induced migration may result in diffusion andaccumulation at the surface or at an interface. This effect may be minimizedby lowering the sample temperature or by neutralizing the surface charge.Charge neutralization may be accomplished by positioning a hot filament inproximity to the sample or by exposing the surface to low energy electronsfrom an electron gun. The degree of success in neutralizing the surfacecharge, however, greatly influences the secondary ion yield.

Page 808: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Characterization of Thin Films and Coatings 811

Since the secondary ion yield is so dependent on a number ofdifferent factors, it limits the application of SIMS. This has led to thedevelopment of techniques which enhance the ion yield in order toreduce the matrix dependence and improve the sensitivity. By adding aphoton source with sufficient energy to ionize the material removedduring the sputtering process, the ion yield can be increased signifi-cantly. This approach is referred to as sputter-assisted laser ionization

Figure 15.14. Depth profile of the isotopic distribution of oxygen in an anodic oxidegrown on GaAs (001). Curve (A) is for GaAs (001) anodized in H2O18 then H2O16.curve (B) is for anodization first in H2O16 then H2O18. (Reprinted with the permissionof the Electrochem. Soc.)

Page 809: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

812 Deposition Technologies for Films and Coatings

(SALI).[21] Another technique, resonance ionization spectroscopy (RIS),uses a laser beam which is tuned to the frequency necessary to ionize theatoms of interest.[22] This provides a means of selectively analyzing for oneelement at a time. Many elements require multiple photon pulses to excitethe atom to an excited state then finally to ionization. It is important to chooseresonate states that can be easily excited and that have large photoionizationcross-sections.

RIS uses ion sputtering to remove material from the surface analogousto SIMS. However, the secondary ions generated by ion bombardment areextracted without analysis. The laser beam is pulsed to excite the remainingneutral material which constitutes approximately 95% or more of the materialremoved by sputtering. Using this approach, detection limits of down to 1010

atoms/cm3 have been reported for Na in Si.[23]

2.4 Rutherford Backscattering Spectroscopy

Rutherford backscattering spectroscopy (RBS) is the energy analysis ofions that are backscattered from a surface. Typically ions with low mass, suchas H+ or He+, are accelerated toward the sample at a potential of 0.5 - 2.0 MeV.As shown in Fig. 15.15, the target, M2, recoils while the primary ion, M1,scatters at an energy E1 at an angle Ø. The scattering energy, E1, is easilycalculated from the relationship,

Eq. (3) E1 = K(M1, M2, Ø) Eo

where

2

21

221

221 sincos

+∅−+∅

=MM

MMMK

The scattering cross-section is a smoothly varying function of the targetmass as shown in Fig. 15.16. From this curve it is obvious that the scatteringefficiency is very low for those elements with low atomic mass. In addition,it is difficult to distinguish elements which have similar masses when theelements have high atomic masses.[24]

Figure 15.17 is a schematic diagram of the equipment necessary toperform RBS. The accelerator must be capable of generating MeV ions of thelight elements. Modern instruments make use of compact tandetron accel-erators which allow the construction of RBS systems which are not signifi-

Page 810: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Characterization of Thin Films and Coatings 813

cantly larger than other surface analysis equipment. The analysis of thebackscattered ions may be achieved through the use of an electrostaticanalyzer or a solid state detector. The solid state detector, the preferreddetection system, is positioned in front of the target at an angle of approxi-mately 30° from the incoming primary beam. A thin mylar sheet is placed infront of the detector to attenuate low energy secondary ions and secondaryelectrons.

Figure 15.15. Schematic diagram illustrating a Rutherford backscatteringcollision.

Page 811: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

814D

epo

sition

Tech

no

log

ies for F

ilms an

d C

oatin

gs

Figure 15.16. Plot of the Rutherford backscattering cross-section versus target atomic mass.

Page 812: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Ch

aracterization

of T

hin

Film

s and

Co

ating

s815Figure 15.17. Schematic diagram of a Rutherford backscattering spectrometer.

Page 813: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

816 Deposition Technologies for Films and Coatings

The spectra are plots of scattered ion intensity versus energy. An RBSspectrum is the sum of a family of scattering curves from each atomic massin the target. As shown in Fig. 15.18, KEo represents inelastic scattering fromthe front surface of the target.[24] At a depth X, the primary ion loses additionalenergy through electron scattering, both going into and escaping from thesolid. Since Rutherford scattering occurs at all depths, a curve is generatedwhich is the sum of all these events. Each atomic mass in the target generatesa separate curve based on its scattering cross-section.[25]

Figure 15.18. A plot of the Rutherford backscattering yield versus the energy of thebackscattered ion with an accompanying illustration showing the scatteringlocation in the sampled depth. (Reprinted with the permission of the publisher,Acad. Press.)

Page 814: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Characterization of Thin Films and Coatings 817

Figure 15.19 illustrates the application of RBS in the analysis of thesilicides formed during the interaction of Ni and Si.[26] The dashed linerepresents the as-deposited Ni on Si case, where He+ scattering from Niand Si results in distinct He+ scattering energies. Upon heating at 300°Cfor 90 minutes, Ni2Si forms for which the scattering curve is representedby open circles. The signal from Ni has decreased in intensity andbroadened while the front of the Si scattering curve has moved toward theNi curve indicating the formation of silicide. Additional heating results ina further decrease in intensity and broadening of the Ni curve and anincrease in the Si signal associated with the silicide. Since the scatteringcross-sections for Si and Ni are known, the stoichiometry for the differentphases of silicide can be calculated without the use of standards. Thereare many examples like this in the literature where a heavy metal in amatrix of a low atomic mass element lends itself to RBS analysis.

Figure 15.19. RBS spectra of the phases of nickel silicide formed following thedeposition and annealing of nickel on silicon. (Reprinted with the permission of thepublisher, Akademie-Verlag.)

Page 815: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

818 Deposition Technologies for Films and Coatings

Since RBS is essentially a non-destructive quantitative analysistechnique, it is frequently used to calibrate other surface analysistechniques. It, however, has a sensitivity limit of about 1018 atoms/cm3

in a Si matrix.[27] This is comparable to the sensitivity limit for AES andXPS but is much less than that of SIMS.

Of the surface analysis techniques, RBS is unique in its ability todistinguish whether a dopant occupies a substitutional or interstitial sitein a crystalline lattice. When the primary ion beam is oriented along thecrystalline planes, the ions penetrate long distances by channeling alongthe open planes. Scattering occurs at crystal imperfections and intersti-tial impurity sites. Figure 15.20 compares the RBS spectra from Siimplanted Si(100) samples which were positioned such that randomscattering and channeling occur.[28] The virgin sample exhibits minimalscattering except in the random orientation, indicating the quality of thecrystal. After implantation, the crystal has undergone extensive damagewhich is evident in the increased scattering along the channeling direction.Subsequent heating at 550°C and 850°C anneals out much of the damage,however, the crystal quality of the virgin sample is not recovered.

Figure 15.20. RBS spectra for Si (100) in the random and < 110 > aligned directionbefore and after 80 keV 30Si+ implant and subsequent anneal at 550° and 850°C.(Reprinted with the permission of the Electrochem. Soc.)

Page 816: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Characterization of Thin Films and Coatings 819

The same equipment used to do RBS can be used for nuclear reactionanalysis (NRA).[24] Instead of Rutherford scattering, the primary ion mustpenetrate the nucleus of the target atom and induce a nuclear reaction asdepicted in Fig. 15.21. The nuclear reaction cross-section as a function ofincident energy must be known in order to select an energy which will resultin adequate yield. The energies required for NRA are frequently higher thanthose used for RBS. Table 15.5 lists some nuclear reactions that are used forthin film analysis. NRA compliments RBS in that many of the useful nuclearreactions are for low atomic number elements for which RBS has lowsensitivity. Since the nuclear reaction cross-sections are well known, NRA,like RBS, is quantitative without the use of standards. This is especiallybeneficial for elements like H which are difficult to detect and quantify by otheranalytical techniques. NRA is also used to calibrate other surface analysistechniques like SIMS. For example, Fig. 15.22 shows the data from NRA andSIMS analysis of B implanted into Si at 10 keV. NRA is not as sensitive asSIMS but it is less matrix dependent and as a result can be used to calibratethe higher concentration portion of the profile.

Figure 15.21. Schematic diagram illustrating an ion-induced nuclear reaction.

Page 817: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

820 Deposition Technologies for Films and Coatings

Table 15.5. Nuclear Reactions Useful for Thin Film Analysis

Page 818: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Characterization of Thin Films and Coatings 821

Figure 15.22. Depth profile analysis of boron implanted into silicon at 10 keV usingNRA (circle) and SIMS (solid line). (Printed with the permission of M. L. Swansonand N. R. Parikh.)

Page 819: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

822 Deposition Technologies for Films and Coatings

3.0 IMAGING ANALYSIS TECHNIQUES

3.1 Scanning Electron Microscopy

Scanning electron microscopy is surface imaging of solids usingelectron-beam-generated secondary electrons. Figure 15.23 illustratesthe electron beam interaction with a solid. The primary beam may befocused to a spot < 50 Å in diameter.[29] Upon interacting with the solid,secondary electrons are generated which are utilized to image thesurface. As the high energy primary electrons penetrate the solid, theyundergo scattering which increases the interaction volume. Some of theprimary electrons will be backscattered toward the surface with little or noloss in energy. Energetic primary electrons ionize atoms in the solidproducing x-rays which are characteristic of the elements that arepresent. With suitable detectors, the x-rays may be detected to provideelemental analysis.

Secondary electrons are low energy even though the primary electronbeam is several keV or higher. Figure 15.24 shows the average energydistribution of secondary electrons from metals.[30] The peak in theenergy distribution is below 5 eV. In order to efficiently collect thesecondary electrons, a high potential bias is applied to a scintillator tubewhich is positioned in proximity to the sample. The signal is converted tolight and fed out through a light pipe to a photomultiplier tube as shown inFig. 15.25. SEM images at less than 20 Å resolution have been obtainedwith several hundred thousand times magnification. SEM’s provide highermagnification with greater depth resolution than optical microscopes.SEM images may become distorted by the surface potential that buildsup on insulators or edge effects at sharp contours. Insulators may becoated with a thin (~100 Å) conductive layer to dissipate the surfacecharge.

Backscattered electrons have the same energy as the primary elec-trons. The electron backscattering coefficient, like that of ions in RBS, is awell known, smoothly varying function of atomic number as shown in Fig.15.26. Since the backscattering yield varies more than the secondaryelectron yield across the Periodic Table, backscattered electrons yield betterimage contrast in many situations.[31] The high energy backscatteredelectrons sample much greater depths than low energy secondary electrons.

Page 820: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Characterization of Thin Films and Coatings 823

Figure 15.23. Diagram illustrating the interaction of the primary electron beam witha solid surface in the production of secondary and backscattered electrons, x-rays,and other secondary radiation.

Page 821: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

824 Deposition Technologies for Films and Coatings

Figure 15.25. Schematic diagram of a scintillator tube used for the detection ofsecondary electrons.

Figure 15.24. Plot of the average intensity of secondary electrons from metals asa function of energy.

Page 822: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Characterization of Thin Films and Coatings 825

One of the most common analytical attachments to the SEM is theenergy dispersive x-ray spectrometer (EDX). The high energy primary electronbeam causes emission of a core electron which leaves the atom in an excitedstate. The atom undergoes de-excitation by x-ray emission, as describedpreviously for Auger electron emission. The x-rays are characteristic of theelements from which they originate. The emitted x-rays are detected by asolid state detector which is positioned in the vicinity of the sample, Fig.15.27. The detector is a Li-doped Si crystal which is biased at highvoltage. X-rays interacting with the detector create electron-hole pairswhich are swept through the detector due to the high voltage bias. Thecharge pulse is converted to a voltage pulse by a charge-sensitive

Figure 15.26. Plot of the electron backscattering coefficient versus atomic number.

Page 823: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

826 Deposition Technologies for Films and Coatings

preamplifier. The useful energy range for EDS systems is from 1.0 to 220keV which limits the analysis to elements with Z > 9. EDS detectors with thinprotective layers or no protective layer, when used in ultra-high vacuumsystems, permit analysis of the lighter elements down to B. The analysisdepth is dependent on the path length of the x-rays, not the primary electronbeam. As a result, EDS signals may originate from depths of 0.5 µm or more.

Figure 15.27. Diagram illustrating the detection of electron-beam-excited x-rays inan SEM using a solid state lithium-doped silicon detector.

The major advantage of EDX is its ability to operate in the pulse-counting mode and detect the characteristic x-rays for all elements above Fin the Periodic Table. A complete spectrum may be obtained in a muchshorter time with EDX than with a wavelength dispersive x-ray (WDX)analyzer. An EDX analyzer has a resolution of approximately 150 eV,whereas a WDX analyzer has a resolution of 5 eV. The Li-doped Si detector

Page 824: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Characterization of Thin Films and Coatings 827

used with EDX requires liquid nitrogen cooling to keep the Li from diffusingand rapidly degrading the detector’s performance.[30]

A schematic diagram of a wavelength-dispersive detector is shown inFig. 15.28. The electron beam excited x-rays interact with a crystal whichdisperses the x-rays. As the crystal is rotated, the different wavelength x-raysenter the detector. A variety of crystals are used in order to optimize the energyresolution and collection efficiency of the broad range of x-ray energies forelements Z ≥ 6. A spectrum of x-ray intensity versus wavelength is generatedfrom which the characteristic x-ray lines may be identified. The detector mayalso be operated at a fixed wavelength, so that the detector output representsan intensity map of the sample surface for one characteristic x-ray when theelectron beam is rastered. The most commonly used detector for the WDXspectrometer is a gas flow proportional counter. When an x-ray enters the tubethrough a thin window and is absorbed, it causes a photoionization-inducedcascade which gives rise to a charge pulse.

Figure 15.28. Diagram illustrating the detection of electron beam excited x-rays witha wavelength dispersive detector.

For bulk samples more than a few micrometers thick, spatial resolutionfor elemental analysis does not improve for beam diameters much less thanone micrometer since the volume of x-ray production is determined by electronbeam scattering.

It is possible to obtain images of magnetic domains in an SEM.Ferromagnetic materials are composed of small subgrain-sized regions

Page 825: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

828 Deposition Technologies for Films and Coatings

called domains. The magnetic moment of these domains may be along acertain crystallographic axis. In some crystals, the magnetic moment at asurface will often have a component normal to the surface. A secondaryelectron ejected from the surface of a uniaxial crystal will experience a forceproportional to the surface magnetic moment. Because the local magneticflux changes sign over each domain affecting the secondary electron signal,images of domains can be obtained using a secondary electron detector (typeI magnetic contrast).

In most ferromagnetic crystals, there is more than one preferred axis. Inthese crystals, closure domains form at the surface that have their magneticmoment lying along the axis most closely parallel to the surface. Inside themetal, there is an abrupt change in the magnetization direction at a domainboundary. Therefore, a primary electron will experience a force in differentdirections from domain to domain. This gives rise to changes in thebackscattering yield as the primary beam sweeps across a domain boundary(type II magnetic contrast). Type I magnetic contrast can be performed atresolutions to 1 µm while type I magnetic contrast is limited to 0.2 µm.[32]

3.2 Transmission Electron Microscopy

The transmission electron microscopy (TEM) utilizes an electron beammuch like the SEM but at higher accelerating potential. A higher acceleratingpotential is utilized since only electrons that are transmitted through thinnedspecimens are imaged. The accelerating potential required depends on thesample thickness and atomic mass but is typically 100 - 400 kV. The TEMhas superior resolution (0.15 nm) to the SEM resulting from the very smallwavelength of high-energy electrons and the limited sample volume for electronscattering.

In the conventional TEM mode, in which the entire region of the specimenis flooded with incident electrons, the images can be viewed directly on afluorescent screen or recorded on photographic film. The information obtainedby electron microscopy is derived from either elastic or inelastic scatteringprocesses. Electrons that do not undergo any scattering or elastic scatteringwith little change in trajectory will form the transmitted beam (bright fieldmode). Elastically scattered electrons with a significant change in trajectoryform the diffracted beam (dark field mode).

Modern analytical electron microscopes are often equipped with a widevariety of signal detectors. In the scanning TEM mode (STEM), any of thesesignals (transmitted electrons, diffracted electrons, backscattered electrons,

Page 826: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Characterization of Thin Films and Coatings 829

secondary electrons and characteristic x-rays) can be used to modulate theinput signal to a cathode ray tube (CRT) to form an image (Fig. 15.29).

Samples are thinned to approximately 50 - 300 nm through a combina-tion of chemical and/or mechanical polishing and ion milling.[33] The speci-men is mounted on a wire grid for ease of handling. Sample may be thinnedvertically or horizontally. Thin films may be prepared by mechanically orchemically removing the substrate.

Figure 15.30 is a cross-sectional TEM micrograph of an epitaxial CoSi2grown using a bimetallic layer process.[34] The CoSi2/Si system is of interestsince it has one of the lowest resistivities of the silicides and has a CaF2

structure with a lattice parameter of about 1.2% less than that of Si, allowingCoSi2 to grow epitaxially on Si. Even though the lattice mismatch betweenSi and CoSi2 is 1.2%, this is considered relatively large, and relaxation of theCoSi2 lattice is expected to occur by introduction of misfit dislocations. Thedislocations are associated with atomic steps at the interface. Cross-sections like this may be utilized to evaluate various epitaxial growthtechniques, implantation damage, deposited films, and contact formation.

Figure 15.29. Diagram of the analytical attachments and modes of operation of aSTEM for evaluating thinned specimens. (Reprinted with the permission of thepublisher, Marcel Dekker, Inc., Ref. 36.)

Page 827: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

830 Deposition Technologies for Films and Coatings

Figure 15.31 provides a different perspective of a silicide film on Si, aplane view. Polycrystalline silicides, such as CoSi2 begin to thin along grainboundaries when annealed at elevated temperatures.[35] The film tends tobreak up into islands, agglomerate, when annealed at sufficiently hightemperatures even for relatively brief periods. The agglomeration leads to filmswith high resistivity which defeats the purpose of using the low-resistivitysilicides as contacts.

When an electron beam interacts with a thin film of a crystalline material,some electrons will undergo elastic scattering with essentially no loss inenergy but significant change in trajectory. The directions in which electronsare elastically scattered is determined by the orientation between the atomicplanes in the specimen and the incident beam. Coherent elastic scatteringin the forward direction produces the conventional electron-diffraction patternsin TEM. The angles through which the electrons are scattered are given byBragg’s law. Diffraction of electrons is identical to the diffraction of x-rays bya crystal except for the wavelength of the diffracting radiation.

The standard method for generating diffraction patterns using con-ventional TEM is by selected area diffraction (SAD) where an aperture isused to limit the area of the specimen from which the diffraction patternis obtained. Figure 15.32 illustrates the nature of the electron diffraction

Figure 15.30. Cross-sectional TEM micrograph of epitaxial CoSi2 grown on Si(100).

Page 828: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Characterization of Thin Films and Coatings 831

pattern that may be observed.[36] Single crystalline samples produceordered diffraction patterns which depend on the crystal structure of thesystem being studies, qualitatively analogous to the Laue technique of x-raydiffraction. From the pattern it is possible to deduce the indices of the crystalplane giving rise to the diffraction spots. As the sample becomes moredisordered, the ordered diffraction pattern is accompanied by diffuse rings untilonly the diffuse rings appear for randomly oriented samples. The ratio of ringdiameters, analogous to a Debye-Scherrer x-ray diffraction pattern, is used toidentify the crystal structure. The advantage of SAD over x-ray techniquesis the analysis of small specimens or individual grains.

Figure 15.31. Plane view TEM micrograph of the growth of polycrystalline CoSi2 onthe open Si regions between patterned SiO2 lines. Upon high temperatureannealing, the CoSi2 tends to agglomerate toward the center of the open region andaway from the silicide/oxide boundary.

Page 829: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

832 Deposition Technologies for Films and Coatings

Compositional analysis in a TEM may be performed using x-rayanalysis or electron energy loss spectroscopy (EELS). A schematicillustration of an EELS apparatus is shown in Fig. 15.33. Electrons in thetransmitted beam may suffer only inelastic scattering. EELS involvesanalysis of the energy distribution of the inelastically scattered electrons

Figure 15.32. Diagram of the electron scattering that occurs from single crystalline,polycrystalline, and randomly oriented films. (Reprinted with the permission of thepublisher, Marcel Dekker, Inc., Ref. 36.)

Page 830: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Characterization of Thin Films and Coatings 833

contained in the transmitted beam along with the unscattered electrons. Amagnetic sector spectrometer is used to energy-analyze the electrons basedon their radial trajectory in the magnetic field of the spectrometer. A magneticinstrument is utilized since it is the only type of electron spectrometer with theresolving power to handle the high electron energies necessary for STEManalysis. The high energy primary electrons lose energy passing through thesample due to ionization of the energy levels of atoms present. This resultsin loss peaks at discrete energy levels. During EELS all the inelasticallyscattered electrons are detected so that the signal intensity should be higherthan the corresponding x-ray intensity. In addition, the spatial resolutionshould approach the diameter of the incident beam. The physics of energy-loss favors strong EELS signal generation for light elements, which iscomplimentary to EDS analysis which is insensitive to light elements.

Figure 15.33. Schematic diagram of a magnetic sector electron energy lossspectrometer.

Page 831: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

834 Deposition Technologies for Films and Coatings

X-ray analysis in the STEM is accomplished with essentially the sameequipment configuration as in the SEM. However, EDS in the STEM providesmuch higher spatial resolution than in an SEM. The higher spatial resolutionis accomplished as a result of sample thinning. The electron beam interactionwith the bulk samples used in SEM analysis results in electron scatteringwhich results in secondary x-ray excitation from a sample volume much largerthan would be excited by the primary beam without scattering (Fig. 15.23).When the sample is thinned to several thousand angstroms thickness, theelectron beam penetrates without significant scattering. Since the volumescattering is minimized, x-ray analysis may be accomplished in a volume notmuch larger than that defined by the diameter of the primary electron beam.

4.0 OPTICAL ANALYSIS TECHNIQUES

4.1 Ellipsometry

Ellipsometry is an optical technique which is widely used to characterizethe optical properties such as refractive index, thickness, surface roughness,etc., of thin films. It is based on the interaction of linearly-polarizedmonochromatic light with materials. When light passes from one medium intoanother (in ellipsometry, typically from air into the sample) some of the lightis reflected and some passes into the material as shown schematically in Fig.15.34.[37] The angles of the incident and reflected light are equal. The portionof the light that enters the sample does not continue at the same angle but isrefracted to a different angle. The angle of refraction can be determined bySnell’s Law where:

Eq. (4) N1sin ∅ 1 = N2sin ∅ 2

where N1 and N2 are the indices of refraction (complex numbers) of air (ormedium of the incident beam) and the substrate, respectively and Ø1 and Ø2

are the angles of incidence and angle of refraction, respectively. Fordielectrics, Eq. 4 consists of only real numbers.

Ellipsometry invariably involves the reflection of light from a surface.When a light beam is reflected at an interface, the reflection coefficient isdefined as the ratio of the amplitude of the reflected wave to the amplitudeof the incident wave for a single interface (Fresnel reflection coefficient).

Page 832: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Characterization of Thin Films and Coatings 835

Reflection coefficients are defined in terms of the electric vectors of the planepolarized light; p waves in the plane of incidence and s waves perpendicularto the plane of incidence. The Fresnel reflection coefficients are given by[38]

Eq. (5a) rN N

N Np

122 1 1 2

2 1 1 2= ∅ − ∅

∅ + ∅cos cos

cos cos

and

Eq. (5b) rN N

N Ns

121 1 2 2

1 1 2 2= ∅ − ∅

∅ + ∅cos cos

cos cos

where the superscript refers to waves parallel or perpendicular to the plane ofincidence and the subscripts refers to medium 1 and medium 2. Inellipsometry the ratio of rs and rp is measured. Since these are both complexnumbers their ratio is complex and is expressed in terms of amplitude andphase:

Figure 15.34. Schematic of the planar structure assumed for ellipsometric analysisshowing a collimated monochromatic beam of light interacting with a surface at theair/medium interface. The electric vectors of the plane polarized light are definedas p waves (in the plane of incidence) and s waves (perpendicular to the plane ofincidence). (After Woollam et al.)[37]

Page 833: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

836 Deposition Technologies for Films and Coatings

Eq. (6) )( ∆Ψ= jexptanr

rs

p

where Ψ (Psi) and ∆ (Delta) are the parameters determined by the ellipsometerfrom which one of the parameters of interest, the index of refraction, can bedetermined. Delta denotes the difference between the phase angle betweenthe parallel and perpendicular component of the incoming wave, δ1, and theoutgoing wave, δ2, respectively. Psi is the angle whose tangent is the ratioof the magnitudes of the total reflection coefficients.

There are a variety of ellipsometer configurations; these include nullellipsometers, modulation ellipsometers, and rotating element ellipsometers.A typical null instrument, for example, is shown in Fig. 15.35. When theanalyzer is rotated about the light beam, a sinusoidally varying intensity willbe detected. When the polarizer is properly oriented so that the ellipticity isjust canceled by the reflection, the light being detected will be linearlypolarized and the signal intensity will be at its maximum and minimum. Theproper orientation is found by adjusting alternately the polarizer and theanalyzer until the true extinction is found.

Figure 15.35. Schematic diagram of a rotating-element ellipsometer in which thepolarizer and analyzer rotate alternately until the null is found.

Page 834: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Characterization of Thin Films and Coatings 837

Ellipsometry has been used to determine the refractive index andthickness of numerous materials, in both single and multiple layers. In manyinstances the ellipsometry data is compared with other data or used tocalibrate other techniques. For example, Fig. 15.36 shows a plot of the TiO2

thickness as determined by ellipsometry versus the corresponding valuesdetermined by AES for a thermally oxidized TiNx film.[39] To determine thethickness of the oxide film, it is necessary to determine the optical propertiesof the underlying TiNx; thermal annealing (as in oxidation) will change theoptical properties of the TiN layer. AES analysis was used in an iterativeapproach to determine the ∆ and Ψ values of the oxide film.

Figure 15.36. Plot of the TiO2 film thickness for a thermally oxidized TiNx measuredby ellipsometry versus that measured using AES. (Reprinted with permission ofthe publisher, the Amer. Inst. of Phys.)

The basic equations developed for ellipsometry assume plane parallelsurfaces. However, spectroscopic ellipsometry can be used to provideinsight regarding microscopically rough surfaces. In film growth, severalpossibilities exist: the substrate/film interface can be rough, and/or the

Page 835: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

838 Deposition Technologies for Films and Coatings

film/ambient interface may be rough. Without the use of other ancillarytechniques, the roughness can not be determined precisely. Some simpli-fying assumptions that may be made in order to determine film thicknessinclude: measure the ∆/Ψ values of a film-free rough surface, use these valuesto calculate an index for the substrate, and assume that the film growth resultsin a single film with plane parallel interfaces; or use the true index values of thebulk material determined by some other means and assume that the filmgrowth yields a single film with parallel interfaces. The error in thicknessdetermined using either set of assumptions is less than the amplitude of theroughness.[38]

With the advent of the use of plasmas or ion beams in microelectronicprocessing, there has been concern for the damage the energetic ions orneutrals may cause to the substrate. The damage may be monitorednondestructively using spectroscopic ellipsometry[41] which provides informa-tion regarding the damage profile, thickness, and the degree of crystallinity aswell as the presence of an oxide and microroughness. These studies wereconducted for variable energy at constant dose and for varying dose atconstant energy. For low energy ion implantation, the damage was modeledas a silicon film amorphized by ion implantation on the surface of a crystallinesubstrate. For higher energy implants, the amorphous Si layer became buriedbeneath a damaged crystalline film.[42]

4.2 Fourier Transform Infrared Spectroscopy

The significant improvements in infrared spectroscopy brought about by theintroduction of computerized Fourier transform infrared (FTIR) spectrometers haveresulted in a dramatic expansion in the application of this technique. One version ofFTIR spectrometer is shown schematically in Fig. 15.37. Radiation from the IR sourcepasses through a beam splitter onto a fixed and movable mirror. The IR radiationis recombined in an interference pattern which is determined by the positionof the movable mirror. Infrared radiation transmitted through the sample (asshown in Fig. 15.37) or reflected from the surface is detected and a plot of IRabsorption versus wavelength is generated.

FTIR is used for both bulk and thin film analysis. When used forbulk analysis, FTIR is one of the most sensitive analytical techniquesfor selected materials. Table 15.6 provides the strongest absorptionlines, frequencies, and sensitivities of selected impurity elements inSi.[43] In order to achieve these sensitivities, it is necessary to cool the sampleto liquid helium temperature and use a relatively thick specimen (5 mm) since

Page 836: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Characterization of Thin Films and Coatings 839

the sensitivity is proportional to the optical path length. Since C and O arenot electrically active in Si, no significant gain in sensitivity for theseimpurities is obtained by lowering the sample temperature. Thinner speci-mens may be used with a proportionate drop in sensitivity. Quantitativeanalysis is based upon the measured IR absorption at a characteristicwavelength compared to standards or a known absorption coefficient. Sinceimpurities such as O and C can occupy different sites in the Si lattice, theyexhibit several IR absorption bands. The frequencies of these bands havebeen used to determine the presence of interstitial and precipitated O and theintensities provide a measure of the concentration.[44]

One unique aspect of FTIR is the capability for measuring epitaxial layerthicknesses of Si. This is a challenge for most techniques since the film andsubstrate are the same material. Epitaxial Si layers are typically lightly dopedand will transmit in the IR range of 2 - 50 micrometers. The substrate is heavilydoped and will reflect the IR radiation. Depending on the difference in dopinglevels between the epitaxial Si and the substrate, multiple internal reflectionswill occur before the radiation reaches the detector. At each reflection, the IRwill undergo a phase shift which will be different for the epi-air interface and theepi-substrate interface. The resulting spectrum will show interference fringeswith a period which is related to the epitaxial film thickness.[45]

Figure 15.37. Schematic diagram of a Fourier Transform infrared spectrometer.

Page 837: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

840 Deposition Technologies for Films and Coatings

Table 15.6. FTIR Absorption Line Frequencies and Sensitivities

Diamond-like amorphous carbon (DLC) films have a number of attrac-tive properties such as hardness, chemical inertness, electrical insulation,and infrared transparency. DLC films are believed to consist of a mixture ofsp2 and sp3 bonding structures. IR spectroscopy can easily distinguish thesetwo bonding structures by peak positions of characteristic absorption bands.Table 15.7 shows C-H stretching absorption bands and their assignment forDLC films.[46] Numerous other applications may be found in the literaturewhere FTIR has been used in both the transmission mode or reflection modeto determine H content on plasma-deposited films, moisture adsorption andothers thin film properties.

The first FTIR microscope accessory was introduced in 1983. Since thenthis capability has grown rapidly to provide analysis of areas as small as 5 x5 micrometer in both the transmission and reflection mode. The FTIRmicroscope sampling technique has been used to determine the B and Pconcentrations in borosilicate[47] and phosphosilicate[48] passivation layers,

Page 838: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Characterization of Thin Films and Coatings 841

H concentration in silicon nitride passivation layers, and the carbon impurityconcentration in GaAs.

Table 15.7. C-H Stretching Bands Observed for Diamond-like Films

4.3 Photoluminescence Spectroscopy

Photoluminescence (PL) spectroscopy is a measure of the intensity ofradiation versus wavelength emitted as a result of radiative recombination ofelectron-hole pairs or excitons from their thermal equilibrium states by opticalexcitation.[49] An electron-hole pair excited from the ground state canrecombine radiatively through various kinds of recombination processes asshown schematically in Fig. 15.38. The most simple recombination processis a band-to-band recombination where a free electron excited in the conduc-tion band recombines radiatively with a free hole excited in the valence band.Impurities which introduce traps, donors or acceptor levels in the band gapprovide alternate paths for de-excitation. When an electron or hole is capturedby a trap center and then the trapped carrier recombines radiatively with theremaining carrier, this is called band-to-impurity recombination. When boththe excited electron and hole are captured by different trap centers and thenthe trapped electron and hole recombine radiatively, this is known as donor-acceptor pair recombination.

Page 839: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

842 Deposition Technologies for Films and Coatings

At low temperatures a generated electron-hole pair becomes anexciton. An exciton is a complex with an electron and hole bound togetherby a Coulomb attraction which can move freely as a quasiparticle in asemiconductor crystal. These free excitons decay in the ground statethrough free-exciton (EF) recombination accompanied by luminescence.Impurity-exciton complexes are formed when free excitons are bound toimpurity centers. Bound excitons (EB) radiatively decay at just below thefree-exciton energy.

It is apparent that the primary application of PL is in the analysis ofsemiconductors impurities and defects. The most effective application of PLis the identification of shallow impurities. This is accomplished by measuringthe characteristic positions of the EB luminescence lines at low temperature.The spectral positions will differ depending on the impurity, while the intensityis related to the concentration. PL has been used in the analysis of elementssuch as B, P, Al, As, and N in Si in the concentration range 1011 to 1015 atoms/cm3. It has been used to study impurities such as C, Si, Mn, Mg, and Te inGaAs to 1013 atoms/cm3.[50]

The PL intensity is not directly related to shallow-impurity concentra-tion because of competing non-radiative decay processes for the EB. Theintensity also depends on the excitation level. It has been found empiricallythat good correlation can be obtained between impurity levels deter-

Figure 15.38. Diagram of the possible photoluminescence transitions.

Page 840: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Characterization of Thin Films and Coatings 843

mined by electrical measurements and the intensity ratio of the EB to EF

when recorded at moderate excitation levels. Measurement of the intensityratios minimizes the influence of variables dependent on the crystal growthand process conditions. Tajima generated the calibration curves shown inFig. 15.39 for B and P in float-zone refined Si.[51] The concentration rangebetween 1011 to 1015 atoms/cm3 represents the practical range over whichPL may be applied to Si.

Figure 15.39. Calibration curves for the P and B concentrations in Si fromanalysis of the EB and EF photoluminescence intensity ratios. (Reprinted withthe permission of the publisher, the Amer. Inst. of Phys.)

Page 841: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

844 Deposition Technologies for Films and Coatings

Room temperature PL due to band-to-band recombination can be usedto characterize thermally induced defects in Si. Some thermally induceddefects in Si act as non-radiative recombination centers which trap excesscarriers. The presence of such non-radiative recombination centers leads toa reduction in the PL intensity. It is believed that the thermally induced defectsare related to oxygen precipitates since a strong correlation was foundbetween the etch-pit density and the PL intensity.[52]

PL is a non-destructive technique which requires minimal samplepreparation. It is restricted to analysis of single crystalline wafers or epitaxiallayers. The sampling depth is approximately three micrometers, the opticalattenuation length. Through the use of laser excitation spatial resolution of onemicrometer can be achieved which may be used to map the PL intensitydistribution over a surface.

5.0 CONCLUSION

A wide variety of analytical techniques are available for the analysis of thinfilms. The ones described in this chapter represent some of the most widelyused; however, there are many others that provide unique capabilities notdescribed here. This chapter is intended as a brief overview so references areincluded which provide more detailed information about the analytical toolsdescribed here, as well as other related techniques.

Page 842: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Characterization of Thin Films and Coatings 845

REFERENCES

1. McGuire, G. E. and Holloway, P. H., Electron Spectroscopy: Theory,Techniques and Applications, (C. R. Brundle and A. D. Baker, eds.),Vol. 4, Academic Press, New York (1981)

2. Gryzinski, M., Phys. Rev., A138:336 (1965)

3. Harris, L. A., J. Appl. Phys., 39:1419 (1968)

4. Seah, M. P. and Dench, W. A., Surf. Interface Anal., 1:2 (1979)

5. Tanuma, S., Powell, C. J., and Penn, D. R., J. Elec. Spect. Relat.Phenom., 52:285 (1990)

6. Zalar, A., Surf. Interface Anal., 9:41 (1986)

7. Schwartz, G. P., Gualtieri, G. T., Kammlott, G. W., and Schwartz, B.,J. Electrochem. Soc., 126:1737 (1979)

8. Thomas, M. T., Baer, D. R., Jones, R. H., and Bruemmer, S. M., J. Vac.Sci. Technol., 17:25 (1980)

9. Seah, M. P., Surf. Interface Anal., 9:85 (1986)

10. Hofmann, S., Proc. 6th Int. Symp. High Purity Materials in Science andTechnology, (A. Drescher, ed.), 2:149-169, Akad. d. Wiss. d. DDR,Dresden (1985)

11. Practical Surface Analysis: Auger and X-ray PhotoelectronSpectroscopy, (D. Briggs and M. P. Seah, eds.), John Wiley and Sons,New York (1990)

12. Wagner, C. D., Riggs, W. M., Davis, L. E., Molder, J. F., andMuilenberg, G. E., Handbook of Photoelectron Spectroscopy, Perkin-Elmer Corp., Minnesota (1978); Moulder, J. F., Stickle, W. F., Sobol,P. E., Bomben, K. D., Handbook of X-ray Photoelectron Spectroscopy,Perkin-Elmer Corp., Minnesota (1992)

13. Swartz, W. E., Wynne, K. J., and Hercules, D. M., Anal. Chem.,43:1884 (1971)

14. Carlson, T. A., Photoelectron and Auger Spectroscopy, Plenum Press,New York (1975)

15. Wagner, C. D., Davis, L. E., Zeller, M. V., Taylor, J. A., Raymond, R.M., and Gale, L. H., Surf. Interface Anal., 3:211 (1981)

16. Hofmann, S., J. Vac. Sci. and Technol., BIO, 316-322 (1992)

17. Lee, J. J., Fulghum, J. E., McGuire, G. E., Ray, M. A., Osburn, C. M.,and Linton, R. W., J. Vac. Sci. Technol., A8:2287 (1990)

18. Stevie, F. A., J. Vac. Sci. and Technol., BIO, 323-328 (1992)

Page 843: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

846 Deposition Technologies for Films and Coatings

19. Anderson, C. A. and Hinthorne, J. R., Anal. Chem., 45:1421-1438(1973)

20. Coleman, D. J., Shaw, D. W., and Dobrott, R. D., J. Electrochem. Soc.,124:239-241 (1977)

21. Becker, C. H. and Gillen, K. T., J. Vac. Sci. Technol., A3:1347 (1985)

22. Arlinghaus, H. F., Spaar, M. T., Thonnard, N., McMahon, A. W., andJacobson, K. B., Optical Methods for Ultrasensitive Detection andAnalysis: Techniques and Applications, (B. L. Fearey, ed.), 1435:26,SPIE (1991)

23. Mayo, S., Lucatorto, T. B., and Luther, G. G., Anal. Chem., 54:553-556(1982)

24. Chu, W. K., Mayer, J. W., and Nicolet, M. A., BackscatteringSpectrometry, Academic Press, New York (1978)

25. Feldman, L. C., Mayer, J. W., and Picraux, S. T., Materials Analysis byIon Channeling, Academic Press, New York (1982)

26. Lien, C. D., Nicolet, M. A., and Lau, S. S., Phys. Stat. Sol., 81:123-128(1984)

27. Helms, C. R., J. Vac. Sci. Technol., 20:950 (1982)

28. Narayan, J. and Holland, O. W., J. Electrochem. Soc., 131:2651-2662(1984)

29. Principles of Analytical Electron Microscopy, (D. C. Joy, A. D. Romig,Jr., J. I. Goldstein, eds.), Plenum Press, New York (1986)

30. Koshikawa, T. and Shimizu, R., J. Phys. D: Appl. Phys., 7:1303 (1974)

31. Heinrich, K. F. J., X-Ray Optics and Microanalysis, (R. Castaing, P.Deschamps, and J. Philbert, eds.), p. 1509, Paris (1966)

32. Newbury, D. E. and Yakowitz, H., Practical Scanning ElectronMicroscopy, (S. I. Goldstein and H. Yakowitz, eds.), Plenum Press(1975)

33. Materials Research Society Symposium Proceedings, (J. C. Bravman,R. M. Anderson, and M. L. McDonald, eds.), 115, (1988)

34. Hsia, S., Tan, T. Y., Smith, P. L., and McGuire, G. E., J. Appl. Phys.,70(12):7579-7587 (1991)

35. Osburn, C. M., Wang, Q. F., Kellam, M., Canovai, C., Smith, P. L.,McGuire, G. E., Xiao, Z. G., and Rozgonyi, G. A., Appl. Surf. Sci.,53:291-312 (1991)

36. Murr, L. E., Electron and Ion Mircoscopy and Microanalysis, MarcelDekker Inc., New York (1982)

Page 844: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Characterization of Thin Films and Coatings 847

37. Woollam, J. A., Snyder, P. G., and Johs, B., Characterization ofSemiconductor Materials, Vol. II, Noyes Publications, Park Ridge, NJ(1993)

38. Tompkins, H. G., A Users Guide to Ellipsometry, Academic Press, SanDiego, CA (1993)

39. Tompkins, H. G., J. Appl. Phys., 70:3876 (1991)

40. Aspnes, D. E., Theeten, J. B., and Hottier, F., Phys. Rev., B20:3292(1979)

41. Burns, T. E., Chongsawangvirod, S., Andrews, J. W., Irene, E. A.,McGuire, G. E., and Chevacharoenkul, S., J. Vac. Sci. Techn., B9:41-49 (1991)

42. Hummel, R. E., Xi, W., Holloway, P. H., and Jones, K. A., J. Appl.Phys., 63:2591 (1988)

43. Baber, C. S., Thin Solid Films, 72:1332 (1980)

44. Chen, C. S. and Schroder, D. K., Appl. Phys., A42:257 (1987)

45. Schumann, P. A., Jr. and Schneider, C. P., J. Appl. Phys., 45:3532(1970)

46. Dischler, B., Bubenzer, A., and Koidl, P., Solid State Commun., 48:105(1983)

47. Krishnan, K. and Kuehl, D. T., ASTM Spec. Tech. Publ., 850:325 (1984)

48. Zearing, D. J. and Coates, V. J., Proc. SPIE, 276:249 (1981)

49. Nishino, T., Nakayama, H., and Hamakawa, Y., Japan Annual Reviewsin Electronics, Computers, and Telecommunications: SemiconductorTechnologies, (J. Nishizawa, ed.), 8:295, North Holland, NY (1985)

50. Smith, K. K., Thin Solid Films, 84:171 (1981)

51. Tajima, M., Appl. Phys. Lett., 32:719 (1978)

52. Katsura, J., Nakayama, H., Nishino, T., and Hamakawa, Y., Jpn. J.Appl. Phys., 21:712 (1982)

Page 845: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

848

16

Jet Vapor Deposition

Bret L. Halpern and Jerome J. Schmitt

1.0 INTRODUCTION

Modern coating technology must accomodate demands for increasing-ly complex materials, more economical throughputs, and tighter environmen-tal constraints. Issues of versatility, speed, cost and cleanliness challengeestablished physical and chemical vapor deposition methods, and requireinnovative alternatives. A powerful response to those challenges has emergedin the Jet Vapor Deposition (JVD) concept, a patented, proprietary and generalapproach to thin film deposition.[1]-[3]

JVD can generate thin and thick films of unlimited chemical identity at high ratewith negligible environmental threat.[4]-[9] The key innovation is a novel vapor source:a “sonic jet in a low-vacuum fast flow” which transports condensible atomic, molecularor cluster-laden vapor to a substrate. The potential of such a jet for efficient deposition,first discerned by Halpern[1] and Schmitt,[2] has been swiftly evolving at Jet ProcessCorporation, with useful implications at the levels of both manufacturing efficiency andmicroscopic film quality control. The jet source is the fundamental element in a“multiple jet, moving substrate” strategy[3] for depositing metals, semiconductors,dielectrics, oxides, nitrides, and organics. These can be grown in many forms:multicomponent, alloy, multilayer, “host-guest” and “cluster-embedded” films. Thegrowth rates are high, approaching microns per minute over areas of several hundredcm2, even on room temperature substrates, with potential for scale-up. Novel coatings

Page 846: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Jet Vapor Deposition 849

are made as easily in high-throughput commercial production as in small-scalebasic research, with neither toxic precursors nor effluent. At this writing, JetProcess Corporation has devised singular approaches to film property control,based on gasdynamic and energetic ion effects, which have been madepossible by the unique “high” pressure conditions in JVD. In brief, JVD’slinkage of high speed gasdynamics and low cost, low vacuum technologyenables synthesis of unusual, complex materials for new applications innonlinear optics, integrated electronics, and surface protection.

The “jet in low vacuum” is deceptively simple, but it has a combinationof fortunate characteristics that provide the core of JVD’s versatility; we tracethat relationship in this chapter. We present a semi-quantitative discussionof jet structure and behavior and of vapor transport; we show how use of multiplejets in concert with relative jet-substrate motion leads to synthesis ofmulticomponent films. We then summarize several applications of JVD.

2.0 PRINCIPLES AND APPARATUS OF JVD

The most important elements in a JVD system are the jet source andsubstrate motion mechanism; these are mounted in a low pressure depositionchamber in which a fast flow of gas is sustained by a mechanical pump.[4][5]

The jet source is based on a nozzle, made of metal or glass, havingan exit diameter Dn from several mm to 2 cm. Helium or other inert gasis supplied to the nozzle and exits from it as a jet. Ordinarily the nozzlepressure Pn is several torr, and the downstream pressure Pd is a torr orless, but the range may be wide: in a few applications both pressures canbe ten times higher. When the ratio Pn/Pd > 2, the flow is “critical”, andthe jet emerges at its maximum velocity, the speed of sound; for He at298°K, the exit velocity is ~105 cm/sec. The structure and operatingparameters of a generalized jet source are shown in Fig. 16.1.

The jet can convey any atomic, molecular or cluster vapor to asubstrate for condensation as a film. The vapor source is placed in the nozzlewithin several nozzle-diameters of the exit, a region in which the He carriervelocity is nearing the speed of sound. Vaporization can be effected by anyconvenient technique, such as thermal evaporation, glow dischargesputtering, microwave or DC discharge reaction, and laser ablation.An atom of gold, for example, injected upstream into the He flow will be swiftly

Page 847: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

850 Deposition Technologies for Films and Coatings

captured and transported downstream by the He jet. If a flat substrate isplaced perpendicular to the jet, a bright gold deposit quickly appears on it;virtually all the gold atoms deposit in a circular zone comparable to the nozzleexit area. The deposit is symmetric but nonuniform, being thicker toward thecenter.

Figure 16.1. A generalized "jet in low vacuum" JVD source showing representativeoperating conditions. Both jet velocity and density change at the Mach disc, but thejet remains nearly cylindrical. At the substrate the jet transforms sharply to a thin,radially flowing wall jet, but deposition remains localized. Flow is sonic at the nozleexit, subsonic after the Mach disc.

Page 848: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Jet Vapor Deposition 851

In order to deposit uniform films over larger areas, either the substrate,nozzle, jet, or some combination of them must be moved.[4][5] Although JetProcess Corporation has implemented all these possibilities, this chapter willconsider only substrate motion. This is accomplished as in Fig. 16.2;substrates are mounted on a “carousel” which can both spin rapidly around andtranslate slowly along its axis; the carousel motion is computer controlled, andvarious motions can be programmed. The jet is aimed radially at the carousel.If the carousel is only spinning, a band of deposition appears around it; if thecarousel is also vertically “scanned” at constant speed, the band is broadenedto cover the entire carousel surface, and that of any substrate on it. The depositthickness is uniform because all areas are exposed for equal times to aconstant jet flux.

Figure 16.2. Different motions of the carousel yield different deposition patterns.The combination of spin and oscillation produces uniform coverage over largeareas.

Page 849: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

852 Deposition Technologies for Films and Coatings

These observations suggested that several jets can be aimed at thecarousel and their contributions “integrated” even at high overall growth rate.Jets operated singly in a prescribed time sequence yield multilayer structures;jets operated together give alloys or “doped” films, or, in a “reactive” mode,compounds such as oxides and nitrides. This “multiple jet, moving substrate”strategy , seen in Fig. 16.3, has proven very successful in research andproduction at Jet Process Corporation; below we examine its underlying basis.

Figure 16.3. A variety of multicomponent, multilayer, host-guest and cluster-embedded films can be made by operating jets simultaneously or in sequence.

Page 850: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Jet Vapor Deposition 853

3.0 DISCUSSION

3.1 Jet Structure, Behavior, and Vapor Transport

As background to multiple jet JVD synthesis, it is useful to describe thestructure of a single helium “jet in low vacuum.” The contours of the jet canbe illuminated by visible light emission from gas phase glow discharges orchemiluminescent reactions. The structure of free jets has been amplydescribed,[10] but particularly useful features arise under JVD conditions.

As soon as the jet exits the nozzle, it expands into a zone of reduceddensity in which nearly all atoms move in the same direction at the speed ofsound. This zone is collision free; it terminates at the Mach disc, located adistance x ≈ 0.67 Dn(Pn/Pd)½ downstream of the nozzle of diameter Dn.[10] InJVD the usual pressure ratios lie in the range 2 < Pn/Pd < 10, and the Machdisc is located several nozzle-diameters, or several cm, downstream. Beyondthe Mach disc, the density rises sharply to the downstream background value,the jet speed drops to ~ 104 cm/sec, and collisions again occur in the jet.Despite this variation in density, our visualization experiments confirm that thejet diverges little, and remains almost cylindrical over distances of tens ofcentimeters downstream of the nozzle.

On impact with the substrate, the “free” jet flares radially into a “wall jet,”resembling a laminar stream of water impinging on a plate. Our visualizationexperiments show that the transition from free jet to wall jet is sharp; thethickness of the wall jet is only a few mm for a 1 cm diameter free jet. Bothfree jet and wall jet are laminar, and there are no turbulent regions.

The above observations show that JVD’s “jets in low vacuum” have arelatively simple form, despite variations in density and speed before and afterthe Mach disc. Given this background, we single out for discussion thefollowing features which are key to multiple jet, moving substrate synthesis:

1. The jet is fast, collimated, well defined and delivers vaporefficiently; deposition is localized and film growth is fast.

2. The wall jet is thin; its radial flow does not greatly broaden thedeposit.

3. Substrate transverse velocity is high even for moderatecarousel rotation frequencies. The short residence time ofthe substrate as it passes through a jet assures accumulationof less than a monolayer and minimizes heating.

Page 851: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

854 Deposition Technologies for Films and Coatings

The strong collimation of the jet is important in JVD. It can beunderstood by examining the “random walk” of a helium atom at the jetboundary after it emerges from the nozzle and travels with the jet at speed vto the substrate a distance L downstream. During its transit time τ = L/v, ahelium atom diffuses radially a distance x given by:

x2 ≈ 2Dτ ≈ 2DL/v

where D is the diffusion coefficient; we take v as the jet speed downstream ofthe Mach disc. For L ≈ 10 cm, v ≈ 104 cm/sec, and D≈ 600 cm2/sec (He at1 torr), the diffusion distance is 6 mm; it diminishes at higher pressure. Thisis consistent with visualization experiments: axial transport is much fasterthan radial diffusion, and the jet remains a collimated, spatially distinct sourceindependent of other jets.

A similar conclusion holds for heavy species injected into the lightcarrier jet. For example, in a JVD “wirefeed” jet, Au wire can be vaporized froma “point” source lying on the nozzle axis. A gold atom injected on the jet axiswill have diffused only a few millimeters from the axis by the time it arrives atthe substrate.

The small thickness of the wall jet is also critical. When the gold atomsarrive near the substrate, it might be expected that the wall jet would carrymany of them away, as well as broaden the deposit. However, these effectsare minimized because the wall jet is thin; gold atoms entrained in the wall jetflow diffuse to the substrate and deposit before they are transported far, andfew are lost.

The small thickness of the wall jet can be understood by the followingrough argument. The jet impact zone can be regarded as a cylindrical “pillbox;”the jet enters the top, and the wall jet exits through the cylindrical side. Theimpact of a jet at 1 torr and 10,000 cm/sec results in negligible pressurechange compared to 1 torr; therefore, by Bernoulli’s principle, the speed of thejet entering the pillbox and the speed of the wall jet leaving it must be nearlyequal. Continuity then determines the relative areas of the top and side of thepillbox, and requires that the wall jet thickness be approximately one-quarterof the jet diameter.

The large inertia of gold atoms entrained in the jet also favorslocalized deposition. When the axial He jet transforms sharply into aradial wall jet, heavy gold atoms “turn the corner” only with difficulty, andtend to move straight toward the substrate.[11] We have not yet determined the

Page 852: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Jet Vapor Deposition 855

relative importance of diffusion and inertia in the wall jet region. However, wehave measured the deposit profile of gold atoms injected along the jet axis andfind it to be Gaussian with a half-width less than the jet radius.[12] We havealso shown by microbalance measurements that 95% of the wire vaporized inthe nozzle is deposited on the substrate.[12] Accordingly, jet collimation andlocalized deposition give JVD the “line of sight” characteristics of high vacuumvaporization. The efficiency is far higher, however: all vaporized material goesin one direction rather than many; it is confined to the jet, and most of itdeposits in the impact zone.

The independence of the jets is an invaluable feature of JVD. Not onlyare the jets spatially distinct, but the operation of one does not alter theupstream nozzle conditions of any other. As long as Pn/Pd exceeds ~2, Pn

remains constant despite changes in Pd. The jets all emerge at the speed ofsound, and neither “information” nor mass can propagate upstream. Anexample of the benefits: we vaporize many metals in a “glow discharge sputterjet” and oxidize the growing metal film with O2 or O atoms injected down-stream. The metal sputtering target in the nozzle is shielded from the oxidantsdownstream by the sonic gas flow out of the nozzle; a comparable process inhigh vacuum PVD is difficult to carry out. Controlled deposition ofmulticomponents is a direct consequence of jet independence.

The wide range of workable jet pressures in JVD is useful in synthesesinvolving metal atoms: we have used JVD to deposit single atoms or clusters.At the lower range (several torr), only single metal atoms deposit. Clusterformation must be initiated by three body collisions involving two metal atomsand a helium atom. While we cannot rule out contributions of heterogeneousprocesses in the nozzle,[13] three body collisions are highly improbable in thejet: the metal atom and He concentrations are too low, and the transit timesfrom nozzle to substrate are too short. The time for a metal atom to undergoa three body collision, using a typical three body recombination rate con-stant,[14] is

τ3B ≈ 1032/(M)(He) ≈ 0.1/PmPHe

where (M) and (He) are gas phase concentrations (#/cm3) and Pm, PHe thepressures in torr. For Pm = 0.01 torr and PHe = 1 torr, τ3B ≈ 10 seconds; thisis much longer than the transit time from nozzle to substrate. Only at muchhigher pressures of several tens of torr does cluster growth becomes dominantin the jet.

Page 853: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

856 Deposition Technologies for Films and Coatings

3.2 Substrate Motion

Carousel and substrate motion makes it possible to react materialsfrom different jets. For reaction to be efficient, “micromixing” must be carriedout at the sub-monolayer level. For example, to make lead zirconate titanate(PZT) using three metal jets, we require that less than one monolayer of anymetal be deposited on any substrate during its time of passage through a jet.Given the diameter D of the jet, the height H of the carousel, the monolayerthickness d, and the thickness rate of change dz/dt over the entire carousel,we can calculate the approximate carousel rotation frequency fmonolayer thatassures monolayer per pass coverage:

fmonolayer ≈ (dz/dt) (H/D)(1/δ)

In the case of PZT we have dz/dt = 5000 A°/hr, H = 10 cm, D = 1 cm, and δ= 3A°; the required rotation frequency is f ≈ 5 Hz. Micromixing is assured bythis easily attained frequency.

Micromixing is indispensible in JVD. It enables reaction of manycomponents to yield complex materials, results in better film uniformity, andcan reduce required substrate temperatures as well as annealing times afterdeposition. It assures that transport limitations in the growing solid are asabsent as they are in the high speed jet. Micromixing and multiple jet, movingsubstrate synthesis are exploited in a number of JVD applications describedlater.

Common to many JVD applications is an environmentally soundstrategy: volatilization of the elemental metal, deposition of metal atoms fromone or more jets, and conversion of the growing film to oxide or nitride, layerby layer, with a flux of O or N. Since most JVD metal sources are based ontechniques such as glow discharge sputtering or direct vaporization, capableof depositing nearly every metal in the Periodic Table at high rate, no toxicmetal precursors or harmful exhausts need ever be involved.

The “jet in low vacuum” strategy also has a decisive manufacturingadvantage: it is economical to implement. Even relatively small, inexpensivemechanical pumps will maintain critical flow conditions, providing high speedcollimated jets in a small footprint, flexible apparatus. The batch processturnaround times in a JVD chamber are matters of minutes, negligible incomparison with non-load locked high vacuum systems. The carrier gas flowsrepresent only a small material cost, and in the range of several torr, even<<1% entrained condensible vapor corresponds to an economically highdeposition rate.

Page 854: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Jet Vapor Deposition 857

4.0 EXAMPLES OF JVD FILMS AND APPLICATIONS

4.1 Cu, Au Multilayer Electrodes; Al, Al2O3 Microlaminates.

Cu and Au can be thermally vaporized and deposited at high rates usingJVD “wirefeed” sources such as that in Fig. 16.4. Areas approaching 1000 cm2

can be uniformly coated to a depth of one micron in one minute by means ofCu and Au jet sources that dissipate only 60 watts. Structures containingalternating layers of Cu and Au are made with two jet sources, operatedalternately for appropriate times.

Figure 16.4. Wirefeed jet vapor source. The wire feed rate is computer controlled;this allows the deposition rate to be determined and varied. For some metals, thetungsten filament must have a protective sheath to avoid alloying.

Page 855: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

858 Deposition Technologies for Films and Coatings

Control of Cu/Au layer thickness via the wirefeed approach is precise.We verified this by depositing one hundred alternating layers of Cu and Au,each intended to be 50 angstroms thick. Total thickness and uniformity wereconfirmed by stylus profilometry; the accumulated thickness was measuredat 15 points over an area of 6 cm2 to be 4996 A ±10 A. Interface sharpnesswas verified, and layer thickness confirmed by low angle x-ray scattering.[15]

Jet Process Corporation utilizes this high rate, multilayering capabilityin production runs for AT&T Bell Laboratories in a U.S. Navy application. Thesubstrates are 50 mm diameter piezoceramic wafers less than 1 mm thick;these require a 1.5 micron Cu electrode, flashed with 50 nm Au, on both sidesof the wafer, with a 0.25 mm border, free of metal and cleanly defined, at thewafer perimeter. Wafers are mounted on the carousel in accurately machinedreceptacles which serve to support the wafer, orient it toward the jets, anddefine the perimeter border.

Wafers are processed at the rate of > 750 per week using a single JVDdeposition chamber operated by one person. In this application JVD displacedan electrochemical technique both on grounds of quality and environmentalconcerns. Given that Au comprises a considerable part of the operatingexpense, the localization and efficiency of JVD is a notable advantage.

We used a variation of this technique to deposit 100 micron thick“microlaminates” consisting of 50 nm layers of Al alternating with 5 nm of Aloxide.[9] This was done in ten minutes by means of a steady, high rate jet ofAl (~0.1 cc/min of metal) into which oxygen was pulsed (slightly downstreamof the nozzle) at appropriate intervals. Microlaminates having nanoscalecomponent layers are expected to show enhanced strength and mechanicalproperties; JVD nanocomposites exhibited a hardness of ~ 2.5 GPa,[9] equalto that of microlaminates made by sputtering,[16] a far slower ultrahigh vacuumprocess.

4.2 PZT: Ferroelectric FRAM Nonvolatile Memories

Lead zirconate titanate (PZT) is a candidate for thin film memories. PZTcan store charge at high density, and retain it in zero applied field; films ofseveral thousand angstroms can switch states within the 5 volt range ofcomputer power supplies. JVD is one of several processes (sol-gel, vacuumsputtering) now competing for this future market. In addition, PZT thin filmsare potentially useful for pyroelectric infrared detectors.

Page 856: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Jet Vapor Deposition 859

JVD employs four jet sources to supply Pb, Ti, Zr and oxygen to Pt-coated, heated Si wafers mounted on the spinning carousel, as seen in Fig.16.3. The Pt barrier layer is also deposited by JVD. These jet sources buildup a one micron film of PZT in less than one hour,[6] to give high quality PZTfilms at high rate. The ferroelectric perovskite phase appeared on deposition;after annealing, the film was entirely 100 oriented perovskite. Key parametersfor effective PZT memory cells, and the value measured for JVD PZT films, arelisted below:

remanent polarization 6 - 20 microcoulombs/cm2

coercive field 60 kilovolts/cm2

switching endurance > 1011 cycles

dielectric constant > 1300

These values equal or exceed those obtained with more conventional meth-ods.

4.3 Electronic Grade Silicon Nitride

Silicon nitride films of remarkable electronic quality were generatedusing a microwave discharge equipped jet source that produces Si atoms, Sibearing molecular fragments and N atoms.[7] A hydrogen atom jet, run forseveral minutes, removes thermal oxide from a silicon wafer; slow depositionon that substrate gave a nitride whose electrical behaviour in a metal-nitride-semiconductor (MNS) capacitor was superior to that of any previouslyreported.[7] In many respects, such as breakdown strength, radiationhardness, and interface trap density, these nitride films were equal or superiorto the best thermal silicon dioxide grown at high temperatures (T > 1000°C).The etch rate in buffered oxide etch was a low 10 A/min; the index of refraction2.03 at 632.8 nm, close to that for stoichiometric Si3N4. But the outstandingfact is that this SiN was produced on a room temperature substrate.

JVD silicon nitride shows great promise as a gate, as well as forpassivation. The reason for this high quality is not fully understood; it ispossible that excited species are transported at high speed from discharge tosubstrate where they liberate energy at the growing film surface to annihilateimperfections in it at a sufficiently low deposition rate.

4.4 Fiber Coating for Composite Materials

Coating of ultrafine fibers and multifilament tows is important for fiber-reinforced composite materials; JVD exploits jet collimation in several

Page 857: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

860 Deposition Technologies for Films and Coatings

unique approaches to the problem. Jet conditions can be controlled to obtainuniform coating despite jet directionality; jet momentum is sufficient to disruptand agitate fiber bundles and overcome shadowing of one fiber by another. Inthis way we have achieved uniform coating of 12.5 micron alumina fibers, singlyand in bundles of several hundred, with Cu and Al metal several thousandangstroms thick, as verified by SEM. We have adapted “reel to reel”techniques to JVD for fiber coating.

4.5 Coating of Thermally Sensitive Membranes

The low substrate temperature capability of JVD is being exploited in thecoating of Au and Pt as fine line electrodes on a 9-micron PVDF piezoelectricmembrane. PVDF is wrapped around the carousel and covered with a 0.001"foil mask which defines the electrode pattern (0.25 mm wide line 10 cm long).The jet deposits a 2000 angstrom film through the pattern as the carouselspins. A similar line is deposited on the other side of the PVDF, perpendicularto the first electrode; the 1 mm x 1 mm intersection zone defines a capacitorwhose output can be used to detect impinging sound waves.[17] PVDF isthermally sensitive, and can be depoled at T ~ 350°C; the low temperaturecapability of JVD is therefore critical. JVD also deposits the noble metals onlyon the mask/substrate area where they are needed.

4.6 “Ceramic Host–Organic Guest” Films

We have trapped complex “guest” organic molecules such as RhodamineB and Methyl Red in a range of “host” ceramic films: SiO2, SiNx, Al2O3, andMgO. Co-deposition takes place at room temperature and with no degradationof the organic guest; for example, trapped Rhodamine still fluoresces underultraviolet light. The guest concentrations are high; a one micron film of MethylRed in silicon dioxide appears deep crimson, implying doping levels approach-ing a percent.

Such host-guest films can have optical-electronic and thin film sensorapplications. In a collaboration with Professor R. Zanoni and colleagues atOklahoma State University, we demonstrated deposition, patterning andphotobleaching of Methyl Red /ceramic host-guest films to make thin film waveguides. We have also observed that guest Methyl Red in microporoussilicon dioxide changes color from red to yellow when exposed to vaporsof HCl or NH3; Methyl Red is a well-known acid-base indicator, suggesting

Page 858: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Jet Vapor Deposition 861

application of JVD to sensors. Ceramic host–organic guest films can be madeby mechanical or sol gel methods,[18] but these are multi-step processes,limited to soluble species, often including time-consuming thermal treatment.In JVD the host-guest combination is generated in minutes, at room tempera-ture, and by a vapor deposition technique compatible with existing semicon-ductor microelectronic processing.

4.7 Polymer Deposition: Parylene

Parylene [poly(para-xylylene)] has properties such as high electricbreakdown strength, impermeability to water, and biocompatibility whichmake it attractive for protective functions. Parylene can be vapor deposited bya unique mechanism in which di-para xylylene is cracked at high temperature,and convected slowly to a cold surface where polymerization then takes place;this is the “conventional” Gorham process.[19] Parylene’s main weakness isthat it adheres poorly to surfaces when deposited in this way. However, wehave observed that adherence and hardness were greatly improved bydeposition from a sonic JVD source. In addition, a 2-micron JVD parylene filmon a Pt wire survived 93 days in a soak test at 5 volt applied potential.[20] Theseresults suggest that JVD parylene has an enhanced ability to withstandrigorous electrical and mechanical conditions.

5.0 SUMMARY

The use of single or multiple “jets in a low vacuum” coupled with“mobile substrates” makes Jet Vapor Deposition a flexible technology fora wide range of film applications. JVD links high speed gasdynamics withlow cost, “low vacuum” equipment to give synthetic versatility at economicthroughputs. Jets operating in the JVD pressure regime are collimated,intense sources of localized deposition. Jets are independent and non-interfering; a “multiple jet, moving substrate” strategy permits fluxes fromdifferent jets to be “micromixed” on moving substrates. Much of JVD’sversatility arises by coordinating several spatially separated, independentjets to give multicomponent, multilayer, and alloy structures, synthesizedfrom component metals, semiconductors, dielectrics and organics. Thepossible material combinations are numerous and unconstrained by theidentity of the components; the synthesis of known and potentially usefulfilm materials is being systematically explored and commercially applied at

Page 859: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

862 Deposition Technologies for Films and Coatings

Jet Process Corporation. The range of applications is already wide and thetechnique is maturing rapidly.

REFERENCES

1. Halpern, B. L., J. Colloid Interface Sci. 86:337 (1982)

2. Schmitt, J. J., U.S. Patent No. 4,788,082 (11/29/1988)

3. Schmitt, J. J. and Halpern, B. L., U.S. Patent 5, 256,205 (10/26/1993)

4. Halpern, B. L., Schmitt, J. J., Golz, J. W., Johnson, D. L., McAvoy, D.T., Zhang, J. Z., and Di, Y., Proceedings of 35th Annual TechnicalConference, Society of Vacuum Coaters (March 22-27, 1992)

5. Halpern, B. L., Schmitt, J. J., Di, Y., Golz, J. W., Johnson, D. L.,McAvoy, D. T., Wang, D., and Zhang, J.-Z., Metal Finishing, (December1992)

6. Huang, C.-L., Chen, B. A., Ma, T. P., Golz, J. W., Di, Y., Halpern, B.L., and Schmitt, J. J., Ferroelectrics (March 1992)

7. Wang, D., Ma, T. P., Golz, J. W., Halpern, B. L., and Schmitt, J. J.,IEEE Electron Device Lett., 13:482 (1992)

8. Zhang, J.-Z., McAvoy, D. T., Halpern, B. L., and Schmitt, J. J.,Connecticut Symposium on Microelectronics and Optoelectronics(March 18-19, 1993)

9. Hsiung, L. M., Zhang, J.-Z., McIntyre, D. C., Golz, J. W., Halpern, B.L., Schmitt, J. J., and Wadley, H. N. G., Scripta Metall.Mater., 29:293(1993)

10. Anderson, J. B., in: Molecular Beams and Low Density Gas Dynamics,(P. P. Wegener, Ed.), Chap.1, Marcel Dekker, New York (1974)

11. Fernandez de la Mora, J., Halpern, B. L., and Wilson, J. A., J. FluidMech., 149:217 (1984)

12. Golz, J., Johnson, D., Halpern, B. L., and Schmitt, J.J., in preparation

13. Knauer, W., J. Appl. Phys., 62:841 (1987)

14. Kerr, J. A. and Moss, S. J., CRC Handbook of Bimolecular andTermolecular Rate Constants, Vol. II, Table 197, CRC Press, Inc., BocaRaton, FL

15. Spaepen, F., Professor, private communication

16. Alpas, A. T., Embury, J. D., Hardwick, D. A., and Springer, R. W., J.Materials Sci., 25:1603 (1990)

Page 860: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Jet Vapor Deposition 863

17. Everbach, C., Professor, private communication

18. Avnir, D., Kaufmann, V. R., and Reisfeld, R., J. Non-Cryst. Solids,74:395 (1985)

19. Beach, W. F., Lee, C., Bassett, D. R., Austin, T. M., and Olson, R.,Encyclopedia of Polymer Science and Engineering, 2nd edition,17:990,John Wiley & Sons (1989)

20. Edell, D., private communication

Page 861: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

864 Deposition Technologies for Films and Coatings

Index

864

A

Abrasion resistance 555Abrasive

cleaning 121Abrasive wear 782Absolute characterization 672Absorption bands

C-H stretching 840AC

asymmetric 532discharges 464on DC 530

Accelerated adhesion testing 686Accelerating potential

in TEM 828Acceleration factor 674Accelerator

tandetron 812Acid

hydrochloric. See HClhydrofluoric. See HF

Acid-base indicator 860Acoustic emission 684, 686, 689Actinometry 141Activated Reactive Evaporation. See

AREActivated reactive evaporation 76

Activated reactive evaporation(ARE) 52

Activationenergy barrier 491of a surface 148, 537overpotential 515

Activation barrier 711Activity

of gaseous species 406Adatom

diffusion lengths 723diffusivities 747migration 734mobility 38, 741nucleation 677recoil 746surface diffusivity 710

Adatoms 438Additives 526Adhesion 409, 682

loss of 685of a deposited film 376of deposits 571testing 686

Adhesion tests 687Adhesive wear 781

test 656Adsorption 95

Page 862: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Index 865

AE transition 791Aeration cell 769AES

detection limit of 796spectrum of Si with AlCu 793

Agitation 528Air and airless spraying 51Al deposition 858

conditions 774Al-Zn

corrosion resistance 775Alkaline cleaners 122Alloy

deposition of 201, 202, 543Alloys 852

advantages of 550binary and ternary 544by JVD 848

Altered layer 293, 369Altered region 366Alumina fibers 860Aluminum oxide coatings 664Ambipolar diffusion 74, 462Amorphous coatings 545Analysis

compositional 681of semiconductors impurities 842surface 681

Analysis techniqueselemental and structural 681for vapor 417

Angle of deposition 631Anodic arc 191Anodic coatings 770Anodization 49Anodized

types of coatings 563Anodizing 560

aluminum 602magnesium 568titanium 568

Applicationsof coatings 41, 44of CVD 453of dispersion coatings 547of glow discharge plasmas 55of JVD 862

Aqueous deposition 596Ar electron energy 59Arc 59

definition of 189deposition 191evaporation 189, 371plasma spraying 51

Arcingcan initiate wear 783

Arcs 189ARE 52, 213, 497

arc evaporation 220BARE 52, 218ECR excitation 222electron-beam-heated 216enhanced 218LPPD 218modifications of 218plasma electron-beam 218process parameters 497pulsed laser beams 221reactive ion plating 218resistance-heated 217RF excitation 221triode reactive ion plating 220using plasma electron-beam

guns 218ARE process

mechanism 222mechanism of 222types 189using an arc evaporation

source 220variants of 216

AsAuger transition 795

Atomtransfer processes 160

Atomic peening 680Au

on NaCl 745Au-Ag-Sb alloys 545Auger electron

kinetic energy of 790Auger spectrometer 791Auger transition 793, 795, 801

Page 863: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

866 Deposition Technologies for Films and Coatings

B

Backfill using Ar 276Backscattering 291Backscattering yield 822Bacteriological contamination 119Ballistic aggrega-

tion 708, 732, 733, 741Band-to-band recombination 841Banded structures 576Bearings

corrosion resistance 775Behavioral properties

characterization of 672Bernoulli's principle 854Beta backscatter 690Bias

sputtering 332substrate 236

Biased activated reactive evaporation(BARE) 52

Bimetallic layer process 829Binding energy 298

of emitted photoelectrons 797Biomedical

uses of coatings 44Blow-off 120Bombardment

by energetic species 141concurrent 373during deposition 679effects 360, 364, 373, 374sources of 360

Bombardment enhanced-chemicaletching 363

Bombardment-enhanced chemicaletching 678

Bond strength 148, 643, 644Boron

evaporation of 220Bound excitons 842Boundary layer

gas stream 423mass transport across 428thickness of 432

Breakdown strength 859, 861Brightness 526Brittle erosion 782

Brush painting 51Buffered hydrofluoric acid. See HFBulk

deposits 159effect of bombardment 369

C

c-BNsynthesizing of 224

Capacitive coupling 319Capillarity model 711Capture cross-section 714Carbides

hydrogen ion bombardment of 368Carbon

evaporation of 220Carburizing 49, 403Carousel 851, 858

motion 856rotation frequency 856

Carrier gas 411Cascade

photoionization-induced 827Cathode

current efficiency (CCE) 533dark space 353fall region 352hollow 83, 370poisoning 330

Cathodic arc 190Cathodic coatings 770Cd

on W 725Cells

corrosion 769Ceramic host–organic guest 860Channeling

of ions 818Characterization

of thin films and coatings 789Charge exchange 65, 353Charge separation 513Charge-induced migration 810Chelating agents 123Chemical

cause of adhesion loss 410etch rate measurement 701

Page 864: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Index 867

potential 406pumps 416vapor deposition 460

Chemical conversion coating 28Chemical ion plating 53Chemical shifts

of Si2p 800Chemical sputtering 363Chemical vapor deposition 160Chemical vapor deposition

(CVD) 50, 722Chemically functional

applications 41Chemisorption 95Child-Langmuir law 72Chlorinated solvents 123Chromium deposits 590

hardness of 539Class 100

Federal Standard 114Cleaning

before plating 536for CVD substrates 410in situ 134monitoring of process 133processes 119

Cluster 712critical size 712, 719, 722growth 855

Cluster ion beam deposition 53Cluster-embedded 848Clusters

liquid 714subcritical 746

Coalescence 715, 716, 721described 714island 730morphological changes during 715

Coatingalloy 191methods 779of ultrafine fibers 859on plastics 191process 634

Coating processesclassification of 34

Coatings 28. See also Films andcoatings

applications of 41by atomistic deposition 35corrosion-resistant 773definition of 669deposition methods 772described 28diffusion 28, 31disadvantages of 669for galvanic corrosion 770full-density 158high temperature protective 779novel 848overlay 28, 31overlay by PVD 779protective 770sacrificial 773solid lubricants 785strength 643structure of 636to reduce friction 783to reduce wear 783to resist wear 785uses of 29zinc 773

Cobalt base alloys 662Coefficient of friction

for Cr 589, 592for NiP 555

Coefficient of thermal expansion 591Coherent elastic scattering 830Cold finger 426Cold wall reactor 414Cold-cathode

plasma electron beam 186Cold-cathode discharge 82Cold-wall

PECVD reactors 468Collective behavior 68Collimation of the jet 854Collision 56

cascades 284, 361, 368cross section 56, 57, 62, 141electron-electron 60electron/ion 62

Page 865: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

868 Deposition Technologies for Films and Coatings

frequency 61, 64inelastic 60

Collision free zone 853Collisional damage 364Collisionally-induced dissociative

chemisorption 743Collisionless ion transport 94Color

anodized coatings 568of coatings 636

Columnargrains 445, 637microstructure 376structures 576, 732, 741

Columnar morphology 752large-grained 228

Compactionof the near-surface region 369

Complex ionsdeposition of 509

Complexingagents 553

Composite materials 28behavior of 29fiber-reinforced 859

Compound semiconductorgrowth 192

Compoundsdeposition of 485

Compressive stress 691Computer simulations 754Concentration cells 769Concentration polarization 516

cathodic 517Concentration profile 424Concurrent energetic particle bom-

bardment 375Conditioning

of deposition systems 113Conductivity

electrical 65Cones 294Conservation

of materials 43Contaminants

flux of 707in vapor 413

Contamination 721environmental 113origins of 110reactive gas 112role in microstructure 741sources 116

Controlof film properties 494

Convectionbuoyancy-driven 422rolls 423

Conversioncoating 50

Conversion coating 50Conversion/diffusion coating 50Copper cyanide strike 515Copper-nickel alloys

microstructure of 233Corona discharges 102Corronizing 547Corrosion

damage 767described 766galvanic 767high temperature 776resistance 661, 774salt fog c 553

Corrosion-resistantcoatings 42

Corrosive wear 783CoSi2/Si system 829Coulomb domination 63Coulometers

determine efficiency of deposi-tion 511

Coupled reactions 404Covering power 519Cracking 767Creep

rate 244, 254strength 251

Critical cluster size 712Critical thickness 728Cross section 56, 57

total 65Crystal oscillators 196Crystalline structure 641

Page 866: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Index 869

Crystallographicorientation 380

Cubic boron nitride 45Current density 72

defined 524Current distribution

in plating system 519Current sources

for plating 530Cutting tools 43Cutting-tools 786CVD 50, 95, 400

applications 453deposition temperatures 460exhaust system 415phase-selective 452plasma-assisted 77, 92plasma-enhanced 347process control 429reaction zones 401reactions 403reactor 413selective deposition 445types of processes 401

CVD processesclassification of 487

Cyanide copper strike 537Cyclotron radius 67Cylindrical magne-

tron 279, 306, 311Cylindrical-post magnetron 307

D

Damageby energetic ions 838

Dangling bonds 148Dark-space 302, 462

thicknesses 302DC 76

discharge 322glow discharge 301magnetrons 315sputtering 279

DC diodeadvantages and disadvan-

tages 354discharge 135, 351

DC discharge 849Debye length 69, 70, 75Decorative coatings 41, 570Deep-level defects. See DefectsDefects 237

flake 237, 241fracture 684leaders 241mechanical 721spit 237, 241voids 698weak grain boundaries 240

Densityof coatings 645of films and coatings 697

Depositcontrol parameters 526formation of 32structure and properties of 574

Deposit profile 855Deposited coating

selection of 539Deposited materials

unique features of 40Deposition

area-selective 449chamber 849electron beam evaporation 780formation 160low pressure 849of alloys 201of cluster-embedded 848of dielectrics 848of elemental semiconductors 201of host-guest 848of intermetallic compounds 205of metallic coatings 772of metals 201, 848of multicomponent 848of multilayer 848of nitrides 848of organics 848of oxides 848of refractory compounds 209of semiconductors 201, 848phase-selective 452plasma-assisted 213

Page 867: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

870 Deposition Technologies for Films and Coatings

reactive 680steps in 489

Deposition mechanism 522Deposition process

model 488parameters 493, 494variables 488

Deposition processes 520atomic 486atomistic 35bulk 486classified 486defined 33definitions 49droplet 486selection criteria 46types of 159

Deposition rate 157, 304, 495, 856control of 199for various processes 163of metal 303of planar-diode 279of TiC 216techniques to increase 332

Deposition rate monitors 194Deposition techniques

arc evaporation 189evaporation 160, 166gas jet 37hybrid 501ion-plating 162laser 192laser evaporation 193low pressure plasma spray

(LPPS) 780physical vapor 707plasma-assisted 499PLD 192PVD 159sputtering 163

Deposition technologies 29definitions and distinctions 31

Depth profile 793, 801resolution of 794SIMS 810

Depth profiling technique 805Desolvation energy 520

Desorptionion-induced 367

Desorption energy 714, 722, 728Detergent cleaning 122Detonation coating 51Detonation gun 36, 626Dezincification

corrosion 767Diagnostic techniques 492

langmuir probe 492LIF 492MS 492OES 492RHEED 722

Diamond 45Diamond-like carbon 45Diamond-like carbon (DLC) 840Dielectric film

growth 192Differential aeration cell 769Differential temperature cells 769Diffusion

coatings 545flux 74in JVD 854rate 523

Diffusion coatings 31, 49Diffusion coefficient 65, 66

electron 75Diffusivity

surface 710Diode

DC 301geometry 497parallel-plate 278planar 278, 281, 301sputtering systems 301

Dip coating 51Direct evaporation 209Discharges

cold cathode 82magnetron sputtering 75

Dislocation 721number density 757

Dispersion coatings 547Dispersion-strengthened al-

loys 233, 251

Page 868: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Index 871

Disproportionation 50Disproportionation reactions 404Dissimilar electrode cells 769Dissociation

degree of 359Dissociative chemisorption 95Domain

boundary 828magnetic 827

Donor-acceptor pair recombina-tion 841

Driftvelocity 64x 75

Dryingafter fluid cleaning 132

Ductile erosion 782Ductility 592Duoplasmatron 327Duty cycle 532

E

ECR CVD reactor 471EDS

in STEM 834EDX analyzer

resolution of 826Effluent 849Ejection energy

under Ar+ bonbardment 298Ejection velocities 300Elastic modulus 650, 693Electret materials 115Electric-arc

induced wear 783spraying 52

Electricalcharacteristics 664resistivity 591, 696uses of coatings 44

Electrically active defects. SeeDefects

Electrically functionalapplications 41

Electrochemical reaction

efficiency of 511Electrode geometry

of PECVD reactor 467Electrodeposition 160

applications 506principles of 508

Electrodepositsphysical properties of 591structures of 574

Electroformingdefined 557

Electrolessdeposition 50nickel deposits 554plating 550plating solutions 599

Electrolyte composition 526Electrolytic

cleaning 131deposition 49

Electromigration 697Electromotive Force (EMF) Se-

ries 513Electron

backscattering coefficient 822bombardment 100cloud 321, 323, 370collisions 309density 59drift speed 67energy distribution functions 59high brightness sources 791interactions with molecules 88ionization 87irradiation 100motion 309spectrometer 791temperature 60

Electron beam gun 182cold cathode plasma 186disc cathode 185hot hollow cathode 187Pierce-type 185plasma 183self-accelerated 182thermionic 183

Page 869: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

872 Deposition Technologies for Films and Coatings

transverse linear cathode 185work-accelerated 182

Electron beam heated sources 181Electron beams

for Auger excitation 791Electron cyclotron resonance. See

ECRElectron cyclotron resonance cou-

pling 356Electron emitter 356

advantages and disadvan-tages 357

plasma generation 139Electron energy 56

distribution function 59, 60, 61in plasma-assisted deposition 489

Electron energy loss spectroscopy(EELS) 832

Electron-electroncollisions 60

Electron/atom interactions 87Electron/ion collision frequencies 62Electron/molecule interactions 88Electronegative molecules 89Electrophoresis 548Electrophoretic coating 49Electroplating

Preparation of substrates for 597Electrostatic charge

buildup 115contributes to contamination 116

Electrostatic deposition 49Ellipsometer

configurations 836Ellipsometry 690, 834EMF and galvanic series 770EMF series 771End point detection 492End-confinement 312End-point filtration 119Energetic

ions 490neutrals 291, 353, 490, 499particles 350

Energydensities 755exchange 56

of depositing species 38of incident species 490

Energy dispersive x-ray spectrometer(EDX) 825

Energy distribution 299Energy level

diagram 789Energy transfer coefficient 290Enhanced ARE process 218Entrance effects 427Environmental aging

of films and coatings 672Environmental corrosion 42Environmentally sound 856Epitaxial

layer thickness 839Epitaxial growth 596

conditions 446in CVD 445

Epitaxial layer 724by accelerated beams 749

Epitaxy 725Equilibrium

calculation by SOLGAS 406calculation results 407conditions 513vapor pressure 710

Equipmentcoating 618for CVD 410for deposition 630for ion plating 381gas handling 383power supplies 383substrate fixturing 384torch and part handling 630

Equivalent circuitfor RF glow discharge 323

Erosionrate 288resistance 659volumetric 782wear 782

Etch cleaning 121Etching

before plating 537bombardment-assisted 367

Page 870: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Index 873

Evaporation 52apparatus 169direct 486electron beam heated 160flash 206high energy electron beam 370high rate 168process control 199purification by 257rate 166reactive 213, 486system 169theory 166thermal 849

Evaporation source materials 178Evaporation sources 172. See also

Vapor sourcestypes of 172

Exchange reactions 404Excitation-dissociation process 89Excitons 841Exhaust system

for CVD 415

F

Faraday’s Laws of electrolysis 509Fatigue wear 782fcc 724Ferromagnetic crystals

magnetic contrast of 828preferred axis in 828

Fiber coating 859Fibrous structures 576Film

adhesion 376density 376material 707morphology 376quality 473stress 378

Film depositionsteps in 489

Film propertiescharacterization of 671measurement of 682modified by ion bombardment 375

Films. See Films and coatingsdefinition of 670deposited by PECVD 472thick 31, 158, 159, 848thin 31, 158, 848

Films and coatings. See Coatingsalloy 848atomistically deposited 677by JVD 848characterization of 671, 675, 677cluster-embedded 848compounds 852configurations 670definition of 670doped 852formation of 677host-guest 848multicomponent 848multilayer 848, 852properties of 670stresses in 691testing of 674thickness of 689uniform 851

Filtersactivated carbon 119

Finishingof coatings 635

First check characterization 673Flame spraying 51Flash evaporation 206Floating potential 319

negative 491Flow

viscous 489Flux ratio 335Fluxing 122Footprint 856Fourier transform infrared (FTIR)

spectrometers 838Fracture propagation 684Frank-van der Merwe

growth mode 708Free electron

kinetic energy 58Free energy 711

barrier 722minimization 405

Page 871: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

874 Deposition Technologies for Films and Coatings

of formation 215volume 711

Free jet 853Free-exciton (FE) recombination 842Frequency effects

on RF plasma 466Fresnel reflection coefficient 834Fretting

corrosion 767wear 781

Friction 783and wear 781and wear coatings 42coefficient of 784

FTIRmeasuring epitaxial layer 839

Full-density coatings 158Functional

characterization 672coatings 41

G

GaAuger transition 795

GaAsanodic oxidation of 810growth 723sputtering of 298

Galvaniccell 768corrosion 661, 770

Gascharging 143control equipment 630dispensing system 411in a deposited film 380pumping 380states 418

Gas flowcalculations 420dynamics 417patterns 420proportional counter 827rate controls stoichiometry 494

Gas jet deposition 37Gasdynamic deposition source 849Gaseous anodization 49

Gate 859Geometrical thickness

measurement of 689GexSi1-x alloys

on Si(100) 728Glow discharge 52, 461, 849

cleaning 100DC 301evaporation 52low-pressure 301plasma 55, 59, 70, 76polymerization 77sputter jet 855sputtering 327

Gold 850, 854Graded interface 237Grain

boundaries 721boundary 39growth mechanism 736size 719size can vary 577

Grain boundariesweak 240

Grashof number 418Grit blasting 632Growth

adsorption-induced 452area-selective 446kinetics 707mixed-mode 728modes 708rate 848single phase vapor 442substrate-activated 449three-dimensional 708, 710two-dimensional 708, 721

Growth mechanismof PVD films 224

Gyro radius 67

H

Hall-Petch relation-ship 249, 253, 576

Halogen solvents 124Handling

of prepared surfaces 147

Page 872: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Index 875

Hard coatingtool wear-life improvement by 786

Hardness 591of higher velocity coatings 648of metal and alloy deposits 244values for various deposits 539varies with deposition tempera-

ture 255Hardness testing 695Haring-Blum %TP 518Harmonic electrical spraying 52Heat treatment

of Ni3P or Ni3B 554Heating

sources 370the substrate 415

Hertz-Knudsen equation 167Heteroepitaxial films

are often pseudomorphic 725High temperature

protective metallic coatings 779High temperature corrosion 42History

of evaporated thin films 158Hollow-cathode ion sources 327Homogeneous nucleation 409Homogeneous reaction control 429Host-guest 848, 860Hot hollow cathode

discharge beam 187electron beam gun 188

Hot wall reactor 413Hot-cathode triode

sputtering systems 305Hot-wall

PECVD reactors 468Humidity

in clean room 116Hybrid processes 501Hydriding 409Hydrogen

charge 368overvoltage 517reduction cleaning 130

Hydrophilic wetting method 51Hydrosonic

agitation 529cleaning 128

I

Impact erosion 782Impact mobility 749Impurities

in deposits 256in plating solutions 529

In 747Induction heated sources 180Inelastic collisions 60Inelastic mean free path 791, 799Inert coatings 770Inert gas

entrapped 291shroud 623

Inhibitive coatings 770Injection rate

reactive-gas 330Integrated electronics 849Interface

characterization of 679during CVD is unstable 443formation 350, 678trap density 859types 678

Interfacial regionsclassified 374

Intergranular corrosion 767Intermetallic compounds 409

deposition of 205Interphase 678Ion

bombardment 93bombardment during deposi-

tion 750carburizing 49current 324current monitor 194hollow-cathode source 327implantation 53implantation accelerators 348irradiation 97, 333, 743irradiation effects 744, 759mixing 683mobility 72nitriding 49replenishment 522

Page 873: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

876 Deposition Technologies for Films and Coatings

scrubbing 134, 354source 350

Ion beam assisted deposition 53Ion beam deposition 52Ion implantation 53Ion plating 53, 77

advantages and disadvan-tages 389

applications 389barrel-plating 388chemical ion plating 348control of 385control parameters 354DC plasma conditions 354defined 346discharge 77history 346, 348IAD 348IBED 348IVD 388monitoring of 385problem areas 386process 162process parameters 358process specifications 385pulsed 383, 387reactive 348, 373rules 349sputter 348stages 349vacuum 348

Ion-assisted chemical etching 367Ion-assisted molecular-beam epit-

axy 743Ion-beam sputtering 327Ion/surface interactions 708

low-energy 743Ionic migration 522Ionization 56, 69

balances 77potential 56rate of 80

Ionization gauge rate monitor 194Ionized gas 55IR absorption bands

of O and C 839Iron alloy films

corrosion resistance 775

Irradiationduring film growth 743

Irradiation-induced effects 755Island

coalescence 714Island growth 224, 716Islands

In 730secondary 714

J

Jetboundary 854conditions 860independence 855sonic 848source 849

Jet in lowvacuum 849, 853, 856, 861

Jet Vapor Deposition (JVD) 848JVD 848, 853, 856, 859, 861JVD sources 849

microwave 859sputtering 856

K

Kinetic energy (KE)emitted photoelectrons 797

Krytonation 368

L

LaB6 791Lamellae

thickness of 253Lamellar microstructure 663Laminate

composites 253structure 253

Larmor radius 67Laser ablation 192Laser induced evaporation 192Lattice

atom displacement 363constant 725defects 368strain 378, 680, 694

Page 874: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Index 877

Laves phase 641Li-doped Si detector 825, 826Life-tests

accelerated 674operational 674

Limit 708Low-contaminant materials 116Low-energy

ion irradiation 707Low-pressure plasma deposition

(LPPD) process 218Low-temperature

deposition 732, 860Lubricant coatings 42Lubrication

coatings 785

M

Mach disc 853Macroparticle

removal of 191Magnesium alloys

anodizing of 568Magnetic contrast

type I, II 828Magnetic fields

and plasma particles 67Magnetic moment 828Magnetic sector spectrom-

eter 804, 833Magnetron

discharge sources 84enhanced plasma 140

Magnetron discharge 84Magnetrons 279, 281, 306, 326

advantages and disadvan-tages 358

cylindrical 311discharge 357double-ended RF 326geometries 497sputtering of a source 387unbalanced 333

Manufacturing advantagesof JVD 856

Masking techniques 633

Mass spectrometerquadrupole 804

Mass spectrometersmagnetic sector 804

Mass transfer coefficient 524Mass transport

across a boundary layer 428control 429of ions 522

Materialcost 856

Materialsmulticomponent 292

Materials conservation 43Maxwellian velocity distribution 62MCrAlY 663

coating process steps 780Mean free path

defined 56of electrons 303, 791

Mean residence time 714Measurement

in situ 673of adhesion 671, 682of density 697of electrical resistivity 671of electromigration 697of films and coatings 671of resistivity 696of stability 672pressure 171thickness of films and coat-

ings 671Mechanical properties 647. See also

Test techniquesof laminates 254of thick condensates 244of thin films 241

Mechanical scrubbing 125Mechanically functional

applications 41Metal ions

deposition of 522Metal-nitride-semiconductor (MNS)

capacitor 859Metallic

contaminates 117impurities 530

Page 875: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

878 Deposition Technologies for Films and Coatings

Metallic coatingsdeposition of 772

Metalliding 50Metallographic apparent porosity 647Metallurgical properties 39Metastable

species 90Metastable phases 491Metastable species 90, 499Metering

of liquids 413Methyl Red 860Microbalances 196Microhardness

measurement 592, 695Microlaminate composites

thermal conductivity of 781Microlaminates 858Micromixing 856Microstructural

development mechanisms 741difference between coatings 637evolution 707, 708, 732

Microstructure 38evolution 224, 730evolution of 730of films 375of PVD condensates 224of thick single phase films 226

Microstructurescolumnar 732, 733

Microthrowing power 523Microwave discharge 77, 356, 849

plasma generation 139Migration

charge-induced 810Mobilities

low-adatom 733Mobility 64

of ions 65, 302Model

capillarity 711droplet 711TLK 438

Models, nonlinearof the reactive sputtering 332

Modified surfacedefinition of 669

Modulus of rupture 650Molecular beam epitaxy 52Molecular beam epitaxy (MBE) 722Molecular bombarding species 286Molecular dynamic

simulations 754Molecular flow

of species 488Molecular-dynamic

simulations 733Momentum exchange 65, 289, 291

collisions 64cross section 58

Momentum transfer 353, 363Monitoring of deposited mass 196Monitoring of specific film proper-

ties 196Monitors

optical 196resistance 196

Monochromatorwith x-ray source 798

Monolayer per pass 856Monte Carlo

simulations 733, 736, 754Morphology

large-grained columnar 228of films 375of thick single phase films 226structural 227

Motionof charged particle 66

Movchan-Demchishin model 230Multifilament tows 859Multiple internal reflections 839Multiple jet, moving sub-

strate 852, 856, 861Multiple sources 201

N

Nano-particles 37Negative glow 301Negative glow region 82Negative ion emission 297Negative ions 89Nernst equation 513

Page 876: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Index 879

Ni and Crdepth profile of 794

Ni on Siinteractions 817

Ni-Cr-Feelectrophoretic deposition of 548

Nickelcomposite electroless 557containing P or B 551deposition of 230electrodeposits 577

Nitridationof UHV cleaned surfaces 151

Nitriding 49, 403NixPy compounds 551Noble metals 860Non-destructive evaluation

(NDE) 675Novel coatings 848Nozzle

pressure 849Nuclear fuels 44Nuclear reaction analysis (NRA) 819Nucleation 438, 707, 710

3-D 710activation barrier 711control 429density 374, 677heterogeneous 452, 711kinetics 743kinetics model 713secondary 716two-dimensional 722, 724, 725

Nucleation sitespreferential 744

Nuclei size 716Number density

of Au nuclei on NaCl 715of ion-irradiation-induced 744surface site 710

O

Optical emission 304Optical monitors 196Optically functional

applications 41

Opticsnonlinear 849

Orbiting frequency 66Organic impurities 530Orientation

crystallographic 380Oscillations

plasma 75Outgassing

after fluid cleaning 132Overlay coating

definition of 669Overlay coatings 31Overvoltage 515Oxidation

cleaning 128high temperature 776

Oxidation statesability to distinguish 800

Oxide films 410Oxygen plasma cleaning 144

P

PACVD 495Palladium

adhesion dependent on 571Partial pressure

affects growth of films 494Particle impingement rate moni-

tor 194Particles

lenticular 617, 621Particulate

contamination 114deposition processes 36origin of 111removal 120

Partingcorrosion 767

Parylene 861Paschen

curves 80relation 77, 83

Passivation 859of clean surfaces 151

PAVDfilm growth by 493

Page 877: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

880 Deposition Technologies for Films and Coatings

PECVD 461conditions for silicon nitride 473dual frequency reactor 475films 481

Peel test 688Penning

discharge 75ionization 91

Penning ionization 141, 359Periodic reverse 532Phase diagrams 407Phosphorus content

of deposits 553Photoelectron (PE) transitions 799Photoelectron spectroscopy 797Photoluminescence (PL) spectros-

copy 841Physical sputtering

of a surface 143Physical vapor deposition

processes 160. See also Deposi-tion techniques

Pickling 121, 536Pierce gun 185Piezoceramic wafers 858Pitting

corrosion 767PL

practical range of 843primary application of 842

Planar diodes 83, 301Planar magnetron 279, 316Plasma 55

activation 360chemistry 140, 359cleaning 134defined 186, 351density 335diagnostic techniques 492discharge operating conditions 81enhancement 358etching 77, 145frequency 69gas velocities 618generation of 135, 351glow discharge 55, 76methods of creating 467

microwave 356monitoring of 385near the substrate surface 384oscillations 75oxidation 49parameters 493, 494polymerization 53, 93processing 351properties of 351, 360RF 355sheath 69, 70spraying 36temperature 618, 622torch 618, 621uniformity 384variables 488volume chemistry 492, 499

Plasma electron beam gun 186Plasma excitation geometries 221Plasma excitation modes 221Plasma rings 312, 315Plasma-Assisted CVD 50Plasma-assisted CVD 743

conditions 92Plasma-assisted deposition

limitations of 499Plasma-assisted etching 93Plasma-enhanced CVD 461Plating

cell 508laser-enhanced 535on plastics 570operations 536variables 528

Platinumcoatings 776

PLD. See Deposition techniquesPoint of entry

of powder 620Poisoning

of sputter cathode 330Polarization 515

effects 520Polycrystalline silicides 830Pores 409Porosimetry 699Porosity 698

Page 878: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Index 881

decreased by ion irradiation 753in coatings 647tests 700

Position equivalency 674Positive column region 83Post-deposition processing 680Post-plating treatments 538Potential

floating 70negative 276

Powderdispensers 630size distribution 622temperature 620used for plasma 632velocity 620

Prandtl number 418Precursor species 492Precursors

chemical vapor 373toxic 849

Predominance diagrams 407Preferential sputtering 366Preferred orientation 746, 750, 756Presheath 72Primary electrons 302Primary ions

for SIMS 806Primary-ion deposition (PID) 743Printed circuit board

plating of 571Printing process 51Process control 194Process parameters

control of 494Processes

for MCrAlY coatings 780wet-chemical. See Wet-chemical

Productioncapability 159

Professional organizations 676Properties

of deposited coating 38Property measurements

of films and coatings 682Pseudomorphism 728Pulse plating 532

Pulse-counting 826Pulsed laser deposition (PLD) 192Pulsed plating

effects of 534Pump

chemical 416water-ring 416

Pumping systems 171Purification of metals by evapora-

tion 256PVD. See Deposition techniques

microstructure of condensates 224process terminology 32processes 160vs. CVD 165

PVD processesclassification of 487

PVDFpiezoelectric membrane 860

Pycnometry 698Pyroelectric infrared detectors 858Pyrolysis 50PZT 856, 858, 859

Q

Quadrupole mass spectrometer 804

R

Radiation hardness 859Random walk

of a helium atom 854Rare-gas crystals

growth of 724Rate

control 199of chemical reaction in a

plasma 493of dissociation 495

Rate monitorscrystal oscillator 196ion current 194ionization gauge 194microbalances 196of deposited mass 196particle impingement 194spectroscopic 195

Page 879: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

882 Deposition Technologies for Films and Coatings

Rate-controlling steps 216Rate-determining reactions

in CVD 436Rate-limiting

in CVD 428Ratio of ring diameters 831Rayleigh number 418RBS

sensitivity limit of 818spectrum 816used to normalize SIMS 810

ReactionCVD 403kinetics 215mechanisms in CVD 436rate 63resistance 431

Reactiveevaporation 52gas 330ion etching 98, 145ion plating 53plasma cleaning 144plasma etching 367sputtering 328

Reactive evaporationmodel 215process 213

Reactive ion plating (RIP) pro-cesses 218

Reactorcold wall 414CVD 413geometry 420hot wall 413

Recoil implantation 348, 369, 754Recombination

of electron-hole pairs 841Recombination rate constant 855Recontamination 111Recrystallization 716, 721, 741

during coalescence 716Recycling

of reactants 417Redeposition

of sputtered material 375Reducing agent 550, 553

Reduction reactions 403Reflected power 324Reflection

coefficients 835of ions 290

Reflection high-energy electrondiffraction (RHEED 722

Refractionindices of 834

Refractive index 837Refractory compounds 209, 254

deposition of 209mechanical properties of 254

Refractory materialsevaporation of 370

Refractory metalsarea-selective deposition 449

Relative characterization 672Research needed 40Residual stress 237, 645

in deposits 237Residue

contamination 117Resistance heated sources 175Resistance monitors 196Resistivity

of films and coatings 696Resonance ionization spectroscopy

(RIS) 812Resputtering rate 375, 377Reynold’s number 418RF

planar-diode 319plasma reactor 466power supplies 355sputtering 279, 318

RF activation 220RF discharge 76, 85, 322, 355

advantages and disadvan-tages 356

capacitively coupled 355plasma generation 138

RHEED 722RHEED oscillations 723, 724Rhodamine 860Room temperature

deposition 634

Page 880: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Index 883

Roughnesscan not be determined 838of coatings 636of surface 633

Rutherford backscattering spectros-copy (RBS) 812

S

S-gun magnetron 306Sacrificial layer 151Safety 152Salt concentration cell 769Saturated hydrogen electrode 513Saturation flux density 593Scanning electron microscopy 822Scattering energy 812Scratch test 688Scrubbers

exhaust 417Scrubbing 125Secondary electron emission coeffi-

cient 82, 352Secondary electrons 302, 308

energy distribution of 822Secondary ion mass spectroscopy

(SIMS) 803Secondary ions

generation of 806Secondary nucleation 714, 716

rate 747Selected area diffraction (SAD) 830Selective deposition

by CVD 445Self-accelerated gun 182. See

Electron beam gunSelf-bias

negative 462Self-limiting growth 450Self-mating characteristics 658Self-shadowing 733Self-sputtering 366Self-supported shapes 158Self-welding 659SEM

resolution of 822Semiconductor microelectronic

processing 861

Semiconductors 201Sensitization

of a surface 149Shear

strength 643test 688

Sheath 74capacitance 324includes dark spaces 461plasma 70potential 137, 467thickness 72

Sheath potential 354Sheet resistance 696Shroud

inert gas 623Si 728, 730

thermally induced defects in 844Silicon dioxide

by PECVD 478Silicon nitride

by PECVD 472etch rate 859films 859interface trap density 859radiation hardness 859

SIMSdetection limit of 810RBS is used to calibrate 810spectrum 806voltage range 806

SiN 859Single rod-fed electron beam

source 202Smut 122Snell’s Law 834Snow scrubs 120Sodium contamination 117Solid state reactions

during CVD 401Solution wear 783Solvent

cleaning 123systems 125

Sonic gas flow 855Sonic jet

in a low-vacuum fast flow 848

Page 881: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

884 Deposition Technologies for Films and Coatings

Sources. See Vapor sourcesfor electron beam evaporation 383hollow-cathode 327ion 327of depositing species 369of energetic particles 350of ions 350reaction with evaporants 178

Space chargesheaths 70

Spark-hardening 50Specifications and standards

professional organizations 676Spectroscopic ellipsometry 837Spectroscopic methods 195Spectroscopy 797Splat 637Spray cleaning 126Spraying processes 51Sputter cleaning 143, 349Sputter deposition 52, 275, 722

applications of 279flux profiles 316forward 361history of 283magnetron 333of multicomponent materials 292parameters 496process variants 497universality of 276, 279

Sputter deposition modesreactive sputtering 328

Sputter deposition technologyvariations 276

Sputter-assisted laser ionization(SALI) 811

Sputter-deposited filmscomposition of 292

Sputteredas dimers 297clusters 297molecules 297species 296, 298, 299

Sputtering 52bias 332cost of 282DC 279

defined 275deposition rate 304direct 486efficiency 291efficiency of 286erosion rate 288glow discharge 297, 327, 849in N2 332ion plating 371ion-beam 327mechanisms 284mechanisms of 284of alloys 294of alloys and com-

pounds 279, 293of compound semiconductors 298of molecular species 297of PTFE (Teflon) 279physical 353, 364preferential 365process 163. See also Deposition

techniquesrate 285, 289, 330reactive 328, 486RF 279targets. See Targetswith reactive species 295

Sputtering systemsbalanced 324bias sputtering 332configurations 278in-line 314ion-beam 327load-lock 281magnetron 325magnetrons 281, 306magnetrons, cylindrical 311multisource 280operating conditions 311parallel-plate diode 278planar diode 281, 301, 303, 305planar-diode 278RF 318, 324RF planar-diode 319selection of 282single-ended 324targets 282

Page 882: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Index 885

triode 279triode discharge 305with magnetrons 279

Sputtering yield 285, 286, 287, 365defined 286, 364dependence on angle of inci-

dence 287dependence on ion species 295expression for 289influenced by surface topogra-

phy 296SIMS 806

Stabilizersadded to chlorinated solvents 124

Stabilizingfor electrodeposition 537

Stainless steelcorrosion resistance 775

STEM 828Sticking coefficient 257, 329Sticking probability 329Storage

of prepared surfaces 147Strain-to-fracture 650Stranski-Krastanov 728

growth mode 708Stress 409, 592, 644, 733

calculation of 691for chromium deposits 590growth 680in continuous films 721in deposits 237in electroless Ni-P alloys 553in films and coatings 691in growing film 361in PECVD films 473in the near-surface region 369in-plane tensile 733measurements 593mechanical 683residual growth 378varies with phophorus 554

Stress wave adhesion tests 688Stress-corrosion

cracking 767Strike 350

Strikesbefore plating 537

Strip processing line 170Stripline 170Structure zone

model 39Structure-zone diagram 736Structure-zone diagrams 708Sublimation 370

sources 176Substrate

bias influences structure 494preparation 632preparation defined 108

Substrate motion 851, 856Superalloy 778, 780Superconducting film

growth 192Supercritical nuclei 714Supersaturation 444, 713, 725

degree of 719in CVD 442ratio 711

Surfacecoverage 380diffusion 440effects of bombardment 368engineering 27kinetics control 429, 432modification 150morphology 367, 442preparation 677preparation for ion plating 349profilometer 690protection 849reaction control 433

Surface Acoustic Waveporosity measurement 700

Surface Charge Analysis. See SCASurface energy 715Surface free energy 711Surface mobility 229Synthesis

of compounds 224of unusual, complex materials 849

SZD 738, 739, 741

Page 883: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

886 Deposition Technologies for Films and Coatings

T

TaC-Fe-Nielectrophoretic deposition of 548

Target poisoning 496Target voltage 489Targets 295

composite sputtering 294for sputtering 282hot-pressed 295non-conducting 321polycrystalline or amorphous 300poorly conducting 295semiconducting 297sputter 383

TEMscanning 828

Temperaturecontrol 629detonation gun 626during CVD 401gas 70profiles 427

Temperature Coefficient of Resistivity(TCR) 696

Tensilebond strength 643strength 592stress 691test 688

Tensile propertiesof metals and alloy deposits 244of thin film 242

TEOS films 479Terrace

lengths 723Test techniques

of mechanical properties 241Texture

of evaporated deposits 236Thermal

barriers 663decomposition 403evaporation 370expansion coefficient 695properties of coatings 663

Thermal stress adhesion test 689

Thermally sensitive membranes 860Thermionic Gun 183Thermodynamic

calculations 405control of CVD 429wear 783

Thermoelectron emittersystem 357

Thick films 31Thick single phase films

microstructure and morphologyof 226

Thicknessand uniformity 858coating 617control 199measurement of 689, 698

Thin films 31Three body collisions 855Three-dimensional growth 708Throwing power 32, 381, 489

of a solution 518TiC

deposition of 216, 222microhardness of 255

Timeof atomic rearrangements 754

TiN 757by reactive magnetron sputter 741

Titaniumanodizing of 568deposition of 229

Tool wear-lifeimprovement by hard coating 786

Topographical evolution 294Tows 859Toxic metal precursors 856Transition mechanism 331Transition zone

temperatures 228Zone T 226

Transmission electron microscopy(TEM) 828

Transportof species 488

Trapping 368Tribology 781

Page 884: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

Index 887

Triode 279, 282configuration 357discharge devices 305hot-cathode 305sputtering systems 305

Triode configuration 220Tungsten

alloy electrodeposition 544deposited by CVD 32, 450

Tungsten carbide-cobalt 659Turnaround time 856Two-dimensional growth 708

U

Ultimate electrons 310Ultrasonic

agitation 528cleaning 127

Unbalanced magnetron 333Uniform

deposit thickness 168, 172, 851plasma density 386

Uniformity 858of plasma 384

Uniformly coated 857

V

Vacuumarc vaporization 371chamber configurations 282chamber pressure 276deposition and electroplating 507evaporation theory 166system for ion plating 381

Vacuum chamber 169Vacuum pump 416Vacuum pumping system 171Vapor

contamination 116degreasers 126equilibrium pressure 710species generation 488, 494

Vapor pressureequilibrium 166

Vapor sources 849arc 189electron beam heated 181

induction heated 180multiple 201resistance-heated 175rod-fed 202sonic jet in low-vacuum fast

flow 848sublimation 177wire-fed 202

Vaporization 849Variables

in plasma deposition pro-cesses 488

Velocitycarrier 849distribution 60, 62drift 64of powder 621

Video-RHEED 750Void density 753Volatilization

of elemental metal 856Volatilization cleaning 130Volmer-Weber

growth mode 708Volume free energy 711Volume reactions 92

W

Wall jet 853, 854Water

ultrapure 118Water break test 133Wavelength dispersive x-ray

(WDX) 826Wear 781, 785Wear resistance 648, 653

of electroless nickel 555Wear-life

improvement by hard coating 786Welding processes 51Wetting angle 111, 133Wetting processes 50Wirefeed

jet 854sources 857

Witness plates 673Woods nickel strike 538

Page 885: 20461430-Handbook-of-Deposition-Technologies-for-Films-and-Coatings.pdf

888 Deposition Technologies for Films and Coatings

Work function 797Work-accelerated gun 182. See

Electron beam gun

X

X-rayanalysis in STEM 834emission 825source 797

X-ray fluorescence (XRF) 690XPS 797

detection limit for 802

Y

YBCOfilm deposition 193, 194

Yieldsecondary ion 806, 811

Z

Zinccoatings 773complexed with cyanide 514

Zirconiaas a thermal barrier 780

Zn depositionconditions 774

Zone T 226Zones

defined 737Zone T 739