Top Banner
36

#1 IO - rishadshafik.netrishadshafik.net/onewebmedia/EEE2007-2017-Interconnects-BW.pdf · AMBA: Advanced Microprocessor Bus Architecture ‐Family of ARM’s interconnect systems

Mar 27, 2018

Download

Documents

dinhtuong
Welcome message from author
This document is posted to help you gain knowledge. Please leave a comment to let me know what you think about it! Share it to your friends and learn new things together.
Transcript
Page 1: #1 IO - rishadshafik.netrishadshafik.net/onewebmedia/EEE2007-2017-Interconnects-BW.pdf · AMBA: Advanced Microprocessor Bus Architecture ‐Family of ARM’s interconnect systems
Page 2: #1 IO - rishadshafik.netrishadshafik.net/onewebmedia/EEE2007-2017-Interconnects-BW.pdf · AMBA: Advanced Microprocessor Bus Architecture ‐Family of ARM’s interconnect systems
Page 3: #1 IO - rishadshafik.netrishadshafik.net/onewebmedia/EEE2007-2017-Interconnects-BW.pdf · AMBA: Advanced Microprocessor Bus Architecture ‐Family of ARM’s interconnect systems

Processor #1

Processor #1

Processor #1

Processor #P

Memory #1

Memory #2

Memory #3

Memory #M

Peripheral #1

Peripheral #2

Peripheral #3

Peripheral #P

IO #1

IO #2

IO #3

IO #N

Page 4: #1 IO - rishadshafik.netrishadshafik.net/onewebmedia/EEE2007-2017-Interconnects-BW.pdf · AMBA: Advanced Microprocessor Bus Architecture ‐Family of ARM’s interconnect systems

Interconnection network

Page 5: #1 IO - rishadshafik.netrishadshafik.net/onewebmedia/EEE2007-2017-Interconnects-BW.pdf · AMBA: Advanced Microprocessor Bus Architecture ‐Family of ARM’s interconnect systems
Page 6: #1 IO - rishadshafik.netrishadshafik.net/onewebmedia/EEE2007-2017-Interconnects-BW.pdf · AMBA: Advanced Microprocessor Bus Architecture ‐Family of ARM’s interconnect systems

• accessed

• shared

• power managed

Page 7: #1 IO - rishadshafik.netrishadshafik.net/onewebmedia/EEE2007-2017-Interconnects-BW.pdf · AMBA: Advanced Microprocessor Bus Architecture ‐Family of ARM’s interconnect systems

Uneven wire lengths between send and receive nodes

Bound to cause skew between them

Designer need to design protocols addressing worst case skew times

Page 8: #1 IO - rishadshafik.netrishadshafik.net/onewebmedia/EEE2007-2017-Interconnects-BW.pdf · AMBA: Advanced Microprocessor Bus Architecture ‐Family of ARM’s interconnect systems

••••

••••

Page 9: #1 IO - rishadshafik.netrishadshafik.net/onewebmedia/EEE2007-2017-Interconnects-BW.pdf · AMBA: Advanced Microprocessor Bus Architecture ‐Family of ARM’s interconnect systems
Page 10: #1 IO - rishadshafik.netrishadshafik.net/onewebmedia/EEE2007-2017-Interconnects-BW.pdf · AMBA: Advanced Microprocessor Bus Architecture ‐Family of ARM’s interconnect systems

••

Page 11: #1 IO - rishadshafik.netrishadshafik.net/onewebmedia/EEE2007-2017-Interconnects-BW.pdf · AMBA: Advanced Microprocessor Bus Architecture ‐Family of ARM’s interconnect systems
Page 12: #1 IO - rishadshafik.netrishadshafik.net/onewebmedia/EEE2007-2017-Interconnects-BW.pdf · AMBA: Advanced Microprocessor Bus Architecture ‐Family of ARM’s interconnect systems

1.

2.

3.

4.

5.

Page 13: #1 IO - rishadshafik.netrishadshafik.net/onewebmedia/EEE2007-2017-Interconnects-BW.pdf · AMBA: Advanced Microprocessor Bus Architecture ‐Family of ARM’s interconnect systems
Page 14: #1 IO - rishadshafik.netrishadshafik.net/onewebmedia/EEE2007-2017-Interconnects-BW.pdf · AMBA: Advanced Microprocessor Bus Architecture ‐Family of ARM’s interconnect systems
Page 15: #1 IO - rishadshafik.netrishadshafik.net/onewebmedia/EEE2007-2017-Interconnects-BW.pdf · AMBA: Advanced Microprocessor Bus Architecture ‐Family of ARM’s interconnect systems
Page 16: #1 IO - rishadshafik.netrishadshafik.net/onewebmedia/EEE2007-2017-Interconnects-BW.pdf · AMBA: Advanced Microprocessor Bus Architecture ‐Family of ARM’s interconnect systems

Data transfermemoryCo-processorData transfermemoryI/O

Graphics operationsCo-processorCPUData transferI/OCPU

Fetching code or datamemoryCPUdescriptionslavemaster

A bus transaction (or bus cycle) includes two parts:Issuing the command and address and transferring the data

The master starts the bus transaction through command & addressThe slave is the one who responds to the address by:

Sending data to the master upon request

Receiving data from the master

Bus

Master

Bus

Slave

Master issues command

Data can go either way

Page 17: #1 IO - rishadshafik.netrishadshafik.net/onewebmedia/EEE2007-2017-Interconnects-BW.pdf · AMBA: Advanced Microprocessor Bus Architecture ‐Family of ARM’s interconnect systems

AMBA: Advanced Microprocessor Bus Architecture‐ Family of ARM’s interconnect systems

High PerformanceARM processor

High-bandwidthon-chip RAM

HighBandwidth

ExternalMemoryInterface

DMABus Master

APBBridge

Timer

Keypad

UART

PIO

Adv. High-performance Bus (AHB)

Adv. Peripheral Bus (APB)

High PerformancePipelinedBurst SupportMultiple Bus Masters

Low PowerNon-pipelinedSimple Interface

Page 18: #1 IO - rishadshafik.netrishadshafik.net/onewebmedia/EEE2007-2017-Interconnects-BW.pdf · AMBA: Advanced Microprocessor Bus Architecture ‐Family of ARM’s interconnect systems
Page 19: #1 IO - rishadshafik.netrishadshafik.net/onewebmedia/EEE2007-2017-Interconnects-BW.pdf · AMBA: Advanced Microprocessor Bus Architecture ‐Family of ARM’s interconnect systems
Page 20: #1 IO - rishadshafik.netrishadshafik.net/onewebmedia/EEE2007-2017-Interconnects-BW.pdf · AMBA: Advanced Microprocessor Bus Architecture ‐Family of ARM’s interconnect systems
Page 21: #1 IO - rishadshafik.netrishadshafik.net/onewebmedia/EEE2007-2017-Interconnects-BW.pdf · AMBA: Advanced Microprocessor Bus Architecture ‐Family of ARM’s interconnect systems

• 1 unidirectional address bus (HADDR)

• 2 unidirectional data buses (HWDATA, 

HRDATA)

• At any time only 1 active 

data bus

centralized arbitration / decode

HWDATA

Arbiter

Decoder

Master#1

Master#3

Master#2

Slave#1

Slave#4

Slave#3

Slave#2

Address/Control

Write Data

Read Data

HADDR

HWDATA

HRDATA

HADDR

HRDATA

Page 22: #1 IO - rishadshafik.netrishadshafik.net/onewebmedia/EEE2007-2017-Interconnects-BW.pdf · AMBA: Advanced Microprocessor Bus Architecture ‐Family of ARM’s interconnect systems

Multiplexed masters Multi‐layered masters

New AXI4 AMBA standards feature ‐ multi‐layering, simpler handshaking and burst trans.‐ Higher performance

Page 23: #1 IO - rishadshafik.netrishadshafik.net/onewebmedia/EEE2007-2017-Interconnects-BW.pdf · AMBA: Advanced Microprocessor Bus Architecture ‐Family of ARM’s interconnect systems

PCI: Peripheral component interconnectionHigh‐speed shared bus

Intel released PCI in public domain in 1990s

Bit/speed width depends on the Peripherals (eg: 32, or 64 bits at 66MHz)

Page 24: #1 IO - rishadshafik.netrishadshafik.net/onewebmedia/EEE2007-2017-Interconnects-BW.pdf · AMBA: Advanced Microprocessor Bus Architecture ‐Family of ARM’s interconnect systems
Page 25: #1 IO - rishadshafik.netrishadshafik.net/onewebmedia/EEE2007-2017-Interconnects-BW.pdf · AMBA: Advanced Microprocessor Bus Architecture ‐Family of ARM’s interconnect systems
Page 26: #1 IO - rishadshafik.netrishadshafik.net/onewebmedia/EEE2007-2017-Interconnects-BW.pdf · AMBA: Advanced Microprocessor Bus Architecture ‐Family of ARM’s interconnect systems
Page 27: #1 IO - rishadshafik.netrishadshafik.net/onewebmedia/EEE2007-2017-Interconnects-BW.pdf · AMBA: Advanced Microprocessor Bus Architecture ‐Family of ARM’s interconnect systems

0

1

2

3

4

5

6

7

Page 28: #1 IO - rishadshafik.netrishadshafik.net/onewebmedia/EEE2007-2017-Interconnects-BW.pdf · AMBA: Advanced Microprocessor Bus Architecture ‐Family of ARM’s interconnect systems

0 1 2 3 4 5 6 7

0

1

2

3

4

5

6

7

Page 29: #1 IO - rishadshafik.netrishadshafik.net/onewebmedia/EEE2007-2017-Interconnects-BW.pdf · AMBA: Advanced Microprocessor Bus Architecture ‐Family of ARM’s interconnect systems
Page 30: #1 IO - rishadshafik.netrishadshafik.net/onewebmedia/EEE2007-2017-Interconnects-BW.pdf · AMBA: Advanced Microprocessor Bus Architecture ‐Family of ARM’s interconnect systems

NoCconnected cores

Page 31: #1 IO - rishadshafik.netrishadshafik.net/onewebmedia/EEE2007-2017-Interconnects-BW.pdf · AMBA: Advanced Microprocessor Bus Architecture ‐Family of ARM’s interconnect systems

Software

Transport

Network

Wiring

Separation of concerns

Queuing / RoutingTheory

Traffic Modeling

Architectures

Networking

Can borrow much from computer network practices

Page 32: #1 IO - rishadshafik.netrishadshafik.net/onewebmedia/EEE2007-2017-Interconnects-BW.pdf · AMBA: Advanced Microprocessor Bus Architecture ‐Family of ARM’s interconnect systems

Buffer

Buffer

LOGIC

LOGIC

Routing

Arbitration

Buffer

LOGICNorth

South

East

Buffer

LOGIC

West

Buffer

LOGIC

Core

Buffer

Buffer

LOGIC

LOGIC

Buffer

LOGICNorth

South

East

Buffer

LOGIC

West

Buffer

LOGIC

Core

Page 33: #1 IO - rishadshafik.netrishadshafik.net/onewebmedia/EEE2007-2017-Interconnects-BW.pdf · AMBA: Advanced Microprocessor Bus Architecture ‐Family of ARM’s interconnect systems

FIFO controller

Data Packetiser or Data De‐packetiser

FIFO controller

Router in(a packet)

Router out(a packet)

Packet

Data

Core in(a packet)

Core out(a packet)

Page 34: #1 IO - rishadshafik.netrishadshafik.net/onewebmedia/EEE2007-2017-Interconnects-BW.pdf · AMBA: Advanced Microprocessor Bus Architecture ‐Family of ARM’s interconnect systems
Page 35: #1 IO - rishadshafik.netrishadshafik.net/onewebmedia/EEE2007-2017-Interconnects-BW.pdf · AMBA: Advanced Microprocessor Bus Architecture ‐Family of ARM’s interconnect systems

Question: what motivated the designer to choose AHB crossbar switch?

Page 36: #1 IO - rishadshafik.netrishadshafik.net/onewebmedia/EEE2007-2017-Interconnects-BW.pdf · AMBA: Advanced Microprocessor Bus Architecture ‐Family of ARM’s interconnect systems

1.

2.3.

4.