Top Banner

of 16

09 Kel01 Tt2b Ikhsan Satria Nugraha

Jul 01, 2018

Download

Documents

Welcome message from author
This document is posted to help you gain knowledge. Please leave a comment to let me know what you think about it! Share it to your friends and learn new things together.
Transcript
  • 8/15/2019 09 Kel01 Tt2b Ikhsan Satria Nugraha

    1/16

    PERCOBAAN 9

    DECODER BCD TO SEVEN SEGMENT

    Nama Praktikan : Ikhsan Satria Nugraha

    Rekan Kerja : 1. Dinda Yandita

    2. Fanny Puspitasari

    Kel/Kelas : 1 / TT-2B

    Pelaksanaan Praktikum : 17 – April – 2013

    Penyerahan Laporan : 23 – April - 2013

    PROGRAM STUDI TEKNIK TELEKOMUNIKASI

    JURUSAN TEKNIK ELEKTRO

    POLITEKNIK NEGERI JAKARTA

    2013

    POLITEKNIK NEGERI JAKARTA Page 1

  • 8/15/2019 09 Kel01 Tt2b Ikhsan Satria Nugraha

    2/16

    DAFTAR ISI

    DAFTAR

    ISI…………………………………………………………………………………

    ……………… 2

    1. Tujuan……………………………………………………………………

    ………………… ………. 3

    2. Dasar Teori…………………………………………………………………………... 3

    2.1 Seven Segment………………………………………………………………….. 3

    2.2 Decoder BCD to Seven Segment……………………………………………….. 3

    2.3 IC 7483 (4-Bit Binary Full Adder With Fast Carry)…………………………… 4

    3. ALAT-ALAT YANG DIPERGUNAKAN………………………………………… 5

    4. LANGKAH-LANGKAH PERCOBAAN…………………………………………. 5

    5. DATA HASIL PERCOBAAN…………………………………………………….. 7

    6. PERTANYAAN DAN TUGAS................................................................................ 9

    7. ANALISA DATA………………………………………………………………….. 12

    8. KESIMPULAN…………………………………………………………………….. 13

    Daftar Pustaka…………………………………………………………………………... 14

    Lampiran

    POLITEKNIK NEGERI JAKARTA Page 2

  • 8/15/2019 09 Kel01 Tt2b Ikhsan Satria Nugraha

    3/16

    PERCOBAAN 9

    Decoder BCD to 7 Segment

    1. TUJUAN

    • Memahami prinsip kerja dari seven segment Commont Anoda dan Common

    Chatoda.

    • Mempelajari prinsip kerja IC 7447 dan 7448 sebagai decoder BCD to seven

    segment.

    2. DASAR TEORI

    2.1. Seven Segment

    Seven segment biasanya digunakan untuk menampilkan data decimal : 0, 1, 2, 3,

    4, 5, 6, 7, 8, dan 9. Konfigurasi yang ada untuk seven segment adalah common

    anoda dan common chatode.

     

    Gambar 2.1. Seven Segment Common Anoda dan Common Cathoda

    2.2. Decoder BCD to Seven Segment

    Decoder mangambil kode-kode input BCD 4-bit dan menghasilkan 7 output ( a, b,

    c, d, e, f, dan g ), sehingga kode decimal dapat ditampilkan ( Tabel 2.1).

    POLITEKNIK NEGERI JAKARTA Page 3

  • 8/15/2019 09 Kel01 Tt2b Ikhsan Satria Nugraha

    4/16

    U1

    74LS83D

         A     2

         8

         B     2

         7

         A     1

         1     0

         C     0

         1     3

         B     1

         1     1

         S     4

         1     5

         S     3

         2

         C     4

         1     4

         A     4

         1

         B     4

         1     6

         A     3

         3

         B     3

         4

         S     2

         6

         S     1

         9

    IC yang umumnya dipergunakan adalah 7447 untuk seven segment common

    anoda dan IC 7448 untuk seven segment common chatoda.

    Tabel 2.1. Tabel kebenaran decoder BCD to seven segment

    INPUT OUTPUT

    D C B A a b c d e f G

    0 0 0 0 1 1 1 1 1 1 00 0 0 1 0 1 1 0 0 0 00 0 1 0 1 1 0 1 1 0 10 0 1 1 1 1 1 1 0 0 10 1 0 0 0 1 1 0 0 1 10 1 0 1 1 0 1 1 0 1 10 1 1 0 0 0 1 1 1 1 10 1 1 1 1 1 1 0 0 0 01 0 0 0 1 1 1 1 1 1 1

    1 0 0 1 1 1 1 0 0 1 1

    2.3. IC 7483 (4-Bit Binary Full Adder With Fast Carry)

    IC 7483 (4-Bit Binary Full Adder With Fast Carry) merupakan

    Rangkaian Full Adder 4 bit dengan internal carry look ahead.

    IC 7483 mempunyai 2 input bilangan biner 4 bit (A4A3A2A1 dan

    B4B3B2B1) dan sebuah Carry Input  (Co), output menghasilkan

    Sum 4 bit (∑4∑3∑2∑1) serta sebuah Carry Output  (C4) dari

    penjumlahan Most Significant Bit (MSB). IC 7483

    ditunjukkan pada gambar 2.2

    Gambar 2.2. IC 7483 (4-Bit Binary Full Adder With Fast Carry)

    POLITEKNIK NEGERI JAKARTA Page 4

  • 8/15/2019 09 Kel01 Tt2b Ikhsan Satria Nugraha

    5/16

    3. ALAT-ALAT YANG DIPERGUNAKAN

    No. Alat-alat dan komponen Jumlah

    1. Seven Segment Common Anoda (CA)

    Seven Segment Common Chatoda (CC)

    IC 7447 (BCD to 7-Segment Decoder/Driver )

    IC 7448 (BCD to 7-Segment Decoder/Driver )

    1

    1

    1

    1

    2. Power Supply DC 1

    3. Multimeter 1

    4. Logic Probe 1

    5. Resistor 220 Ω 7

    6. LED 7

    7. Protoboard 1

    8. Kabel-kabel penghubung secukupnya

    4. LANGKAH-LANGKAH PERCOBAAN

    Langkah-langkah dalam melakukan percobaan adalah sebagai berikut :4.1. Identifikasi kaki seven segment common anoda dan common chatoda

    1. Atur tegangan power supply sebesar 5 Volt.

    2. Buat rangkaian seperti gambar 4.1.

    3. Atur input A ke ground.

    4. Hubungkan terminal Y ke salah satu kaki seven segment, amati dan catat

    kondisi segment !

    5. Ulangi langkah 4 untuk kaki seven segment lain.

    6. Ubah input A ke Vcc.

    POLITEKNIK NEGERI JAKARTA Page 5

  • 8/15/2019 09 Kel01 Tt2b Ikhsan Satria Nugraha

    6/16

     

    Gambar 4.1. Seven Segment Common Anoda (CA)

    7. Ulangi langkah 4 dan 5.

    8. Ulangi langkah 4 s.d 7 untuk seven segment yang lain.9. Buat rangkaian decoder to seven segment mempergunakan IC 7447 dan IC

    7448 seperti gambar 4.2. dan gambar 4.3.

     

    U2      A

          B

          C

          D

          E

          F

          G

          C      K      H

    U4

    7447N

    A7

    B1

    C2

    D6

    OA 13

    OD 10

    OE 9

    OF 15

    OC 11OB 12

    OG 14~LT3

    ~RBI5

    ~BI/RBO4

    567891011

    Gambar 4.2. IC 7447 BCD to Seven Segment

     

    U1      A

          B

          C

          D

          E

          F

          G

          C      A      H

    U5

    7448N

    A7

    B1

    C2

    D6

    OA13

    OD10

    OE 9

    OF15

    OC11

    OB12

    OG14

    ~LT3

    ~RBI5

    ~BI/RBO4

    12131415161718

    Gambar 4.3. IC 7448 BCD to Seven Segment

    10. Berikan input enable LT, BI/RBO dan RBI serta input DCBA sesuai table

    6.1. dan table 6.2.

    11. Amati dan catat kondisi segment a, b, c, d, e, f, g, serta tampilan seven

    segment lengkap pada table 6.1 dan 6.2.

    POLITEKNIK NEGERI JAKARTA Page 6

  • 8/15/2019 09 Kel01 Tt2b Ikhsan Satria Nugraha

    7/16

    5. DATA HASIL PERCOBAAN

    POLITEKNIK NEGERI JAKARTA Page 7

  • 8/15/2019 09 Kel01 Tt2b Ikhsan Satria Nugraha

    8/16

    6. PERTANYAAN DAN TUGAS

    POLITEKNIK NEGERI JAKARTA Page 8

  • 8/15/2019 09 Kel01 Tt2b Ikhsan Satria Nugraha

    9/16

    1. Bandingkan perbedaan seven segment common anoda dan common chatoda !

    2. Buatlah rangkaian decoder BCD to seven segment berdasarkan table kebenaran

    2.1. dengan mempergunakan gerbang logika !

    3. Bandingkan perbedaan antara IC 7447 dan IC 7448 !

    4. Buatlah kesimpulan dari percobaan ini !

    JAWABAN

    1. Perbedaan Seven Segment Common Anoda dan Common Cathoda :

    - Pada Common Anoda, pin/kaki common dihubungkan ke Vcc sehingga

    rangkaiannya menjadi hidup/nyala.

    - Pada Common Katoda, pin/kaki common dihubungkan ke Ground sehingga

    rangkaiannya menjadi hidup/nyala.

    - Common Anoda adalah penggabungan kaki-kaki anoda dari komponen-

    komponen. Artinya, mereka membutuhkan Arus positif  

    untuk dapat menjalankan fungsinya (dalam hal pulsa, saat LOW ). Sehingga

    komponen dengan common anoda dapat dijalankan dengan tegangan 3-5 volt.

    Common anoda merupakan pin yang terhubung dengan LED dalam seven

    segment. Common anoda diberi tegangan Vcc dan seven segment

    dengan common anoda akan aktif pada saat diberi logika rendah (0) atau

    sering

    disebut aktif low. Kaki katoda dengan label a sampai h sebagai pin aktifasi

    yang menetukan nyala LED.semua kaki anoda

    - Sedangkan, Common Katoda adalah penggabungan kaki-kaki katoda dari

    komponen-komponen. Artinya, mereka membutuhkan Arus negatif 

    untuk dapat menjalankan fungsinya (dalam hal sinyal, membuat menjadi

    HIGH). Sehingga komponen dengan common katoda dapat dijalankan dengan

    tegangan 0-2,5 volt. Sedangkan common katoda merupakan pin yang

    POLITEKNIK NEGERI JAKARTA Page 9

  • 8/15/2019 09 Kel01 Tt2b Ikhsan Satria Nugraha

    10/16

    terhubung dengan semua kaki katoda LED dalam seven segment. Common

    katoda akan digroundkan sehingga seven segment dengan common katoda

    akan aktif apabila diberi logika tinggi (1) atau disebut aktif high. Kaki anoda

    dengan label a sampai h sebgai pin aktifasi yang menentukan nyala LED

    2.

    3. Perbedaan antara IC 7447 dan IC 7448 :

    - IC 7447 menghasilkan output low sehingga pada umumnya output dari IC 7447

    dihubungkan ke seven segmen common anoda yang memiliki input low.- IC 7448 menghasilkan output high sehinnga pada umumnya output dari IC 7448

    POLITEKNIK NEGERI JAKARTA Page 10

  • 8/15/2019 09 Kel01 Tt2b Ikhsan Satria Nugraha

    11/16

    - dihubungkan ke seven segmen common katoda yang memiliki input high.

    - Common Anoda adalah penggabungan kaki-kaki anoda dari komponen-

    komponen. Artinya, mereka membutuhkan Arus positif 

    untuk dapat menjalankan fungsinya (dalam hal pulsa, saat LOW ). Sehingga

    komponen dengan common anoda dapat dijalankan dengan tegangan 3-5 volt.Common anoda merupakan pin yang terhubung dengan LED dalam seven

     segment. Common anoda diberi tegangan Vcc dan seven segment 

    dengan common anoda akan aktif pada saat diberi logika rendah (0) atau

     sering 

    disebut aktif low. Kaki katoda dengan label a sampai h sebagai pin aktifasi

     yang menetukan nyala LED.semua kaki anoda.

    7. ANALISA DATA

    • Pada identifikasi kaki pada seven segmen baik pada common anoda ataupun

    common katoda, kaki-kaki untuk segmen A-G ternyata sama, perbedaannya

    POLITEKNIK NEGERI JAKARTA Page 11

  • 8/15/2019 09 Kel01 Tt2b Ikhsan Satria Nugraha

    12/16

     pada common anoda, common dihubungkan ke Vcc sedangkan pada common

    katoda, common dihubungkan ke Ground.

    • Pada tabel 6.1 yang menggunakan IC 7447 akan menghasilkan output low dan

    dihubungkan ke seven segmen common anoda, jika semua input diberikan

    logic 0 maka output yang dihasilkan semuanya pada keadaan logic 0 kecualioutput G dan akan ditampilkan angka 0 di seven segmen, namun jika semua

    input diberi logic 1, maka output yang dihasilkan semuanya pada keadaan

    logic 1 dan tidak akan ada tampilan di seven segmen .

    • Pada tabel 6.2 yang menggunakan IC 7448 akan menghasilkan output high

    dan dihubungkan ke seven segmen common katoda, jika semua input

    diberikan logic 0 maka output yang dihasilkan semuanya pada keadaan logic 1

    kecuali output G dan akan ditampilkan angka 0 di seven segmen, namun jika

    semua input diberi logic 1, maka output yang dihasilkan semuanya pada

    keadaan logic 0 dan tidak akan ada tampilan di seven segmen.

    • Untuk mengoperasikan rangkaian Adder/Subtractor sebagai

    Adder(Penjumlahan), Carry Input  (Co) diberi logik 0, sedangkan bila

    dioperasikan sebagai Subtractor(Pengurangan) Carry Input  diberi logik 1.

    Carry Output  (C4) disini sepertinya sebagai indikator apabila rangkaian

    dioperasikan. Jika dioperasikan sebagai Adder, C4 mati, sedangkan bila

    dioperasikan sebagai Subtractor, C4 menyala. Fungsi IC 7486 (EX-OR) disini

    tidak mengubah nilai input A.

    • Dalam mengoperasikan rangkaian ini, Input B harus memiliki nilai input yang

    lebih besar atau sama dengan Input A. Dan pada project praktikum ini,

    rangkaian tidak menggunakan Extra-Bit (A4 dan B4) karena hanya memakaisebuah seven segment Common Anoda.

    8. KESIMPULAN

    Dari hasil percobaan yang telah kami lakukan dapat disimpulkan:

    • Identifikasi kaki seven segmen common anoda dan common catoda sebagai

     berikut:

    POLITEKNIK NEGERI JAKARTA Page 12

  • 8/15/2019 09 Kel01 Tt2b Ikhsan Satria Nugraha

    13/16

    - Kaki a terdapat pada kaki kedua dari kanan atas

    - Kaki b terdapat pada pojok kanan atas

    - Kaki c terdapat pada kaki kedua dari kanan bawah

    - Kaki d terdapat pada kaki kedua dari kiri bawah

    - Kaki e terdapat pada pojok kiri bawah

    - Kaki f terdapat pada kaki kedua kiri atas

    - Kaki g terdapat pada pjok kiri atas

    - Kaki common terdapat pada kaki ketiga baik atas atau bawah

    • LT, RBI, dan BI berfungsi sebagai enable. Jika BI (blank input) diberi logik 0

    maka ouput tidak akan nyala pada kedua IC, tetapi pada IC 7447 outputnya

    high (logik 1) sedangkan pada IC 7448 outputnya low(logik 0).

    • Seven Segment digunakan untuk menampilkan data desimal dari 0-9.

    • Rangkaian Adder/Subtractor memiliki Carry Input  (Co) dan Carry Output 

    (C4). Carry Input sebagai pengatur ”Adder” dan ”Subtractor”, sedangkan

    Carry Output sebagai indikator dari Carry Input yang dipilih,

    Daftar Pustaka

    Nixon, Benny. Laboraturium Digital 1 (Rangkaian Kombinatorial) Jakarta :

    Politeknik Negeri Jakarta, 2008

    POLITEKNIK NEGERI JAKARTA Page 13

  • 8/15/2019 09 Kel01 Tt2b Ikhsan Satria Nugraha

    14/16

  • 8/15/2019 09 Kel01 Tt2b Ikhsan Satria Nugraha

    15/16

    • Saat Input B bernilai 7 dan Input A bernilai 2

    • •

    (ADDER) (SUBSTRACTOR)

    • Saat Input B bernilai 6 dan Input A bernilai 1

    POLITEKNIK NEGERI JAKARTA Page 15

  • 8/15/2019 09 Kel01 Tt2b Ikhsan Satria Nugraha

    16/16

    (ADDER)

    (SUBSTRACTOR)

    POLITEKNIK NEGERI JAKARTA Page 16