Transcript

http://www.bized.co.uk

Copyright 2006 – Biz/ed

Prepared by Alaa Salah Shehata Mahmoud A. M. Abd El Latif Mohamed Mohamed Tala’t Mohamed Salah Mahmoud

Version 02 – October 2011

Xilinx ISE 10.1 tutorial A

http://www.bized.co.uk

Copyright 2006 – Biz/ed

Synthesis first steps

http://www.bized.co.uk

Copyright 2006 – Biz/ed

tutorial

First click on the ISE program to open it … This screen will appear click ok or see tips of the day

3

http://www.bized.co.uk

Copyright 2006 – Biz/ed

tutorial

4 In first use of the program : from toolbar choose : Edit menu >> preferences >>Select (integrated Tools) from the left side .

http://www.bized.co.uk

Copyright 2006 – Biz/ed

tutorial

5 From the first line press on the bottom beside (default) as shown A new menu will appear select desktop to see( Modelsim) shortcut .

http://www.bized.co.uk

Copyright 2006 – Biz/ed

tutorial

6

A new menu will appear select desktop to see( Modelsim) shortcut .Select Modelsim SE 6.4c (so this program you will use it as your simulation tool )

http://www.bized.co.uk

Copyright 2006 – Biz/ed

tutorial

7

Now you are ready to make new project .

Note : this previous steps you will make it only one time in your first use of the program.

http://www.bized.co.uk

Copyright 2006 – Biz/ed

tutorial

8

From file …. Choose ..new project Choose your project name & project location you will save it in . This window will appear to you : you will determine you FPGA type and its family …and speed duo to its datasheet.

http://www.bized.co.uk

Copyright 2006 – Biz/ed

tutorial

9 Now your new project will appear in this window on its left

http://www.bized.co.uk

Copyright 2006 – Biz/ed

tutorial

10

You need to add your project files (as your project components) so Click Right on your project file then choose (new source) then VHDL module from your menu then determine its name .

http://www.bized.co.uk

Copyright 2006 – Biz/ed

tutorial

11 You can specify your block(Entity) ports from this window …. Select each port type (In or out) and select each port (size) .

http://www.bized.co.uk

Copyright 2006 – Biz/ed

tutorial

12

You are ready to write your code in this new file .

http://www.bized.co.uk

Copyright 2006 – Biz/ed

tutorial

13

After writing your code you need first to check syntax From process menu open synthesize then double click on check syntax .

If there are no errors in your code

check syntax process will succeed

you can be able to make next steps

you will know it later in the tutorial

http://www.bized.co.uk

Copyright 2006 – Biz/ed

tutorial

14

To see your block press on view RTL schematic and double click on the block to see

the Hardware that your code express . ( the tool translate your code into Hardware ).

http://www.bized.co.uk

Copyright 2006 – Biz/ed

Simulation first steps

http://www.bized.co.uk

Copyright 2006 – Biz/ed

tutorial

16 To perform simulation on your project : From source menu select Behavioral Simulation instead of implementation .

http://www.bized.co.uk

Copyright 2006 – Biz/ed

tutorial

17 To perform simulation on your project : From source menu select Behavioral Simulation instead of implementation .

From Modelsim Simulator double click on

Simulate Behavioral Model simulation

program(ModelSim ) will be opened .

http://www.bized.co.uk

Copyright 2006 – Biz/ed

tutorial

18

You will right click on your inputs and select Force to enter a value in this input . Press on run to make your required simulation .

http://www.bized.co.uk

Copyright 2006 – Biz/ed

tutorial

19

You can add cursor to know any signal value at any specified time in your simulation .

http://www.bized.co.uk

Copyright 2006 – Biz/ed

See You Next Session

tutorial

20

top related