YOU ARE DOWNLOADING DOCUMENT

Please tick the box to continue:

Transcript
  • 8/17/2019 Chapter 5 Problems.pdf

    1/21

    Chapter 5 Problems

    Question # 1:-

    Solution:-

    a) 

    b) 

  • 8/17/2019 Chapter 5 Problems.pdf

    2/21

    c) 

    Question # 2:-

    Solution:-

  • 8/17/2019 Chapter 5 Problems.pdf

    3/21

    a) 

  • 8/17/2019 Chapter 5 Problems.pdf

    4/21

  • 8/17/2019 Chapter 5 Problems.pdf

    5/21

  • 8/17/2019 Chapter 5 Problems.pdf

    6/21

     

    Question # 3:-

    Solution:-

  • 8/17/2019 Chapter 5 Problems.pdf

    7/21

  • 8/17/2019 Chapter 5 Problems.pdf

    8/21

  • 8/17/2019 Chapter 5 Problems.pdf

    9/21

  • 8/17/2019 Chapter 5 Problems.pdf

    10/21

     

    Question # 4:-

    Solution:-

  • 8/17/2019 Chapter 5 Problems.pdf

    11/21

  • 8/17/2019 Chapter 5 Problems.pdf

    12/21

     

  • 8/17/2019 Chapter 5 Problems.pdf

    13/21

    d) 

  • 8/17/2019 Chapter 5 Problems.pdf

    14/21

    Question # 5:-

    Solution:- 

  • 8/17/2019 Chapter 5 Problems.pdf

    15/21

  • 8/17/2019 Chapter 5 Problems.pdf

    16/21

  • 8/17/2019 Chapter 5 Problems.pdf

    17/21

  • 8/17/2019 Chapter 5 Problems.pdf

    18/21

  • 8/17/2019 Chapter 5 Problems.pdf

    19/21

  • 8/17/2019 Chapter 5 Problems.pdf

    20/21

     

    Question # 6:-

    Solution:-

    entity AND_OR is

    port (

    AND_OUT : out std_logic;

    OR_OUT : out std_logic;

    I0 : in std_logic;

    I1 : in std_logic;

    CLK : in std_logic;

    CE : in std_logic;

    RST : in std_logic);

    end AND_OR;

    architecture BEHAVIORAL_ARCHITECTURE of AND_OR is

    signal and_int : std_logic;

  • 8/17/2019 Chapter 5 Problems.pdf

    21/21

    signal or_int : std_logic;

    begin

    AND_OUT


Related Documents