Top Banner
SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガ イ ド Vivado Design Suite PG180 2015 4 1 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資 料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情 報につきましては、必ず最新英語版をご参照ください。 Discontinued IP
39

SMPTE 2022-1/2 Video over IP Transmitter v2.0 …...SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガイド Vivado Design Suite PG180 2015 年 4 月 1 日...

Mar 17, 2020

Download

Documents

dariahiddleston
Welcome message from author
This document is posted to help you gain knowledge. Please leave a comment to let me know what you think about it! Share it to your friends and learn new things together.
Transcript
Page 1: SMPTE 2022-1/2 Video over IP Transmitter v2.0 …...SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガイド Vivado Design Suite PG180 2015 年 4 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

SMPTE 2022-1/2 Video over IP Transmitter v2.0

LogiCORE IP 製品ガイド

Vivado Design Suite

PG180 2015 年 4 月 1 日

本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきましては、必ず最新英語版をご参照ください。

Discontinued IP

Page 2: SMPTE 2022-1/2 Video over IP Transmitter v2.0 …...SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガイド Vivado Design Suite PG180 2015 年 4 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

SMPTE 2022-1/2 Video over IP Transmitter v2.0 japan.xilinx.com 2PG180 2015 年 4 月 1 日

目次

IP の概要

第 1 章 : 概要機能概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6

アプリ ケーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6

ラ イセンスおよび注文情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6

第 2 章 : 製品仕様規格 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7

性能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8

リ ソース使用状況 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8

ポートの説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11

レジスタ空間 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17

第 3 章 : コアを使用するデザイン一般的なデザイン ガイ ド ライン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23

ク ロ ッキング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24

リセッ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24

メモ リ要件 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24

第 4 章 : デザイン フローの手順コアのカスタマイズおよび生成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26

コアへの制約 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28

シ ミ ュレーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29

合成およびインプリ メンテーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29

第 5 章 : テストベンチ

付録 A : 検証、 互換性、 相互運用性

付録 B : 移行およびアップグレードVivado Design Suite への移行 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33

Vivado Design Suite でのアップグレード . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33

付録 C : デバッグザイ リ ンクス ウェブサイ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34

デバッグ ツール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36

インターフェイスのデバッグ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36

IP コアのデバッグ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37

付録 D : その他のリソースおよび法的通知ザイ リ ンクス リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38

Discontinued IP

Page 3: SMPTE 2022-1/2 Video over IP Transmitter v2.0 …...SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガイド Vivado Design Suite PG180 2015 年 4 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

SMPTE 2022-1/2 Video over IP Transmitter v2.0 japan.xilinx.com 3PG180 2015 年 4 月 1 日

参考資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38

改訂履歴 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39

法的通知 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39

Discontinued IP

Page 4: SMPTE 2022-1/2 Video over IP Transmitter v2.0 …...SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガイド Vivado Design Suite PG180 2015 年 4 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

SMPTE 2022-1/2 Video over IP Transmitter v2.0 japan.xilinx.com 4PG180 2015 年 4 月 1 日 Production 製品仕様

はじめに

ザイ リ ン ク ス LogiCORE™ IP SMPTE 2022-1/2 Video over IPTransmitter コアは、 ト ランスポー ト ス ト リーム パケッ ト と1Gbps IP ネッ ト ワークの間でブリ ッジ変換が必要なブロードキャス ト アプリ ケーシ ョ ンに使用します。 このコアは、 IP パケッ トに ト ランスポート ス ト リーム パケッ ト をマップし、系統的に生成した冗長データを追加して前方誤り訂正パケッ トを生成します。 これによ り、 レシーバーはパケッ ト エラーを一定数まで修正でき、 失われたパケッ ト の再送を ト ランスミ ッ ターに要求する必要があ り ません。 このコアを使用すると、 オーディオ/ビデオ データの配信および転送に必要なコス ト を全体的に削減する IP ベースのシステムを開発できます。

機能

• SMPTE 2022-2 に準拠した 大 16 入力からの TS パケットのカプセル化

• SMPTE 2022-1 に準拠したス ト リーム単位の前方誤り訂正 (FEC) パケッ ト生成

• SMPTE2022-7 に準拠したシームレスな切り換えのためのス ト リーム生成

• 1 つの IP パケッ ト あた り 1 ~ 7 ト ランスポート ス トリーム パケッ ト をサポート し、ト ランスポート ス ト リーム パケッ トの長さは 188/204 バイ ト

• レベル A およびレベル B の FEC をサポート

• ブロ ッ ク アライ メン ト /非ブロッ ク アライ メン トの FECをサポート

• AXI-Lite インターフェイス経由で FEC マ ト リ クスの L値と D 値を動的に切り換え可能

• VLAN サポート

• AXI4-Stream データ インターフェイス

• AXI4-Lite 制御インターフェイス

• AXI4-Lite インターフェイス経由でイーサネッ ト、 IP、UDP、 および RTP ヘッダーをユーザー指定可能

IP の概要

この LogiCORE IP について

コアの概要

サポート される

デバイス ファ ミ リ (1)UltraScale™ アーキテクチャ、 Zynq-7000®、

Virtex-7®、 Kintex-7®、 Artix-7®

サポート される

ユーザー インターフェイス

AXI4-Lite、 AXI4-Stream、 AXI-4

リ ソース 表 2-1 ~表 2-3 を参照

コアに含まれるもの

デザイン ファイル 暗号化された HDL

サンプル デザイン XAPP1194-kc705_smpte2022_12_4ch_tx

テス トベンチ Verilog

制約ファイル XDC

シ ミ ュレーシ ョ ン モデル

暗号化済み RTL

サポート される ソフ ト ウェア ド ラ イバー

N/A

テスト済みデザイン フロー (2)

デザイン入力 Vivado® Design Suite

シ ミ ュレーシ ョ ン

サポー ト されるシ ミ ュレータについては、

『Vivado Design Suite ユーザー ガイ ド : リ リー

ス ノー ト 、 インス トールおよびラ イセンス』

を参照

合成 Vivado 合成

サポート

japan.xilinx.com/support で提供

注記 :1. サポート されているデバイスの一覧は、 Vivado IP カタログを参照し

てください。

2. サポート されているツールのバージ ョ ンは、『Vivado Design Suite ユー

ザー ガイ ド : リ リース ノート、 インス トールおよびライセンス』 を

参照してください。

Discontinued IP

Page 5: SMPTE 2022-1/2 Video over IP Transmitter v2.0 …...SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガイド Vivado Design Suite PG180 2015 年 4 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

SMPTE 2022-1/2 Video over IP Transmitter v2.0 japan.xilinx.com 5PG180 2015 年 4 月 1 日

第 1 章

概要放送業界と通信業界の融合に伴い、 放送局や通信企業はビデオ ス ト リーム伝送に IP ネッ ト ワークを使用するよ うになり ました。ザイ リ ンクス デバイスは、高度に統合された リ アルタイム ビデオ インターフェイスを提供するこ とで、放送業界と通信業界の融合をサポート します。 このインターフェイスを利用するこ とによって、放送局はコンテンツの受信、 編集、 作成にかかるコス ト と時間を削減できます。

イーサネッ ト経由でビデオ データを安全に配信できるよ うになる と、放送局は現場中継をサポートする高価なモバイル インフラを使用する必要がなくな り、また既存の固定スタジオからのリモート プロダクシ ョ ンも可能になり ます。この技術で設備投資と運営費の両方が削減できます。 その結果、今日ではイーサネッ ト を使用して圧縮された複数のメディア ス ト リームを送信するこ とが、 主なカスタマー要件とな り ました。 イーサネッ ト経由でビデオ データを転送する際のオープン性と相互運用性の確保、 サービス品質 (QoS) の保証、 パケッ ト損失の 小化のために放送業界が主に採用しているのが、 一連の SMPTE 2022 規格です。

図 1-1 に示す SMPTE 2022-1/2 ト ランス ミ ッ ター コアは、 1Gb/s イーサネッ ト ネッ ト ワークで複数のト ランスポートス ト リームを伝送する配信ネッ ト ワークを主なターゲッ ト と しています。 このコアには、前方誤り訂正 (FEC) が含まれ、この機能によって IP ネッ ト ワーク上の ト ランスポート ス ト リームが保護されます。FEC では、 ト ランス ミ ッ ター側で系統的に生成された冗長データを追加し、これを利用してレシーバーは一定数のパケッ ト エラーを検出および修正します。

X-Ref Target - Figure 1-1

図 1-1 : SMPTE 2022-1/2 を利用した配信ネッ トワーク

Discontinued IP

Page 6: SMPTE 2022-1/2 Video over IP Transmitter v2.0 …...SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガイド Vivado Design Suite PG180 2015 年 4 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

SMPTE 2022-1/2 Video over IP Transmitter v2.0 japan.xilinx.com 6PG180 2015 年 4 月 1 日

第 1 章 : 概要

ビデオ パケッ ト損失の原因は、 熱雑音、 ス ト レージ システムの不良、 周囲環境から混入する ノ イズなどさまざまです。 FEC を利用する と、逆方向のチャネルを使用してデータの再送を要求するこ とな く、受信側でこれらのエラーを修正できます。 再送を行う とレイテンシが大き くな りすぎるため、 リ アルタイム システムでは現実的であ り ません。

機能概要SMPTE 2022-1/2 Video over IP Transmitter コアは、 SMPTE2022-2 に従って ト ランスポート ス ト リーム パケッ ト をイーサネッ ト パケッ トにカプセル化します。 コアは、 ネッ ト ワーク伝送エラーで失った IP パケッ ト を回復するために、SMPTE2022-1 に準拠した FEC (前方誤り訂正) パケッ ト を生成します。 また、 冗長化されたイーサネッ ト ス ト リームを生成するこ と も可能なため、 シームレスな切り替えが可能です。

コアは、 ザイ リ ンクスのツールを使用して設定およびインスタンシエートでき、 AXI4-Lite インターフェイスを介して動的にその機能を制御できます。

アプリケーシ ョ ンSMPTE 2022-1/2 Video over IP Transmitter コアは、圧縮された固定ビッ ト レートのビデオ ス ト リームを IP ネッ ト ワーク上で転送するために使用します。

ライセンスおよび注文情報この Vivado® Design Suite IP モジュールは、ザイ リ ンクス コア ラ イセンス契約の条件に基づいて提供されます。このモジュールは Vivado Design Suite に付属します。 シ ミ ュレーシ ョ ンおよびハード ウェアでコアのすべての機能を利用するには、 コアのライセンスをご購入いただく必要があ り ます。 価格および提供状況については、 ザイ リ ンクス販売代理店にお問い合わせください。

その他の詳細は、 SMPTE 2022-1/2 Video over IP コア製品のウェブ ページをご覧ください。

その他のザイ リ ンクス LogiCORE IP に関する情報は、 ザイ リ ンクス IP コア ページから入手できます。 その他のザイリ ンクス LogiCORE IP モジュールおよびツールの価格や提供状況については、ザイ リ ンクス販売代理店にお問い合わせください。

ライセンス チェ ッカー

IP にライセンス キーが必要な場合、 そのキーの認証が必要です。 Vivado デザイン ツールでは、 設計フローにライセンスが必要な IP の使用を確認する、 ライセンス チェッ クポイン トが複数あ り ます。 ライセンス チェッ クが正常に終了する と、 IP の生成が継続されます。 正常に終了しなければ、 IP の生成はエラーとな り停止します。 ラ イセンスチェッ クポイン トが適用されるのは、 次のツールです。

• Vivado デザイン ツール : Vivado Synthesis、 Vivado Implementation、 write_bitstream (Tcl コマンド )

重要 : チェッ クポイン トでは、 IP のライセンス レベルは無視されます。 有効なライセンスの有無のみを検証します。IP ラ イセンス レベルは確認しません。

Discontinued IP

Page 7: SMPTE 2022-1/2 Video over IP Transmitter v2.0 …...SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガイド Vivado Design Suite PG180 2015 年 4 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

SMPTE 2022-1/2 Video over IP Transmitter v2.0 japan.xilinx.com 7PG180 2015 年 4 月 1 日

第 2 章

製品仕様図 2-1 に、 SMPTE 2022-1/2 Video over IP Transmitter コア アーキテクチャのフロー図を示します。

このコアの主な機能ブロ ッ クを次に示します。

• Packetizer - ト ランスポート ス ト リームを smpte2022-12 に準拠したパケッ トに変換します。

• Channel Mux - アービ ト レーシ ョ ンを実行し、複数チャネルからインターリーブされたス ト リームを生成します。

• FEC Engine - FEC パケッ トの生成と送信を実行します。

• Header Generation - 各チャネルのユーザー設定に基づいて、 IP/UDP ヘッダーを生成し、 完全なイーサネッ ト パケッ ト を生成します。

• Register Access - レジスタの設定およびコアのステータスの読み出しを実行します。

• Statistics カウンター

規格SMPTE 2022-1/2 Video over IP Transmitter コアは、 AXI4、 AXI4-Stream、 および AXI4-Lite インターコネク ト規格に準拠しています。 詳細は、 『Vivado AXI リ ファレンス ガイ ド』 (UG1037) [参照 1] の 「Video IP: AXI Feature Adoption」 を参照してください。 このコアの機能は、 SMPTE 2022-1/2 および SMPTE2022-7 に準拠しています。

X-Ref Target - Figure 2-1

図 2-1 : SMPTE 2022-1/2 Video over IP Transmitter のアーキテクチャ概要図

Discontinued IP

Page 8: SMPTE 2022-1/2 Video over IP Transmitter v2.0 …...SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガイド Vivado Design Suite PG180 2015 年 4 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

SMPTE 2022-1/2 Video over IP Transmitter v2.0 japan.xilinx.com 8PG180 2015 年 4 月 1 日

第 2 章 : 製品仕様

性能

最大周波数

達成可能な 大クロ ッ ク周波数と リ ソース数は、 ツール オプシ ョ ン、 FPGA デバイスの追加ロジッ ク、 ザイ リ ンクスツールのバージ ョ ンなど、 さまざまな要素によって異なり ます。 デバイス ファ ミ リ別の情報は、 表 2-1 ~表 2-3 を参照してください。

リソース使用状況このコアで必要な リ ソースを Zynq®-7000、 Kintex-7®、 Virtex-7®、 および Artix®-7 デバイス別に概算しています。UltraScale™ については、 7 シ リーズと同等の結果が予想されます。 これらは、 ザイ リ ンクスの Vivado® Design Suiteを用いて得られた数値です。合成後のレポートから求めた値であるため、実際の MAP および PAR によって変更する可能性があ り ます。

Virtex-7 FPGA表 2-1 に、 Virtex-7 FPGA で各種コア オプシ ョ ンを選択した場合に必要なおおよそのリ ソース数を示します。

表 2-1 : Virtex-7 FPGA (xcv7vx690t スピード グレード -1) のリソース使用数

CHANNEL FECINCLUDE

HITLESSINCLUDE FF LUT スライス

LUT FF ペア

36k BRAM

18k BRAM DSP48E1 Fmax

(MHz)

1 0 0 7315 3953 2440 7033 12 0 0 212

4 0 0 14411 9656 4787 14258 18 0 0 204

8 0 0 24095 15482 7451 23063 28 0 0 180

16 0 0 43619 27846 13464 42992 44 0 0 180

1 1 0 11254 6932 3959 11179 35 0 0 219

4 1 0 19549 13731 6243 19282 41 0 0 219

8 1 0 30651 20607 9255 29275 51 0 0 212

16 1 0 52845 35735 16330 52178 67 0 0 164

1 0 1 8944 4479 2688 8073 12 0 0 219

4 0 1 17637 10604 6184 16798 18 0 0 219

8 0 1 29453 16679 9174 26742 28 0 0 212

16 0 1 53181 30080 15006 48191 44 0 0 164

1 1 1 12882 7462 4434 12498 35 0 0 212

4 1 1 22776 14704 8573 22734 41 0 0 180

8 1 1 36006 21895 11462 33611 51 0 0 180

16 1 1 62472 37732 19719 58446 67 0 0 180

Discontinued IP

Page 9: SMPTE 2022-1/2 Video over IP Transmitter v2.0 …...SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガイド Vivado Design Suite PG180 2015 年 4 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

SMPTE 2022-1/2 Video over IP Transmitter v2.0 japan.xilinx.com 9PG180 2015 年 4 月 1 日

第 2 章 : 製品仕様

Kintex-7 FPGA表 2-2 に、Kintex-7 FPGA および Kintex ベースのプログラマブル ロジッ クを備えた Zynq-7000 デバイスで各種コア オプシ ョ ンを選択した場合に必要なおおよそのリ ソース数を示します。

表 2-2 : Kintex-7 FPGA (xc7k325t スピード -1) のリソース使用数

CHANNEL FECINCLUDE

HITLESSINCLUDE FF LUT スライス

LUT FF ペア

36k BRAM

18k BRAM DSP48E1 Fmax

(MHz)

1 0 0 7315 3950 2560 7131 12 0 0 219

4 0 0 14411 9658 5226 14651 18 0 0 219

8 0 0 24095 15476 8161 23835 28 0 0 196

16 0 0 43619 27854 13078 42482 44 0 0 188

1 1 0 11254 6931 3826 11105 35 0 0 212

4 1 0 19549 13730 6770 19830 41 0 0 204

8 1 0 30651 20611 10936 30934 51 0 0 196

16 1 0 52845 35738 17221 53011 67 0 0 180

1 0 1 8944 4480 2879 8268 12 0 0 212

4 0 1 17637 10592 5722 16465 18 0 0 219

8 0 1 29453 16679 9495 27029 28 0 0 212

16 0 1 53181 30083 16070 49262 44 0 0 196

1 1 1 12882 7464 4137 12106 35 0 0 212

4 1 1 22776 14709 7536 21824 41 0 0 204

8 1 1 36006 21886 12060 34192 51 0 0 196

16 1 1 62472 37730 19072 58424 67 0 0 180

Discontinued IP

Page 10: SMPTE 2022-1/2 Video over IP Transmitter v2.0 …...SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガイド Vivado Design Suite PG180 2015 年 4 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

SMPTE 2022-1/2 Video over IP Transmitter v2.0 japan.xilinx.com 10PG180 2015 年 4 月 1 日

第 2 章 : 製品仕様

Artix-7 FPGA表 2-3 に、 Artix-7 FPGA および Artix ベースのプログラマブル ロジッ クを備えた Zynq-7000 デバイスで各種コア オプシ ョ ンを選択した場合に必要なおおよそのリ ソース数を示します。

リ ソース使用状況は、sys_clk を使用して計算され、その他のクロ ッ ク周波数は、 eth_clk - 125MHz、s_axi_clkが 100Mhz、s<n>_axis_clk が 150MHz で固定されています。 大クロ ッ ク周波数の結果は、 I/O 配置の依存関係を軽減させるためにダブル レジスタ構成の入力ポートおよび出力ポート を使用して取得しました。内部レベルのレジスタは、個別のクロ ッ ク信号を使用して、入力レジスタからコアを通過して 初の出力レジスタまでのパスを計測しました。 これらの結果は、 ツールのデフォルト設定 (ただし、 エフォート レベルはデフォルトではなく High に設定) を使用してインプ リ メンテーシ ョ ン後に取得したものです。

リ ソース使用量の結果には、 「characterization (特性評価)」 レジスタが含まれず、 コアで使用される真のロジッ クを示しています。 LUT 数には SRL16/SRL32 が含まれます。

クロ ッ ク周波数は、 クロ ッ ク ジッターが考慮されていないため、 ク ロ ッ ク ソース ジッターの特性に基づいて多少低く考える必要があ り ます。達成可能な 大クロ ッ ク周波数と リ ソース数は、 ツール オプシ ョ ン、 FPGA デバイスの追加ロジッ ク、 ザイ リ ンクス ツールのバージ ョ ンなど、 さまざまな要素によって異なり ます。

表 2-3 : Artix-7 FPGA (xc7a200t スピード -1) のリソース使用数

CHANNEL FECINCLUDE

HITLESSINCLUDE FF LUT スライス

LUT FF ペア

36k BRAM

18k BRAM DSP48E1 Fmax

(MHz)

1 0 0 7315 3955 2450 7084 12 0 0 132

4 0 0 14411 9664 5286 14585 18 0 0 132

8 0 0 24095 15439 7591 23076 28 0 0 132

16 0 0 43619 27870 14843 43771 44 0 0 132

1 1 0 11254 6865 3571 10841 35 0 0 132

4 1 0 19549 13758 6959 19810 41 0 0 132

8 1 0 30651 20590 10027 30136 51 0 0 125

16 1 0 52845 35694 17294 53059 67 0 0 125

1 0 1 8944 4491 3025 8497 12 0 0 125

4 0 1 17637 10594 5646 16298 18 0 0 125

8 0 1 29453 16687 9342 26780 28 0 0 125

16 0 1 53181 30076 16749 49886 44 0 0 125

1 1 1 12882 7389 4203 12141 35 0 0 132

4 1 1 22776 14705 7874 22091 41 0 0 132

8 1 1 36006 21860 12263 34213 51 0 0 132

16 1 1 62472 37700 18360 57787 67 0 0 110

Discontinued IP

Page 11: SMPTE 2022-1/2 Video over IP Transmitter v2.0 …...SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガイド Vivado Design Suite PG180 2015 年 4 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

SMPTE 2022-1/2 Video over IP Transmitter v2.0 japan.xilinx.com 11PG180 2015 年 4 月 1 日

第 2 章 : 製品仕様

ポートの説明SMPTE 2022-1/2 Video over IP Transmitter コアは、業界標準の制御インターフェイスおよびデータ インターフェイスを使用してほかのシステム コンポーネン ト と接続します。後続のセクシ ョ ンでは、このコアで利用できるさまざまなインターフェイスについて説明します。 図 2-1 に、 コアの I/O 図を示します。 S_AXIS ト ランスポート ス ト リーム インターフェイス ピンは、 GUI で設定したチャネル数によって決ま り ます。

一般的なインターフェイス信号

表 2-4 に、 AXI4-Stream、 AXI-4、 または AXI4-Lite 制御インターフェイスで共有される信号、 またはこれらインターフェイスに属さない信号を示します。

X-Ref Target - Figure 2-2X-Ref Target - Figure 2-3

図 2-3 : SMPTE 2022-1/2 Video over IP Transmitter コアの最上位の信号インターフェイス

表 2-4 : 一般的なインターフェイス信号

信号名 方向 幅 説明

eth_rst 入力 1 イーサネッ ト ク ロ ッ ク ド メ インの リセッ ト

eth_clk 入力 1 125MHz イーサネッ ト ク ロ ッ ク

sys_rst 入力 1 システム ク ロ ッ ク ド メ インの リセッ ト

sys_clk 入力 1 システム ク ロ ッ ク

clk_90khz 入力 1 90KHz RTP タイムスタンプ ク ロ ッ ク

Interrupt 出力 1 将来のために予約

Soft_reset 出力 1 コアが発行する リセッ ト信号。 この信号は、 コアがプロセッサから soft_reset を受信した場合にアサート される。 この信号出力は、システム レベルで必要なコンポーネン ト を リセットする際に使用可能。

Discontinued IP

Page 12: SMPTE 2022-1/2 Video over IP Transmitter v2.0 …...SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガイド Vivado Design Suite PG180 2015 年 4 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

SMPTE 2022-1/2 Video over IP Transmitter v2.0 japan.xilinx.com 12PG180 2015 年 4 月 1 日

第 2 章 : 製品仕様

AXI4 メモリ インターフェイス

コアは、 AXI4 インターフェイスを使用して AXI4 インターコネク ト と接続します。 AXI4 インターコネク トから AXIDDR コン ト ローラーを介して外部メモ リへアクセスします。 詳細は、 『LogiCORE IP AXI Interconnect 製品ガイ ド』(PG059) [参照 3] を参照してください。

表 2-5 : AXI4 メモリ インターフェイス信号

信号名 方向 幅 説明

m0_axi_awid 出力 1 書き込みアドレス チャネルの ト ランザクシ ョ ン ID

m0_axi_awaddr 出力 32 書き込みアドレス チャネルのアドレス

m0_axi_awlen 出力 8 書き込みアドレス チャネルのバース ト長コード

m0_axi_awsize 出力 3 書き込みアドレス チャネルの転送サイズ コード

m0_axi_awburst 出力 2 書き込みアドレス チャネルのバース ト タイプ

m0_axi_awlock 出力 2 書き込みアドレス チャネルの不可分なアクセス タイプ

m0_axi_awcache 出力 4 書き込みアドレス チャネル キャ ッシュの特性

m0_axi_awport 出力 3 書き込みアドレス チャネルの保護ビッ ト

m0_axi_awqos 出力 4 書き込みアドレス チャネルのサービス品質 (QoS)

m0_axi_awvalid 出力 1 書き込みアドレス チャネルの Valid 信号

m0_axi_awready 入力 1 書き込みアドレス チャネルの Ready 信号

m0_axi_wdata 出力 128 書き込みデータ チャネルのデータ

m0_axi_wstrb 出力 16 書き込みデータ チャネルのデータ バイ ト ス ト ローブ

m0_axi_wlast 出力 1 書き込みデータ チャネルの 終データ ビート

m0_axi_wvalid 出力 1 書き込みデータ チャネルの Valid 信号

m0_axi_wready 入力 1 書き込みデータ チャネルの Ready 信号

m0_axi_bid 入力 1 書き込み応答チャネルの ト ランザクシ ョ ン ID

m0_axi_bresp 入力 2 書き込み応答チャネルの応答コード

m0_axi_bvalid 入力 1 書き込み応答チャネルの Valid 信号

m0_axis_bready 出力 1 書き込み応答チャネルの Ready 信号

m0_axi_arid 出力 1 読み出しアドレス チャネルの ト ランザクシ ョ ン ID

m0_axi_araddr 出力 32 読み出しアドレス チャネルのアドレス

m0_axi_arlen 出力 8 読み出しアドレス チャネルのバース ト長コード

m0_axi_arsize 出力 3 読み出しアドレス チャネルの転送サイズ コード

m0_axi_arburst 出力 2 読み出しアドレス チャネルのバース ト タイプ

m0_axi_arlock 出力 2 読み出しアドレス チャネルの不可分なアクセス タイプ

m0_axi_arcache 出力 4 読み出しアドレス チャネル キャ ッシュの特性

Discontinued IP

Page 13: SMPTE 2022-1/2 Video over IP Transmitter v2.0 …...SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガイド Vivado Design Suite PG180 2015 年 4 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

SMPTE 2022-1/2 Video over IP Transmitter v2.0 japan.xilinx.com 13PG180 2015 年 4 月 1 日

第 2 章 : 製品仕様

m0_axi_arprot 出力 3 読み出しアドレス チャネルの保護ビッ ト

m0_axi_arqos 出力 4 AXI4 読み出しアドレス チャネルのサービス品質 (QoS)

m0_axi_arvalid 出力 1 読み出しアドレス チャネルの Valid 信号

m0_axi_arready 入力 1 読み出しアドレス チャネルの Ready 信号

m0_axi_rid 入力 1 読み出しデータ チャネルの ト ランザクシ ョ ン ID

m0_axi_rdata 入力 128 読み出しデータ チャネルのデータ

m0_axi_rresp 入力 2 読み出しデータ チャネルの応答コード

m0_axi_rlast 入力 1 読み出しデータ チャネルの 終データ ビート

m0_axi_rvalid 入力 1 読み出しデータ チャネルの Valid 信号

m0_axi_rready 出力 1 読み出しデータ チャネルの Ready 信号

m1_axi_awid 出力 1 書き込みアドレス チャネルの ト ランザクシ ョ ン ID

m1_axi_awaddr 出力 32 書き込みアドレス チャネルのアドレス

m1_axi_awlen 出力 8 書き込みアドレス チャネルのバース ト長コード

m1_axi_awsize 出力 3 書き込みアドレス チャネルの転送サイズ コード

m1_axi_awburst 出力 2 書き込みアドレス チャネルのバース ト タイプ

m1_axi_awlock 出力 2 書き込みアドレス チャネルの不可分なアクセス タイプ

m1_axi_awcache 出力 4 書き込みアドレス チャネル キャ ッシュの特性

m1_axi_awport 出力 3 書き込みアドレス チャネルの保護ビッ ト

m1_axi_awqos 出力 4 書き込みアドレス チャネルのサービス品質 (QoS)

m1_axi_awvalid 出力 1 書き込みアドレス チャネルの Valid 信号

m1_axi_awready 入力 1 書き込みアドレス チャネルの Ready 信号

m1_axi_wdata 出力 128 書き込みデータ チャネルのデータ

m1_axi_wstrb 出力 16 書き込みデータ チャネルのデータ バイ ト ス ト ローブ

m1_axi_wlast 出力 1 書き込みデータ チャネルの 終データ ビート

m1_axi_wvalid 出力 1 書き込みデータ チャネルの Valid 信号

m1_axi_wready 入力 1 書き込みデータ チャネルの Ready 信号

m1_axi_bid 入力 1 書き込み応答チャネルの ト ランザクシ ョ ン ID

m1_axi_bresp 入力 2 書き込み応答チャネルの応答コード

m1_axi_bvalid 入力 1 書き込み応答チャネルの Valid 信号

m1_axis_bready 出力 1 書き込み応答チャネルの Ready 信号

表 2-5 : AXI4 メモリ インターフェイス信号 (続き)

信号名 方向 幅 説明

Discontinued IP

Page 14: SMPTE 2022-1/2 Video over IP Transmitter v2.0 …...SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガイド Vivado Design Suite PG180 2015 年 4 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

SMPTE 2022-1/2 Video over IP Transmitter v2.0 japan.xilinx.com 14PG180 2015 年 4 月 1 日

第 2 章 : 製品仕様

m1_axi_arid 出力 1 読み出しアドレス チャネルの ト ランザクシ ョ ン ID

m1_axi_araddr 出力 32 読み出しアドレス チャネルのアドレス

m1_axi_arlen 出力 8 読み出しアドレス チャネルのバース ト長コード

m1_axi_arsize 出力 3 読み出しアドレス チャネルの転送サイズ コード

m1_axi_arburst 出力 2 読み出しアドレス チャネルのバース ト タイプ

m1_axi_arlock 出力 2 読み出しアドレス チャネルの不可分なアクセス タイプ

m1_axi_arcache 出力 4 読み出しアドレス チャネル キャ ッシュの特性

m1_axi_arprot 出力 3 読み出しアドレス チャネルの保護ビッ ト

m1_axi_arqos 出力 4 AXI4 読み出しアドレス チャネルのサービス品質 (QoS)

m1_axi_arvalid 入力 1 読み出しアドレス チャネルの Valid 信号

m1_axi_arready 入力 1 読み出しアドレス チャネルの Ready 信号

m1_axi_rid 入力 1 読み出しデータ チャネルの ト ランザクシ ョ ン ID

m1_axi_rdata 入力 128 読み出しデータ チャネルのデータ

m1_axi_rresp 入力 2 読み出しデータ チャネルの応答コード

m1_axi_rlast 入力 1 読み出しデータ チャネルの 終データ ビート

m1_axi_rvalid 入力 1 読み出しデータ チャネルの Valid 信号

m1_axi_rready 出力 1 読み出しデータ チャネルの Ready 信号

m2_axi_arid 出力 1 読み出しアドレス チャネルの ト ランザクシ ョ ン ID

m2_axi_araddr 出力 32 読み出しアドレス チャネルのアドレス

m2_axi_arlen 出力 8 読み出しアドレス チャネルのバース ト長コード

m2_axi_arsize 出力 3 読み出しアドレス チャネルの転送サイズ コード

m2_axi_arburst 出力 2 読み出しアドレス チャネルのバース ト タイプ

m2_axi_arlock 出力 2 読み出しアドレス チャネルの不可分なアクセス タイプ

m2_axi_arcache 出力 4 読み出しアドレス チャネル キャ ッシュの特性

m2_axi_arprot 出力 3 読み出しアドレス チャネルの保護ビッ ト

m2_axi_arqos 出力 4 読み出しアドレス チャネルのサービス品質 (QoS)

m2_axi_arvalid 入力 1 読み出しアドレス チャネルの Valid 信号

m2_axi_arready 入力 1 読み出しアドレス チャネルの Ready 信号

m2_axi_rid 入力 1 読み出しデータ チャネルの ト ランザクシ ョ ン ID

m2_axi_rdata 入力 128 読み出しデータ チャネルのデータ

表 2-5 : AXI4 メモリ インターフェイス信号 (続き)

信号名 方向 幅 説明

Discontinued IP

Page 15: SMPTE 2022-1/2 Video over IP Transmitter v2.0 …...SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガイド Vivado Design Suite PG180 2015 年 4 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

SMPTE 2022-1/2 Video over IP Transmitter v2.0 japan.xilinx.com 15PG180 2015 年 4 月 1 日

第 2 章 : 製品仕様

AXI_MM ポートの機能

AXI4 Stream マスター インターフェイス : 送信

詳細は、 『LogiCORE Tri-Mode Ethernet MAC 製品ガイ ド』 (PG051) [参照 4] を参照して ください。

m2_axi_rresp 入力 2 読み出しデータ チャネルの応答コード

m2_axi_rlast 入力 1 読み出しデータ チャネルの 終データ ビート

m2_axi_rvalid 入力 1 読み出しデータ チャネルの Valid 信号

m2_axi_rready 出力 1 読み出しデータ チャネルの Ready 信号

表 2-6 : AXI_MM ポート

ポート 説明

m0 port write Column FEC の計算および生成のための書き込み

m0 port read Column FEC の計算および生成のための読み出し

m1 port write Row FEC の計算および生成のための書き込み

m1 port read FEC パケッ ト送信のための読み出し

m2 port read Row FEC の計算と生成のための読み出し

表 2-7 : AXI4 Stream インターフェイス信号

信号名 方向 幅 説明

pri_tx_axis_aresetn 出力 1 送信パスの TEMAC 用の AXI4-Stream アクティブ Low リセッ ト信号

pri_tx_axis_tdata[7:0] 出力 8 TEMAC への AXI4-Stream データ信号

pri_tx_axis_tvalid 出力 1 TEMAC へ入力される AXI4-Stream データ Valid 信号

pri_tx_axis_tlast 出力 1 TEMAC へ入力される AXI4-Stream 終データ信号

pri_tx_axis_tready 入力 1 データ転送の開始を示す TEMAC からの AXI4-StreamACK 信号

sec_tx_axis_aresetn 出力 1 送信パスの TEMAC 用の AXI4-Stream アクティブ Low リセッ ト信号

sec_tx_axis_tdata[7:0] 出力 8 TEMAC への AXI4-Stream データ信号

sec_tx_axis_tvalid 出力 1 TEMAC へ入力される AXI4-Stream データ Valid 信号

sec_tx_axis_tlast 出力 1 TEMAC へ入力される AXI4-Stream 終データ信号

sec_tx_axis_tready 入力 1 データ転送の開始を示す TEMAC からの AXI4-StreamACK 信号

表 2-5 : AXI4 メモリ インターフェイス信号 (続き)

信号名 方向 幅 説明

Discontinued IP

Page 16: SMPTE 2022-1/2 Video over IP Transmitter v2.0 …...SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガイド Vivado Design Suite PG180 2015 年 4 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

SMPTE 2022-1/2 Video over IP Transmitter v2.0 japan.xilinx.com 16PG180 2015 年 4 月 1 日

第 2 章 : 製品仕様

AXIS ト ランスポート スト リーム インターフェイス

AXI4-Lite インターフェイス

AXI4-Lite インターフェイスを使用する と、 SMPTE 2022-1/2 Video over IP Transmitter コアのパラ メーターを動的に制御できます。 組み込み ARM プロセッサまたは MicroBlaze などのソフ ト システム プロセッサを使用してコアを構成できます。

AXI4-Lite インターフェイスを介してコアを制御するには、 SMPTE 2022-1/2 Video over IP Transmitter コアのレジスタ空間に対して読み出し /書き込みト ランザクシ ョ ンを実行します。

AXI4-Lite スレーブ インターフェイスを使用するこ とで、 このコアをプロセッサ システムへ簡単に統合できるよ うになり ます。 または、 AXI4-Lite インターフェイスを介して AXI4-Lite マスターへ接続して、 その他のビデオ/AXI4-Lite準拠の IP と共に統合するこ と もできます。

表 2-8 : AXIS ト ランスポート スト リーム インターフェイス信号

信号名 方向 幅 説明

s<n>_axis_aresetn 入力 1 コアへ入力される AXI-4 Stream リセッ ト信号

s<n>_axis_clk 入力 1 コアへ入力される AXI-4 Stream ク ロ ッ ク信号

s<n>_axis_data 入力 8 コアへ入力される AXI-4 Stream データ信号

s<n>_axis_tvalid 入力 1 コアへ入力される AXI4-Stream データ Valid 信号

s<n>_axis_tuser 入力 1 Hex 47 の同期バイ ト を示す、 コ アに入力されるAXI4-Stream tuser 信号

s<n>_axis_tlast 入力 1 コアへ入力される AXI4-Stream 終データ信号。0 に設定。

s<n>_axis_tready 出力 1 コアからの AXI4-Stream ACK 信号。 常に 1。

X-Ref Target - Figure 2-4

図 2-4 : SMPTE 2022-1/2 Video over IP Transmitter コアのト ランスポート スト リーム AXIS インターフェイス

表 2-9 : AXI4-Lite インターフェイス信号

信号名 方向 幅 説明

s_axi_clk 入力 1 AXI4-Lite のクロ ッ ク信号

s_axi_aresetn 入力 1 AXI4-Lite のアクティブ Low リセッ ト信号

s_axi_awaddr 入力 9 AXI4-Lite 書き込みアドレス バス

s_axi_awvalid 入力 1 AXI4-Lite 書き込みアドレス チャネルの書き込みアドレス Valid 信号

s_axi_wdata 入力 32 AXI4-Lite 書き込みデータ バス

s_axi_wstrb 入力 4 AXI4-Lite 書き込みデータ チャネルのデータ バイ ト ス ト ローブ

Discontinued IP

Page 17: SMPTE 2022-1/2 Video over IP Transmitter v2.0 …...SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガイド Vivado Design Suite PG180 2015 年 4 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

SMPTE 2022-1/2 Video over IP Transmitter v2.0 japan.xilinx.com 17PG180 2015 年 4 月 1 日

第 2 章 : 製品仕様

レジスタ空間SMPTE 2022-1/2 Video over IP Transmitter コアのレジスタ空間は、一般レジスタ とチャネル固有レジスタで構成されます。

s_axi_wvalid 入力 1 AXI4-Lite 書き込みデータ チャネルの書き込みデータ Valid 信号

s_axi_awready 出力 1 AXI4-Lite 書き込みアドレス チャネルの書き込みアドレス Ready 信号。DMA が書き込みアドレスを受け入れる準備が整ったこ とを示す。

s_axi_wready 出力 1 AXI4-Lite 書き込みデータ チャネルの書き込みデータ Ready 信号。

DMA が書き込みデータを受け入れる準備が整ったこ とを示す。

s_axi_bresp 出力 2 AXI4-Lite 書き込み応答チャネル。 書き込み転送の結果を示す。

s_axi_bvalid 出力 1 AXI4-Lite 書き込み応答チャネルの応答 Valid 信号。応答が有効であるこ とを示す。

s_axi_bready 入力 1 AXI4-Lite 書き込み応答チャネル Ready 信号。ターゲッ トが応答を受け入れる準備が整っているこ とを示す。

s_axi_arvalid 入力 1 AXI4-Lite 読み出しアドレス チャネルの読み出しアドレス Valid 信号

s_axi_arready 出力 1 Ready 信号。 DMA が読み出しアドレスを受け入れる準備が整ったことを示す。

s_axi_araddr 入力 9 AXI4-Lite 読み出しアドレス バス

s_axi_rready 入力 1 AXI4-Lite 読み出しデータ チャネルの読み出しデータ Ready 信号。ターゲッ トが読み出しアド レスを受け入れる準備が整ったこ とを示す。

s_axi_rdata 出力 32 AXI4-Lite 読み出しデータ バス

s_axi_rresp 出力 2 AXI4-Lite 読み出し応答チャネルの応答信号。読み出し転送の結果を示す。

s_axi_rvalid 出力 1 AXI4-Lite 読み出しデータ チャネルの読み出しデータ Valid 信号

表 2-10 : AXI4-Lite レジスタ マップ

アドレス (16 進数)

BASEADDR +レジスタ名

アクセス タイプ

デフォルト値 レジスタの説明

一般レジスタ

0x0000 CONTROL R/W 0x00000000 ホス ト プロセッサの書き込み完了セマフォ ビッ ト 0 : ホス ト プロセッサがレジスタを更新中 ビッ ト 1 : ホス ト プロセッサによるレジスタ更新が完了

0x0004 RESET R/W 0x00000000 ビッ ト 0 : リセッ ト

31-1 : 予約

表 2-9 : AXI4-Lite インターフェイス信号 (続き)

信号名 方向 幅 説明

Discontinued IP

Page 18: SMPTE 2022-1/2 Video over IP Transmitter v2.0 …...SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガイド Vivado Design Suite PG180 2015 年 4 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

SMPTE 2022-1/2 Video over IP Transmitter v2.0 japan.xilinx.com 18PG180 2015 年 4 月 1 日

第 2 章 : 製品仕様

0x000C CHANNEL_ACCESS R/W 0x00000000 ビッ ト 31 : 0 - プライマ リ、 1 - セカンダ リ

30-8 : 予約

7-0 : レジスタへアクセスするためのチャネル番号

0x0010 PRI_MAC_ADDR_LOW R/W 0x00000000 31-0 : プライマ リ リ ンクのソース MAC アドレス[31:0]

0x0014 PRI_MAC_ADDR_HIGH R/W 0x00000000 15-0 : プライマ リ リ ンクのソース MAC アドレス[47:32]

31-16 : 予約

0x0018 SEC_MAC_ADDR_LOW R/W 0x00000000 31-0 : セカンダ リ リ ンクのソース MAC アドレス[31:0]

0x001C SEC_MAC_ADDR_HIGH R/W 0x00000000 15-0 : セカンダ リ リ ンクのソース MAC アドレス[47:32]

31-16 : 予約

0x0020 SYS_CONF R ビッ ト 31 : シームレス サポート

ビッ ト 30 : FEC サポート

29-8 : 予約

7-0 : サポート されるチャネル数

0x0024 VERSION R 0x01000000 31-24 : メジャー バージ ョ ン

23-16 : マイナー バージ ョ ン

15-12 : バージ ョ ン リ ビジ ョ ン

11-8 : パッチ ID7-0 : リ ビジ ョ ン番号

0x0030 HITLESS_CONFIG R/W 0x00000000 31-1 : 予約

ビッ ト 0 : 0 = イネーブル、 1 = ディ スエーブル

チャネル レジスタ

0x0080 IP_HEADER R/W 0x00000000 7-0 : TTL (Time To Live)15-8 : TOS (Type of Service)

31-16 : 予約

0x0084 VLAN_TAG_INFO R/W 0x00000000 15-0 : VLAN タグ情報

30-16 : 予約

ビッ ト 31 : 0 = VLAN なし、 1 = VLAN あ り

0x0088 DST_MAC_LOW_ADDR R/W 0x00000000 31-0 : デスティネーシ ョ ン MAC アドレス [31:0]

0x008C DST_MAC_HIGH_ADDR R/W 0x00000000 15-0 : デスティネーシ ョ ン MAC アドレス [47:32]31-16 : 予約

0x0090 DST_IP_ADDR R/W 0x00000000 31-0 : デスティネーシ ョ ン IP アドレス

0x00A0 SRC_IP_ADDR R/W 0x00000000 31-0 : ソース IP アドレス

0x00B0 SRC_UDP_PORT R/W 0x00000000 15-0 : ソース UDP ポート

31-16 : 予約

0x00B4 DST_UDP_PORT R/W 0x00000000 15-0 : デスティネーシ ョ ン UDP ポート

31-16 : 予約

表 2-10 : AXI4-Lite レジスタ マップ (続き)

アドレス (16 進数)

BASEADDR +レジスタ名

アクセス タイプ

デフォルト値 レジスタの説明

Discontinued IP

Page 19: SMPTE 2022-1/2 Video over IP Transmitter v2.0 …...SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガイド Vivado Design Suite PG180 2015 年 4 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

SMPTE 2022-1/2 Video over IP Transmitter v2.0 japan.xilinx.com 19PG180 2015 年 4 月 1 日

第 2 章 : 製品仕様

0x00C0 transmit_pkt_cnt R 0x00000000 31:0 送信されるパケッ ト数

0x00CC channel_stat_reset R/W 0x00000000 31-1 : 予約 0 : 送信されるパケッ ト数を リセッ ト

0x00D0 transmit_en R/W 0x00000001 31-1 : 予約 0 : 1 = 送信イネーブル、 0 = ディ スエーブル

0x00D4 TRANSPORT_MAX_GAP R/W 31-0 : s_axis_clk ク ロ ッ ク動作に基づく、入力ス ト リームのウォッチド ッグ タイムアウ ト

0x00D8 ip_header_fec R/W 0x00000000 31-16 : 予約 15-8 : TOS (Type of Service) 7-0 : TTL (Time to Live)

0x0100 CHANNEL_ENABLE R/W 0x00000000 ビッ ト 0 : 1 = イネーブル、 0 = ディ スエーブル

31-1 : 予約

0x0110 TS_CONFIG R/W 0x00000000 ビッ ト 0 : 予約

3-1 : IP あた りの ト ランスポート ス ト リーム パケッ ト [1-7]31-4 : 予約

0x0114 TS_STATUS R ビッ ト 0 : 同期バイ ト ロ ッ ク

ビッ ト 1 : ト ランスポート ス ト リーム パケッ トのサイズ (0–188、 1–204)31-2 : 予約

0x0118 SSRC R/W 0x00000000 31-0 : 同期ソース

0x011C FEC_CONFIG R/W 0x00000000 ビッ ト 0 : 0 - ブロ ッ ク アライ メン ト、1 - 非ブロッ ク アライ メン ト

ビッ ト 1 : 1 - Row FEC はイネーブル

ビッ ト 2 : 1 - Column FEC はイネーブル

31-3 : 予約

0x0124 FEC_L R/W 0x00000000 4-0 : FEC の L 値31-5 : 予約

0x0128 FEC_D R/W 0x00000000 4-0 : FEC の D 値31-5 : 予約

0x012C FEC_BASE_ADDR R/W 0x00000000 31-0 : FEC 生成のためにコアで使用される DDRの開始アドレス

表 2-10 : AXI4-Lite レジスタ マップ (続き)

アドレス (16 進数)

BASEADDR +レジスタ名

アクセス タイプ

デフォルト値 レジスタの説明

Discontinued IP

Page 20: SMPTE 2022-1/2 Video over IP Transmitter v2.0 …...SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガイド Vivado Design Suite PG180 2015 年 4 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

SMPTE 2022-1/2 Video over IP Transmitter v2.0 japan.xilinx.com 20PG180 2015 年 4 月 1 日

第 2 章 : 製品仕様

CONTROL (0x0000) レジスタ

CONTROL レジスタのビッ ト 1 は、チャネル空間内のすべてのユーザー レジスタを同時に更新するために使用するホス ト プロセッサ用の書き込み完了セマフォです。 レジスタは同じものが 2 つあり、そのうち 1 つ (プロセッサ レジスタ ) にはプロセッサ インターフェイスから直接アクセスできます。 も う 1 つ (アクティブ セッ ト ) はコアが使用します。 プロセッサ レジスタへ書き込んだ値は、 レジスタ更新ビッ トがセッ ト されている場合のみアクティブ セッ トへコピーされます。 レジスタ更新ビッ ト を 0 にしてから複数のレジスタに書き込みを実行し、 その後でレジスタ更新ビッ ト を 1 にする とすべてのチャネル空間レジスタが同時に更新されます。

RESET (0x0004) レジスタ

ビッ ト 0 はソフ ト ウェア リセッ トです。アサート される と、コンフ ィギュレーシ ョ ン レジスタはリセッ ト状態に維持されます。 同時に、 コア インターフェイスでは soft_reset 信号が High に保持されます。 レジスタ値はすべてデフォルト値にリセッ ト されます。 ただし、 コアを リセッ トする際は外部ロジッ クを使用して 上位ポート を介して対応するすべてのリセッ ト信号を駆動する必要があ り ます。 これによって、複数のサブシステムやト ランシーバーに対して適切な リセッ ト シーケンスを構成できるよ うにな り ます。

CHANNEL_ACCESS (0x000C) レジスタ

ビッ ト 31 では、 プライマ リ リ ンクまたはセカンダ リ リ ンクのいずれかを選択し、 ビッ ト 7-0 では、 チャネル空間のレジスタに対して読み出し /書き込みを実行するチャネル番号を設定します。すべてのチャネルは、チャネル空間で同じレジスタ アドレスを共有します。

PRI_MAC_ADDR_LOW (0x0010) レジスタ

このレジスタは、 パケッ トのプライマ リ リ ンク イーサネッ ト ヘッダーに挿入される、 ソースのイーサネッ ト MACアドレスの 3 番目、 4 番目、 5 番目、 および 6 番目のバイ ト を設定します。

PRI_MAC_ADDR_HIGH (0x0014) レジスタ

このレジスタは、 パケッ トのプライマ リ リ ンク イーサネッ ト ヘッダーに挿入される、 ソースのイーサネッ ト MACアドレスの 1 番目および 2 番目のバイ ト を設定します。

SEC_MAC_ADDR_LOW (0x0018) レジスタ

このレジスタは、 パケッ トのセカンダ リ リ ンク イーサネッ ト ヘッダーに挿入される、 ソースのイーサネッ ト MACアドレスの 3 番目、 4 番目、 5 番目、 および 6 番目を設定します。

SEC_MAC_ADDR_HIGH (0x001C) レジスタ

このレジスタは、 パケッ トのセカンダ リ リ ンク イーサネッ ト ヘッダーへ挿入される、 ソースのイーサネッ ト MACアドレスの 1 番目および 2 番目のバイ ト を設定します。

SYS_CONF (0x0020) レジスタ

このレジスタはコアのステータスを示します。

Discontinued IP

Page 21: SMPTE 2022-1/2 Video over IP Transmitter v2.0 …...SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガイド Vivado Design Suite PG180 2015 年 4 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

SMPTE 2022-1/2 Video over IP Transmitter v2.0 japan.xilinx.com 21PG180 2015 年 4 月 1 日

第 2 章 : 製品仕様

VERSION (0x0024) レジスタ

このレジスタのビッ ト フ ィールドを使用して、 ソフ ト ウェアはシステムに統合されたハード ウェア ペリ フェラルの正確なバージ ョ ンを特定します。 コア ド ラ イバーはこの読み出し専用の値を利用して、 ソフ ト ウェアが正しいバージ ョ ンのハードウェアに適合しているかを確認できます。

HITLESS_CONFIG (0x0020) レジスタ

ビッ ト 0 を設定して、 セカンダ リ リ ンクを有効化/無効化します。

IP_HEADER (0x0080) レジスタ

このレジスタは、 パケッ トの TTL (Time to Live) および TOS (Type of Service) を設定します。

VLAN_TAG_INFO (0x0084) レジスタ

VLAN_TAG_INFO レジスタは、 イーサネッ ト パケッ トに VLAN を含めるかど うか、 そして各パケッ トに挿入するタグ制御情報を設定します。 (タグ プロ ト コル識別子 = 0x8100)

DST_MAC_ADDR_LOW (0x0088) レジスタ

このレジスタは、パケッ トのイーサネッ ト ヘッダーに挿入される、デスティネーシ ョ ンのイーサネッ ト MAC アドレスの 3 番目、 4 番目、 5 番目、 および 6 番目のバイ ト を設定します。

DST_MAC_ADDR_HIGH (0x008C) レジスタ

このレジスタは、パケッ トのイーサネッ ト ヘッダーに挿入される、デスティネーシ ョ ンのイーサネッ ト MAC アドレスの 1 番目および 2 番目のバイ ト を設定します。

DST_IP_ADDR (0x0090) レジスタ

このレジスタは、 パケッ トの IP ヘッダーへ挿入されるデスティネーシ ョ ンの IP アドレスを設定します。

SRC_IP_ADDR (0x00A0) レジスタ

このレジスタは、 パケッ トの IP ヘッダーへ挿入されるソースの IP アドレスを設定します。

SRC_UDP_PORT (0x00B0) レジスタ

このレジスタは、 パケッ トの IP ヘッダーへ挿入される ソースの UDP ポート を設定します。

DST_UDP_PORT (0x00B0) レジスタ

このレジスタは、 パケッ トの IP ヘッダーへ挿入されるデスティネーシ ョ ンの UDP ポート を設定します。

Discontinued IP

Page 22: SMPTE 2022-1/2 Video over IP Transmitter v2.0 …...SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガイド Vivado Design Suite PG180 2015 年 4 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

SMPTE 2022-1/2 Video over IP Transmitter v2.0 japan.xilinx.com 22PG180 2015 年 4 月 1 日

第 2 章 : 製品仕様

TRANSPORT_STREAM_MAX_GAP (0X00D4) レジスタ

s_axis_clk ク ロ ッ ク動作に基づく、 入力ス ト リームのウォ ッチド ッグ タイムアウ トです。 タイムアウ ト時にチャネルはリセッ ト されます。

CHAN_EN (0x100) レジスタ

1 にセッ ト される と、 チャネルが有効になり ます。

TS_CONFIG (0x0110) レジスタ

このレジスタは、 IP パケッ ト ご とに ト ランスポート ス ト リーム パケッ ト を設定します。 各 IP パケッ トの ト ランスポート ス ト リーム パケッ トの範囲は 1 ~ 7 です。

TS_STATUS (0x0114) レジスタ

ビッ ト 0 は同期バイ ト ロ ッ クを示し、 ビッ ト 1 はト ランスポート ス ト リーム パケッ トのサイズを示します。

SSRC (0x0118) レジスタ

このレジスタは、 パケッ トの RTP ヘッダーに挿入される SSRC 値を設定します。

FEC_CONFIG (0x011C) レジスタ

このレジスタは FEC レベルを設定します。 レベル B の場合は、 ビッ ト 1 とビッ ト 2 の両方をセッ ト します。 レベルA の場合は、 ビッ ト 2 をセッ ト します。

FEC_L (0x0124) レジスタ

FEC_L レジスタは、 FEC マ ト リ クスの L 値を設定します。

レベル A FEC の場合は、 1≤ L ≤ 20

レベル B FEC の場合は、 4 ≤ L ≤ 20

FEC_D (0x0128) レジスタ

FEC_D レジスタは、 FEC マ ト リ クスの D 値を設定します。

レベル A FEC とレベル B FEC は両方と も、 4 ≤D ≤ 20

L x D は 100 以下

FEC_BASE_ADDRESS (0x0128) レジスタ

このレジスタでは、 特定チャネルの FEC 生成に使用される DDR の開始アドレスを設定します。

Discontinued IP

Page 23: SMPTE 2022-1/2 Video over IP Transmitter v2.0 …...SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガイド Vivado Design Suite PG180 2015 年 4 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

SMPTE 2022-1/2 Video over IP Transmitter v2.0 japan.xilinx.com 23PG180 2015 年 4 月 1 日

第 3 章

コアを使用するデザインこの章では、 コアを使用した設計をよ り容易にするためのガイ ド ラインおよび追加情報を紹介します。

一般的なデザイン ガイド ラインSMPTE 2022-1/2 Video over IP Transmitter コアは、 ト ランスポート ス ト リームと 1Gbps イーサネッ トの間でブリ ッジ変換を必要とするブロードキャス ト アプリ ケーシ ョ ン向けに設計されています。 このコアは、 AXIS インターフェイスから ト ランスポート ス ト リーム パケッ ト を受け取り、IP パケッ トに UDP ヘッダーと RTP ヘッダーを追加してデータをカプセル化し、 FEC (前方誤り訂正) パケッ ト を生成した後それらを AXI4-Stream インターフェイスを介してイーサネッ ト MAC へ送信します。 コアは、 AXI4 インターフェイスを使用してコアと外部 DDR メモ リのバッファーとの間でデータを転送します。 レジスタ インターフェイスは AXI4-Lite インターフェイスに準拠しています。

注記 : SMPTE 2022-1/2 Video over IP Transmitter コアには、 FEC エンジンを含むオプシ ョ ンと、シームレス スイ ッチングを有効にするオプシ ョ ンがあ り ます。 FEC エンジンを有効にした場合、 ト ランス ミ ッ ター側で系統的に生成された冗長データを追加できるよ うになるため、レシーバー側で一定数のパケッ ト エラーを検出および修正して、圧縮されたビデオの品質を保証するこ とができます。ただし、 この方法では FPGA リ ソース と外部メモリの使用量が増加します。シームレス スイ ッチングは冗長保護リ ンクを追加してネッ ト ワーク伝送エラーによるパケッ ト損失を防ぐものです。このオプシ ョ ンを有効にした場合も FPGA リ ソース使用量が増加します。

X-Ref Target - Figure 3-1

図 3-1 : ほかのザイリンクス IP と組み合わせて構築した SMPTE 2022-1/2 Video over IP Transmitter システム

Discontinued IP

Page 24: SMPTE 2022-1/2 Video over IP Transmitter v2.0 …...SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガイド Vivado Design Suite PG180 2015 年 4 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

SMPTE 2022-1/2 Video over IP Transmitter v2.0 japan.xilinx.com 24PG180 2015 年 4 月 1 日

第 3 章 : コアを使用するデザイン

クロッキングこのコアには 4 つのクロ ッ ク ド メ インがあ り ます。

• チャネルごとのクロ ッ ク ド メ イン : s<n>_axis_clk (148.5MHz を推奨)

• システム ク ロ ッ ク ド メ イン : sys_clk (Fmax のデータを参照)

• イーサネッ ト ク ロ ッ ク ド メ イン : eth_clk (125MHz)

• AXI4-Lite ク ロ ッ ク ド メ イン : s_axi_aclk (通常は 100MHz)

リセッ トコアには、 主に 3 つのリセッ トがあ り ます。

• イーサネッ ト リセッ ト : eth_rst

• システム ド メ イン リセッ ト : sys_rst

• AXI4-Lite ド メ イン リセッ ト : s_axi_arestn

リ セッ トは各クロ ッ ク ド メ インに同期する必要があ り ます。 リセッ ト信号のアサートには、 16 ク ロ ッ ク サイクル以上が推奨されます。 eth_rst リセッ トは 後にディアサートする必要があ り ます。

メモリ要件SMPTE 2022-1/2 Video over IP Transmitter コアで必要となる DDR メモ リ量は、各チャネルの IP パケッ トに含まれる トランスポート ス ト リーム パケッ トの数とサイズによって決ま り ます。表 3-1 および表 3-2 に、 4 つのチャネルを使用し、 FEC エンジンを含むコアで必要な DDR メモ リ量の計算方法を示します。

ト ランス ミ ッ ター コアは、 プッシュバッ ク機能をインプ リ メン ト します。 メモ リ サブシステムからのレイテンシが極端に大きい場合や帯域幅が十分でない場合に、 このコアは TS インターフェイスで tready 信号をディアサート して、入力されるデータ ス ト リームをプッシュバッ ク します。 これは、システム診断の実行指示と して考えるこ とができます。

1 つのト ランスポート ス ト リーム パケッ トのサイズが 188バイ トで、7 つのト ランスポート ス ト リーム パケッ ト を含む SMPTE 2022-2 パケッ トの場合、 1 つの FEC パケッ ト を格納するのに必要なメモ リは 1408 バイ ト とな り ます。 各チャネルでバッファ リ ングされる FEC パケッ トの数は [{FEC_L x 2} + 2] です。 したがって、 各チャネルのメモ リ使用量は 59136 バイ トです。

表 3-1 : TS パケッ ト サイズが 188 バイ トの場合の SMPTE 2022-1/2 Video over IP FEC パケッ トのメモリ要件

チャネル TS/IP TS パケッ ト サイズ (バイ ト )

最大 TS パケッ ト サイズ (バイ ト ) 最大 FEC L メモリ使用量

(バイ ト )ベース アドレス

(16 進数)

0 7 188 1408 20 59136 0x00000000

1 7 188 1408 20 59136 0x0000E700

2 7 188 1408 20 59136 0x0001CE00

3 7 188 1408 20 59136 0x0002B500

Discontinued IP

Page 25: SMPTE 2022-1/2 Video over IP Transmitter v2.0 …...SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガイド Vivado Design Suite PG180 2015 年 4 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

SMPTE 2022-1/2 Video over IP Transmitter v2.0 japan.xilinx.com 25PG180 2015 年 4 月 1 日

第 3 章 : コアを使用するデザイン

1 つのト ランスポート ス ト リーム パケッ トのサイズが 204 バイ トで、 7 つのト ランスポート ス ト リーム パケッ ト を含む SMPTE 2022-2 パケッ トの場合、1 つの FEC パケッ ト を格納するのに必要なメモ リは 1536 バイ ト とな り ます。各チャネルでバッファ リ ングされる FEC パケッ トの数は [{FEC_L x 2} + 2] です。 したがって、 各チャネルのメモ リ使用量は 64512 バイ トです。

帯域幅

メモ リ帯域幅は、 チャネル数、 TS レート、 TS サイズ、 および IP あた りの TS 数とは関係なく、 RTP および FEC パケッ ト を含む SMPTE 2022-1/2 TX へのリ ンクあたり 1Gbps の 大入力に基づいて計算します。

表 3-3 の値は、各ポートのワース ト ケースでのものです。FEC 機能には、AXI-4 メモ リ インターフェイスのポートが使用されます。

表 3-2 : TS パケッ ト サイズが 204 バイ トの場合の SMPTE 2022-1/2 Video over IP FEC パケッ トのメモリ要件

チャネル TS/IP TS パケッ トサイズ (バイ ト )

最大 TS パケッ ト サイズ (バイ ト ) 最大 FEC L メモリ使用量

(バイ ト )ベース アドレス

(16 進数)

0 7 204 1536 20 64512 0x00000000

1 7 204 1536 20 64512 0x0000FC00

2 7 204 1536 20 64512 0x0001F800

3 7 204 1536 20 64512 0x0002F400

表 3-3 : ト ランスミ ッ ター AXI-MM ポートで必要な帯域幅

ポート 帯域幅 (Gbps)

M0_AXIMM WR 1

M0_AXIMM RD 1

M1_AXIMM WR 1

M1_AXIMM RD 1

M2_AXIMM RD 0.25

Discontinued IP

Page 26: SMPTE 2022-1/2 Video over IP Transmitter v2.0 …...SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガイド Vivado Design Suite PG180 2015 年 4 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

SMPTE 2022-1/2 Video over IP Transmitter v2.0 japan.xilinx.com 26PG180 2015 年 4 月 1 日

第 4 章

デザイン フローの手順この章では、 コアのカスタマイズと生成、 制約、 およびシ ミ ュレーシ ョ ン/合成/インプ リ メ ンテーシ ョ ンの手順について説明します。一般的な IP インテグレーターの Vivado® デザイン フローについては、次の Vivado Design Suite ユーザー ガイ ドを参照してください。

• 『Vivado Design Suite ユーザー ガイ ド : P インテグレーターを使用した IP サブシステムの設計』 (UG994) [参照 10]

• 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 8]

• 『Vivado Design Suite ユーザー ガイ ド : 入門』 (UG910) [参照 7]

• 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900) [参照 5]

コアのカスタマイズおよび生成こ こでは、 ザイ リ ンクス ツールを使用し、 Vivado® Design Suite でコアをカスタマイズおよび生成する方法について説明します。

Vivado IP インテグレーターでコアをカスタマイズおよび生成する場合は、 『Vivado Design Suite ユーザー ガイ ド : IPインテグレーターを使用した IP サブシステムの設計』 (UG994) [参照 10] を参照してください。デザインの検証または生成時に一部の設定値が IP インテグレーターによって自動的に計算される場合があ り ます。 値が変わるかど うかを確認するには、 この章のパラ メーターの説明を参照して ください。 パラ メーター値を確認するには、 Tcl コンソールから validate_bd_design コマンドを実行して ください。

Vivado 統合設計環境 (IDE)IP はユーザー デザインに合わせてカスタマイズできます。 それには、 IP コアに関連する各種パラ メーターの値を次の手順に従って指定します。

1. IP カタログから IP を選択します。

2. 選択した IP をダブルク リ ッ クするか、ツールバーまたは右ク リ ッ ク メニューから [Customize IP] をク リ ッ ク します。

詳細は、『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 8] の IP の基礎および IP のカスタマイズに関するセクシ ョ ンおよび『Vivado Design Suite ユーザー ガイ ド : 入門』 (UG910) [参照 7] の 「Vivado IDE の起動」を参照してください。

注記 : この章の図には Vivado IDE のスク リーンシ ョ ッ トが使用されていますが、現在のバージ ョ ンとはレイアウ トが異なる場合があ り ます。

Discontinued IP

Page 27: SMPTE 2022-1/2 Video over IP Transmitter v2.0 …...SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガイド Vivado Design Suite PG180 2015 年 4 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

SMPTE 2022-1/2 Video over IP Transmitter v2.0 japan.xilinx.com 27PG180 2015 年 4 月 1 日

第 4 章 : デザイン フローの手順

Vivado IDE では左側に IP シンボルが表示され、 右側でパラ メーターを指定します。 詳細は次のとおりです。

• [Component Name] : このコアに対して生成される出力ファイルのベース名です。 初の 1 文字は必ず小文字アルファベッ ト と し、 2 文字目以降は a ~ z、 0 ~ 9、 アンダースコア (_) を自由に組み合わせる こ とができます。v_smpte2022_12_tx_v1_0 は、 コンポーネン ト名と して使用できません。

• [Number of Channels] : チャネルの数を選択します。

• [Include Forward Error Correction Engine] : オンにする と、 FEC を含むコアが生成されます。

• [Enable Seamless Switching] : オンにする と、 シームレス動作をサポートするためにセカンダ リ AXIS イーサネット リ ンクを備えたコアが生成されます。

X-Ref Target - Figure 4-1

図 4-1 : SMPTE 2022-1/2 Video over IP Transmitter の Vivado グラフ ィ カル ユーザー インターフェイス

Discontinued IP

Page 28: SMPTE 2022-1/2 Video over IP Transmitter v2.0 …...SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガイド Vivado Design Suite PG180 2015 年 4 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

SMPTE 2022-1/2 Video over IP Transmitter v2.0 japan.xilinx.com 28PG180 2015 年 4 月 1 日

第 4 章 : デザイン フローの手順

ユーザー パラメーター

表 4-1 に、 Vivado IDE の GUI フ ィールド とユーザー パラ メーターの対応関係を示します。 ユーザー パラ メーターはTcl コンソールで表示できます。

出力の生成

詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 8] を参照してください。 Vivado 設計ツールは、 コアの構築に必要なファイルを生成し、 それらを <project>/<project>.srcs/sources_1/ip/<core> ディ レク トリに配置します。

コアへの制約こ こでは、 Vivado Design Suite でコアに制約を指定する方法について説明します。

必須の制約

このコアに必須の制約は、 第 3 章の 「ク ロ ッキング」 で説明したクロ ッ ク周波数の制約です。 2 つのクロ ッ ク ド メ イン間のパスには max_delay 制約を適用します。 また DATAPATHONLY フラグを使用してク ロ ッ ク ド メ インを交差する (ク ロ ッ ク乗せ換え) 信号に対するセッ ト アップ チェッ クおよびホールド チェッ クを無効にする必要があ り ます。これらの制約は、 コアに含まれる XDC 制約ファイルで提供されています。

デバイス、 パッケージ、 スピード グレードの選択

このコアには、デバイス、パッケージ、 またはスピード グレードの要件はあ り ません。低電力デバイスで使用するための特性評価は行われていません。

クロック周波数

第 2 章の 「 大周波数」 を参照してください。

クロック配置

このコアには、 特定のクロ ッ ク配置要件はあ り ません。

バンク設定

このコアには、 特定のバンク設定規則はあ り ません。

表 4-1 : GUI パラメーターとユーザー パラメーターの対応

GUI パラメーター /値(1) ユーザー パラメーター /値(1) デフォルト値

[Number of Channels] C_CHANNELS 1

[Include Forward Error Correction Engine] C_INCLUDE_FEC 1

[Enable Seamless Switching] C_INCLUDE_HITLESS 1

1. パラ メーター値については、 GUI パラ メーター値とユーザー パラ メーター値の異なるものを示しています。 これらの値は、 パ

ラ メーター名の下に字下げして表記しています。Discontinued IP

Page 29: SMPTE 2022-1/2 Video over IP Transmitter v2.0 …...SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガイド Vivado Design Suite PG180 2015 年 4 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

SMPTE 2022-1/2 Video over IP Transmitter v2.0 japan.xilinx.com 29PG180 2015 年 4 月 1 日

第 4 章 : デザイン フローの手順

I/O 規格と配置

このコアには、 特定の I/O 規格と配置規則はあ り ません。

シミ ュレーシ ョ ンVivado シ ミ ュレーシ ョ ン コンポーネン ト について、 またサポー ト されているサードパーテ ィ ツールについては、『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900) [参照 5] を参照して ください。

合成およびインプリ メンテーシ ョ ン合成およびインプ リ メンテーシ ョ ンの詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 8] を参照して ください。

Discontinued IP

Page 30: SMPTE 2022-1/2 Video over IP Transmitter v2.0 …...SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガイド Vivado Design Suite PG180 2015 年 4 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

SMPTE 2022-1/2 Video over IP Transmitter v2.0 japan.xilinx.com 30PG180 2015 年 4 月 1 日

第 5 章

テス トベンチこの章では、 Vivado® Design Suite で提供されているテス トベンチについて説明します。

図 5-1 に示すデモンス ト レーシ ョ ン テス トベンチは、SMPTE 2022-12 VoIP Receiver コアを設定およびテス トするシンプルな Verilog モジュールです。 このテス トベンチは複数のモジュールで構成されており、 ト ランスポート ス ト リームおよび IP パケッ ト を生成し、 それらをコアへ送信します。 また、 コアを設定した り、 コアから送信される ト ランスポート ス ト リーム パケッ トのデータ サニティ チェッ ク も行います。コアへ送信される ト ランスポート ス ト リームは、 すべてのチャネルでサイズ、 長さ、 レート、 マ ト リ ク ス サイズが異な り ます。 このテス トベンチは、 サンプルシ ミ ュレーシ ョ ンの出力プロダク ト グループの一部と して提供されます。

Discontinued IP

Page 31: SMPTE 2022-1/2 Video over IP Transmitter v2.0 …...SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガイド Vivado Design Suite PG180 2015 年 4 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

SMPTE 2022-1/2 Video over IP Transmitter v2.0 japan.xilinx.com 31PG180 2015 年 4 月 1 日

第 5 章 : テストベンチ

デモンス ト レーシ ョ ン テス トベンチの主なコンポーネン ト を次に示します。

• TS Generator : ト ランスポート ス ト リーム パケッ ト を生成し、 すべての有効チャネルを経由して SMPTE 12 TxModel にそれらを送信します。

• SMPTE 12 Rx Model および Data Checker : SMPTE 2022-12 VoIP レシーバー モデルです。 このモデルは、 IP パケット を受信し、 それらを Data Checker へ送信してサニティ チェッ クを行います。

• HAL : ハードウェア アクセス レイヤー (Hardware Access Layer) は、 レジスタ コンフ ィギュレーシ ョ ン レイヤーです。 このレイヤーには、 レジスタ読み出しプロセス とレジスタ書き込みプロセスがあ り ます。

• VSW : 仮想ソフ ト ウェア レイヤー (Virtual Software layer) です。 このレイヤーには、 ド ラ イバーと API が含まれます。 コアのコンフ ィギュレーシ ョ ンを制御し、 HAL によってコアへ駆動されます。 このレイヤーは、 テス トケースを使用して制御されます。

• DDR Model : コアからの IP および FEC パケッ ト を格納するためのダ ミー DDR モデルです。

X-Ref Target - Figure 5-1

図 5-1 : SMPTE 2022-1/2 Video over IP Receiver テストベンチ

Discontinued IP

Page 32: SMPTE 2022-1/2 Video over IP Transmitter v2.0 …...SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガイド Vivado Design Suite PG180 2015 年 4 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

SMPTE 2022-1/2 Video over IP Transmitter v2.0 japan.xilinx.com 32PG180 2015 年 4 月 1 日

付録 A

検証、 互換性、 相互運用性SMPTE 2022-1/2 Video over IP Transmitter コアのバリデーシ ョ ンには、 ザイ リ ンクスの Kintex®-7 FPGA ブロードキャスト コネクティビティ キッ ト を使用しています。 また、 このコアは Video Services Forum 社 (www.videoservicesforum.org)が主催する業界で著名なさまざまな独立系相互運用性テス トに採用されています。

Discontinued IP

Page 33: SMPTE 2022-1/2 Video over IP Transmitter v2.0 …...SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガイド Vivado Design Suite PG180 2015 年 4 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

SMPTE 2022-1/2 Video over IP Transmitter v2.0 japan.xilinx.com 33PG180 2015 年 4 月 1 日

付録 B

移行およびアップグレードこの付録には、 ISE® Design Suite から Vivado® Design Suite へデザインを移行する際の情報、 および 新版 IP コアへのアップグレードに関する情報が記載されています。 Vivado Design Suite でアップグレードする場合のポート変更およびユーザー ロジッ クへの影響といった重要な情報もこ こに記載されています。

Vivado Design Suite への移行Vivado Design Suite への移行方法については、 『ISE から Vivado Design Suite への移行ガイ ド』 (UG911) [参照 9] を参照してください。

Vivado Design Suite でのアップグレードこのセクシ ョ ンでは、Vivado Design Suite でこの IP コアの 新版にアップグレードする際の、ユーザー ロジッ クおよびポートの変更について説明します。

パラメーターの変更点 パラ メーターの変更はあ り ません。

ポートの変更点

ポートの変更はあ り ません。

その他の変更点

• 統計レジスタを追加

• 送信イネーブル/ディ スエーブル レジスタを追加

• プライマ リおよびセカンダ リ vlan の個別設定サポート を追加

Discontinued IP

Page 34: SMPTE 2022-1/2 Video over IP Transmitter v2.0 …...SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガイド Vivado Design Suite PG180 2015 年 4 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

SMPTE 2022-1/2 Video over IP Transmitter v2.0 japan.xilinx.com 34PG180 2015 年 4 月 1 日

付録 C

デバッグこの付録では、 ザイ リ ンクス サポート ウェブサイ ト よ り入手可能な リ ソースおよびデバッグ ツールについて説明します。

ヒン ト : IP の生成にエラーが発生し停止した場合、 ラ イセンスに問題がある可能性があ り ます。 詳細は、 第 1 章の「ライセンス チェッカー」 を参照してください。

ザイリンクス ウェブサイ トSMPTE 2022-1/2 Video over IP Transmitter を使用した設計およびデバッグでヘルプが必要な場合は、 ザイ リ ンクス サポート ウェブ ページから製品の資料、 リ リース ノート 、 アンサーなどを参照するか、 テクニカル サポートでケースを開いてください。

資料

この製品ガイ ドは SMPTE 2022-1/2 Video over IP Transmitter に関する主要資料です。このガイ ド並びに全製品の設計プロセスをサポートする資料はすべて、 ザイ リ ンクス サポート ウェブ ページ (http://japan.xilinx.com/support) またはザイ リ ンクスの Documentation Navigator から入手できます。

Documentation Navigator は、 ダウンロード ページ (http://japan.xilinx.com/download) の [デザイン ツール] タブからダウンロードできます。 このツールの詳細および機能は、 インス トール後にオンライン ヘルプを参照して ください。

アンサー

アンサーには、 よ く発生する問題についてその解決方法、およびザイ リ ンクス製品に関する既知の問題などの情報が記載されています。アンサーは、ユーザーが該当製品の 新情報にアクセスできるよ う作成および管理されています。

このコアに関するアンサーの検索には、 ザイ リ ンクス サポート ウェブ ページにある検索ボッ クスを使用します。 より的確な検索結果を得るには、 次のよ うなキーワードを使用してください。

• 製品名

• ツールで表示される メ ッセージ

• 問題の概要

検索結果は、 フ ィルター機能を使用してさ らに絞り込むこ とができます。

マスター アンサー レコード

AR : 54533

Discontinued IP

Page 35: SMPTE 2022-1/2 Video over IP Transmitter v2.0 …...SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガイド Vivado Design Suite PG180 2015 年 4 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

SMPTE 2022-1/2 Video over IP Transmitter v2.0 japan.xilinx.com 35PG180 2015 年 4 月 1 日

付録 C : デバッグ

テクニカル サポート

ザイ リ ン ク スは、 製品資料の説明に従って使用されている LogiCORE™ IP 製品に対するテクニカル サポー ト をjapan.xilinx.com/support で提供しています。資料で定義されていないデバイスにインプリ メン ト した り、許容されている範囲を超えてカスタマイズした り、 あるいは 「DO NOT MODIFY」 と されているデザイン セクシ ョ ンに変更を加えたり した場合、 タイ ミ ング、 機能、 製品サポートは保証されません。

テクニカル サポートへのお問い合わせ方法は、 次のとおりです。

1. http://japan.xilinx.com/support にアクセスします。

2. 「その他のリ ソース」 の下の [ウェブケースを作成] リ ンクをク リ ッ ク し、 ウェブケースを開きます。

ウェブケースを作成する際は、 次の情報を含めてください。

• パッケージおよびデバイス スピード グレードを含むターゲッ ト FPGA の情報

• 該当するすべてのザイ リ ンクス デザイン ツールとシ ミ ュレータのソフ ト ウェア バージ ョ ン

• 問題によっては、ファ イルの追加を求められる場合があ り ます。ウェブケースに含める特定ファイルについては、この資料の関連セクシ ョ ンを参照して ください。

注記 : すべての問題がウェブケースの利用対象になるわけではあ り ません。 ウェブケース ツールにログインしてサポート オプシ ョ ンを確認してください。

Discontinued IP

Page 36: SMPTE 2022-1/2 Video over IP Transmitter v2.0 …...SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガイド Vivado Design Suite PG180 2015 年 4 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

SMPTE 2022-1/2 Video over IP Transmitter v2.0 japan.xilinx.com 36PG180 2015 年 4 月 1 日

付録 C : デバッグ

デバッグ ツールSMPTE 2022-1/2 Video over IP Transmitter デザインの問題を解決するには、 数多くのツールを利用できます。 さまざまな状況をデバッグするのに有益なツールを理解しておく こ とが重要です。

Vivado Lab EditionVivado® Lab Edition は、 Logic Analyzer (ILA) および Virtual I/O (VIO) コアをユーザーのデザインに直接挿入します。Vivado Lab Edition を使用する と、 ト リ ガー条件を設定して、 ハード ウェアでアプリ ケーシ ョ ンおよび統合ブロ ッ クのポート信号をハード ウェアに取り込むこ とができます。 取り込まれた信号は、 その後解析できます。 Vivado IDE のこの機能は、 ザイ リ ンクス デバイスで実行されるデザインの論理デバッグおよびバリデーシ ョ ンに使用されます。

Vivado ラボ ツールのロジッ ク解析は次の LogiCORE IP ロジッ ク デバッグ コアに使用されます。

• ILA 2.0 (およびそれ以降のバージ ョ ン)

• VIO 2.0 (およびそれ以降のバージ ョ ン)

『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908) を参照してください。

インターフェイスのデバッグ

AXI4-Lite インターフェイス

デフォルトがすべて 0 でないレジスタから読み出して、 インターフェイスが機能しているこ とを確認します。 読み出しアドレスが有効になる と出力 s_axi_arready がアサート され、 読み出しデータ /応答が有効になる と s_axi_rvalid がアサート されます。 インターフェイスが応答しない場合は、 次を確認します。

• s_axi_aclk および aclk 入力が接続されており、 ト グルしているこ とを確認します。

• インターフェイスがリセッ ト状態に保持されておらず、 s_axi_areset がアクティブ Low のリセッ トであるこ とを確認します。

• インターフェイスが有効になっており、 s_axi_aclken がアクティブ High であるこ とを確認します (使用されている場合)。

• メ インのコア ク ロ ッ クが ト グルしており、 イネーブル信号がアサート されているこ とを確認します。

• シ ミ ュレーシ ョ ンが実行されている場合はシ ミ ュレーシ ョ ン、 または Vivado ラボ ツールのキャプチャ機能を使用して、 波形が AXI4-Lite インターフェイスへのアクセスに適しているこ とを確認します。

AXI4-Stream インターフェイス

データが送信または受信されていない場合は、 次を確認します。

• <interface_name>_tvalid 入力がアサート された後、 送信の <interface_name>_tready が Low のままになる場合、 コアはデータを送信できません。

• 受信の <interface_name>_tvalid が Low のままになる場合、 コアはデータを受信しません。

• ACLK 入力が接続されており、 ト グルしているこ とを確認します。

• AXI4-Stream の波形に従っているこ とを確認します。

• コアの設定を確認します。

Discontinued IP

Page 37: SMPTE 2022-1/2 Video over IP Transmitter v2.0 …...SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガイド Vivado Design Suite PG180 2015 年 4 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

SMPTE 2022-1/2 Video over IP Transmitter v2.0 japan.xilinx.com 37PG180 2015 年 4 月 1 日

付録 C : デバッグ

• コア固有の適切な確認を行います。

IP コアのデバッグコアをデバッグする場合、 次の手順に従います。

1. SMPTE 2022-1/2 TX のト ランスポート ス ト リーム インターフェイスがビデオ ソースに接続されているこ とを次の手順で確認します。

a. ts_status (0x114) レジスタを読み出します。Sync Byte Lock Indicator (ビッ ト 0) が Higth の場合、正しい TSビデオ ソースが接続されているこ とを示します。

b. ts_status (0x114) レジスタの TS Packet Size (ビッ ト 1) が、 TS ビデオ ソースからの TS パケッ ト サイズと同じ値であるこ とを確認します。 (0-188 バイ ト、 1-204 バイ ト )

2. chan_en (0x100) レジスタのビッ ト 0 を読み出し、チャネルが有効であるこ とを確認します。High の場合は、チャネルが有効であるこ とを意味します。

3. 送信がイネーブルであるこ とを示す transmit_en (0x0D0) レジスタのビッ ト 0 が High であるこ とを確認します。 transmit_en (0x0D0) レジスタのビッ ト 0 に 「1」 を書き込むと、 送信を有効にできます。

transmit_pkt_cnt (0x0C0) レジスタがインク リ メン ト していれば、コアがパケッ ト を送信しているこ とを示します。

Discontinued IP

Page 38: SMPTE 2022-1/2 Video over IP Transmitter v2.0 …...SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガイド Vivado Design Suite PG180 2015 年 4 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

SMPTE 2022-1/2 Video over IP Transmitter v2.0 japan.xilinx.com 38PG180 2015 年 4 月 1 日

付録 D

その他のリソースおよび法的通知

ザイリンクス リソースアンサー、 資料、 ダウンロード、 フォーラムなどのサポート リ ソースは、 ザイ リ ンクス サポート サイ ト を参照してください。

参考資料次の資料は、 この製品ガイ ドの補足資料と して役立ちます。

注記 : 日本語版のバージ ョ ンは、 英語版よ り古い場合があ り ます。

1. 『Vivado AXI リ ファレンス ガイ ド』 (UG1037)

2. 『フォワード訂正機能を備えた SMPTE 2022-1/2 CBR MPEG2 over IP』 (XAPP1194 : 英語版、 日本語版)

3. 『LogiCORE IP AXI Interconnect 製品ガイ ド』 (PG059)

4. 『LogiCORE Tri-Mode Ethernet MAC 製品ガイ ド』 (PG051)

5. 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900 : 英語版、 日本語版)

6. 『Vivado Design Suite ユーザー ガイ ド : インプリ メンテーシ ョ ン』 (UG904 : 英語版、 日本語版)

7. 『Vivado Design Suite ユーザー ガイ ド : 入門』 (UG910 : 英語版、 日本語版)

8. 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896 : 英語版、 日本語版)

9. 『ISE から Vivado Design Suite への移行ガイ ド』 (UG911 : 英語版、 日本語版)

10. 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994 : 英語版、日本語版)

Discontinued IP

Page 39: SMPTE 2022-1/2 Video over IP Transmitter v2.0 …...SMPTE 2022-1/2 Video over IP Transmitter v2.0 LogiCORE IP 製品ガイド Vivado Design Suite PG180 2015 年 4 月 1 日 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資

SMPTE 2022-1/2 Video over IP Transmitter v2.0 japan.xilinx.com 39PG180 2015 年 4 月 1 日

付録 D : その他のリソースおよび法的通知

改訂履歴次の表に、 この文書の改訂履歴を示します。

法的通知The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use of Xilinx products.To the maximum extentpermitted by applicable law:(1) Materials are made available "AS IS" and with all faults, Xilinx hereby DISCLAIMS ALL WARRANTIES ANDCONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY,NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable (whether in contract or tort,including negligence, or under any other theory of liability) for any loss or damage of any kind or nature related to, arising under, or in connectionwith, the Materials (including your use of the Materials), including for any direct, indirect, special, incidental, or consequential loss or damage(including loss of data, profits, goodwill, or any type of loss or damage suffered as a result of any action brought by a third party) even if suchdamage or loss was reasonably foreseeable or Xilinx had been advised of the possibility of the same.Xilinx assumes no obligation to correct anyerrors contained in the Materials or to notify you of updates to the Materials or to product specifications.You may not reproduce, modify, distribute,or publicly display the Materials without prior written consent.Certain products are subject to the terms and conditions of Xilinx's limited warranty,please refer to Xilinx's Terms of Sale which can be viewed at http://www.xilinx.com/legal.htm#tos; IP cores may be subject to warranty and supportterms contained in a license issued to you by Xilinx.Xilinx products are not designed or intended to be fail-safe or for use in any applicationrequiring fail-safe performance; you assume sole risk and liability for use of Xilinx products in such critical applications, please refer to Xilinx'sTerms of Sale which can be viewed at http://www.xilinx.com/legal.htm#tos.

© Copyright 2014-2015 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Vivado, Zynq, and other designated brandsincluded herein are trademarks of Xilinx in the United States and other countries.All other trademarks are the property of their respective owners.

この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、 [email protected] まで、 または各ページの

右下にある [フ ィードバッ ク送信] ボタンをク リ ッ クする と表示されるフォームからお知らせください。 フ ィードバッ クは日本語で

入力可能です。 いただきましたご意見を参考に早急に対応させていただきます。 なお、 このメール アドレスへのお問い合わせは受

け付けており ません。 あらかじめご了承ください。

日付 バージョ ン 内容

2015 年 4 月 1 日 2.0 ポートの説明セクシ ョ ンを更新。

2014 年 10 月 1 日 2.0 AXI4-Lite レジスタのマップと説明を更新。

2013 年 10 月 2 日 1.0 初版Discontinued IP