Top Banner
Quartus ® II Installation & Licensing for UNIX and Linux Workstations Version 7.0 Altera Corporation 101 Innovation Drive San Jose, CA 95134 (408) 544-7000 www.altera.com ®
79

Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

Aug 02, 2020

Download

Documents

dariahiddleston
Welcome message from author
This document is posted to help you gain knowledge. Please leave a comment to let me know what you think about it! Share it to your friends and learn new things together.
Transcript
Page 1: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

Quartus® IIInstallation & Licensing for UNIX and

Linux Workstations

Version 7.0

Altera Corporation101 Innovation DriveSan Jose, CA 95134(408) 544-7000www.altera.com

®®

Page 2: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

Quartus II Installation & Licensing for UNIX and Linux WorkstationsVersion 7.0Revision 1 P25-04747-18

Altera, the Altera logo, MAX, MAX+PLUS, MAX+PLUS II, MegaCore, NativeLink, Quartus, Quartus II, theQuartus II logo, and Stratix are registered trademarks of Altera Corporation in the United States and othercountries. ByteBlaster, ByteBlasterMV, Cyclone, LogicLock, and MasterBlaster are trademarks and/or servicemarks of Altera Corporation in the United States and other countries. Product design elements and mnemonicsused by Altera Corporation are protected by copyright and/or trademark laws. Altera Corporationacknowledges the trademarks and/or service marks of other organizations for their respective products orservices mentioned in this document, specifically: Mentor Graphics and ModelSim are registered trademarksof Mentor Graphics Corporation.

Altera reserves the right to make changes, without notice, in the devices or the device specifications identifiedin this document. Altera advises its customers to obtain the latest version of device specifications to verify,before placing orders, that the information being relied upon by the customer is current. Altera warrantsperformance of its semiconductor products to current specifications in accordance with Altera’s standardwarranty. Testing and other quality control techniques are used to the extent Altera deems such testingnecessary to support this warranty. Unless mandated by government requirements, specific testing of allparameters of each device is not necessarily performed. In the absence of written agreement to the contrary,Altera assumes no liability for Altera applications assistance, customer’s product design, or infringement ofpatents or copyrights of third parties by or arising from use of semiconductor devices described herein. Nordoes Altera warrant or represent any patent right, copyright, or other intellectual property right of Alteracovering or relating to any combination, machine, or process in which such semiconductor devices might be orare used.

Altera products are not authorized for use as critical components in life support devices or systems without theexpress written approval of the president of Altera Corporation. As used herein:

1. Life support devices or systems are devices or systems that (a) are intended for surgical implant into the bodyor (b) support or sustain life, and whose failure to perform, when properly used in accordance with instructionsfor use provided in the labeling, can be reasonably expected to result in a significant injury to the user.

2. A critical component is any component of a life support device or system whose failure to perform can bereasonably expected to cause the failure of the life support device or system, or to affect its safety oreffectiveness.

Altera products are protected under numerous U.S. and foreign patents and pendingapplications, maskwork rights, and copyrights.

Copyright © 2007 Altera Corporation. All rights reserved.

Page 3: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

Contents

ALTERA CORPORATION INSTALLATION & LICENSING FOR UNIX AND LINUX ■ III

Preface .............................................................................................................................................viDocumentation Conventions ......................................................................................................vii

Chapter 1: Installing the Altera Complete Design Suite........................................................... 1Introduction....................................................................................................................... 2Altera Complete Design Suite System Requirements................................................. 2Uninstalling Previous Versions of Altera Software ..................................................... 5Altera Complete Design Suite ........................................................................................ 5The Altera Complete Design Suite Install Script ......................................................... 7Installing the Altera Complete Design Suite on Solaris Workstations ..................... 8Installing the Altera Complete Design Suite on Linux Workstations....................... 9The Quartus II Install Script.......................................................................................... 10Installing Altera Software on Solaris Workstations with CD-ROMs ...................... 11

Installing the Quartus II Software on Solaris Workstations with a CD-ROM ............................................................................................... 11Installing the ModelSim-Altera Software on Solaris Workstations with a CD-ROM ............................................................................................... 12Installing the MegaCore IP Library on Solaris Workstations with a CD-ROM ............................................................................................... 13

Installing Altera Software on Linux Workstations with CD-ROMs ....................... 15Installing the Quartus II Software on Linux Workstations with a CD-ROM ............................................................................................... 15Installing the ModelSim-Altera Software on Linux Workstations with a CD-ROM ............................................................................................... 16Installing the MegaCore IP Library on Linux Workstations with a CD-ROM ............................................................................................... 17Installing the Nios II Embedded Design Suite on Linux Workstations with a CD-ROM ............................................................................................... 18

Using the 64-bit Version of the Quartus II Software on Solaris or Linux Workstations ............................................................................................................ 19

Chapter 2: Licensing the Quartus II Software .......................................................................... 21Introduction..................................................................................................................... 22Obtaining a License File ................................................................................................ 23Modifying the License File............................................................................................ 27Upgrading or Setting Up a License Manager Server ................................................ 29

Upgrading the FLEXlm License Server Software........................................ 29Rereading an Existing UNIX or Linux Workstation License File.............. 30Configuring a New UNIX or Linux Workstation License Server ............. 31Installing the FLEXlm Software on Another License Server ..................... 32

Configuring Each Workstation ..................................................................................... 33Configuring Each Solaris Workstation.......................................................... 33

Verifying Required Runtime Patches (Solaris 8 Only) ................. 34Verifying Kernel Configuration (Solaris Only) ............................. 34

Configuring Each Linux Workstation ........................................................... 35Verifying Required Libraries (Linux Only).................................... 35

Page 4: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

TABLE OF CONTENTS

IV ■ INSTALLATION & LICENSING FOR UNIX AND LINUX ALTERA CORPORATION

Verifying Kernel Configuration (Linux Only) ............................... 36Configuring Each Quartus II User’s Environment.................................................... 37Starting the Quartus II Software................................................................................... 38Specifying the License File ............................................................................................ 39

Specifying the License File within the Quartus II Software....................... 39Specifying the License File in the .cshrc File on Client UNIX & Linux

Workstations .............................................................................................. 42Specifying a Web Browser............................................................................... 43Registering for an Altera.com Account......................................................... 44

Licensing Related Software ........................................................................................... 45MegaCore IP Library ....................................................................................... 45Nios II Embedded Design Suite ..................................................................... 46ModelSim-Altera .............................................................................................. 46

Chapter 3: More Configuration Information ............................................................................ 47Introduction..................................................................................................................... 48Setting Environment Variables ..................................................................................... 48

Quartus II General Environment Variables .................................................. 48QUARTUS_ROOTDIR ......................................................................... 48MWFONT_CACHE_DIR ....................................................................... 49QUARTUS_MWWM ................................................................................ 49QUARTUS_64BIT .............................................................................. 49QUARTUS_LIBRARY_PATHS ........................................................... 49

Quartus II NativeLink Environment Variables............................................ 49QUARTUS_INIT_PATH .................................................................... 50QUARTUS_INIT_LIBPATH ............................................................. 50

Other Workstation Configuration Information.......................................................... 50

Chapter 4: Documentation & Technical Support ..................................................................... 53Starting the Quartus II Interactive Tutorial ................................................................ 54Using Quartus II Help.................................................................................................... 54

Getting Help on a Message............................................................................. 55Contacting Altera............................................................................................................ 56

Technical Support............................................................................................. 56Product Information ........................................................................................ 57

Appendix A: Altera Complete Design Suite File Organization............................................. 58Altera Complete Design Suite File Organization....................................................... 59

Appendix B: Mounting & Unmounting DVD-ROMs and CD-ROMs.................................. 61Introduction..................................................................................................................... 62Mounting & Unmounting DVD-ROMs on Solaris Workstations ............................ 62Mounting & Unmounting DVD-ROMs on Linux Workstations ............................. 63Mounting & Unmounting CD-ROMs on Solaris Workstations ............................... 65Mounting & Unmounting CD-ROMs on Linux Workstations................................. 66

Revision History ................................................................................ 68

Page 5: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

TABLE OF CONTENTS

ALTERA CORPORATION INSTALLATION & LICENSING FOR UNIX AND LINUX ■ V

Index ............................................................................................................................................... 69

Page 6: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

ALTERA CORPORATION INSTALLATION & LICENSING FOR UNIX AND LINUX ■ VI

PrefaceThe Quartus® II Installation & Licensing for UNIX and Linux Workstations manual provides the information you need to install the Altera Complete Design Suite software and to start the Quartus II design software.

This manual is intended for all Quartus II software users, from beginning to advanced.

This manual provides comprehensive information for installing and licensing the Altera Complete Design Suite software, and includes information about the following topics:

■ How to install the Altera Complete Design Suite software

■ How to license the Altera Complete Design Suite software

■ Additional workstation configuration information that allows you to properly configure a workstation for use with the Quartus II software

■ Other documentation and technical support information

Page 7: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

ALTERA CORPORATION INSTALLATION & LICENSING FOR UNIX AND LINUX ■ VII

Documentation ConventionsThe Quartus® II Installation & Licensing for UNIX and Linux Workstations manual uses the following conventions to make it easy for you to find and interpret information.

Typographic Conventions

Quartus II documentation uses the typographic conventions shown in the following table:

Visual Cue Meaning

Bold Initial Capitals

Command names; dialog box, page, and tab titles; and button names are shown in bold, with initial capital letters. For example: Find Text command, Save As dialog box, and Start button.

bold Directory names, project names, disk drive names, file names, file name extensions, software utility names, software executable names, and options in dialog boxes are shown in bold. Examples: quartus directory, d: drive, and license.dat file.

Initial Capitals Keyboard keys, user-editable application window fields, and menu names are shown with initial capital letters. For example: Delete key, the Options menu.

“Subheading Title”

Subheadings within a manual section are enclosed in quotation marks. In manuals, titles of Help topics are also shown in quotation marks.

Italic Initial Capitals

Help categories, manual titles, section titles in manuals, and application note and brief names are shown in italics with initial capital letters. For example: FLEXlm End Users Guide.

italics Variables are enclosed in angle brackets (< >) and shown in italics. For example: <file name> and <CD-ROM drive>.

Courier font Anything that must be typed exactly as it appears is shown in Courier. For example: \quartus\bin\lmutil lmhostid.

r Enter or return key.

■ Bullets are used in a list of items when the sequence of the items is not important.

v The checkmark indicates a procedure that consists of one step only.

! The hand points to information that requires special attention.

Page 8: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

DOCUMENTATION CONVENTIONS

VIII ■ INSTALLATION & LICENSING FOR UNIX AND LINUX ALTERA CORPORATION

Terminology

The following table shows terminology that is used throughout the Quartus II Installation & Licensing for UNIX and Linux Workstations manual:

f The feet show you where to go for more information on a particular topic.

w The exclamation mark points to information or action that you take that can cause harm to your computer or cause you to lose data.

Visual Cue Meaning

Term Meaning

“click” Indicates a quick press and release of the left mouse button. Also indicates that you need to use a mouse or key combination to start an action.

“double-click” Indicates two clicks in rapid succession.

“select” Indicates that you need to highlight text and/or objects or an option in a dialog box with a key combination or the mouse. A selection does not start an action. For example: Select Chain Description File, and click OK.

“point” Indicates that you need to position the mouse pointer, without clicking, at an appropriate location on the screen, such as a menu or submenu. For example: On the Help menu, point to Altera on the Web, and then click Quartus II Service Request.

“turn on”/“turn off” Indicates that you must click a check box to turn a function on or off.

Page 9: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

Installing the AlteraComplete Design Suite

What’s in Chapter 1:

Introduction 2

Altera Complete Design Suite System Requirements 2

Uninstalling Previous Versions of Altera Software 5

Altera Complete Design Suite 5

The Altera Complete Design Suite Install Script 7

Installing the Altera Complete Design Suite on Solaris Workstations 8

Installing the Altera Complete Design Suite on Linux Workstations 9

The Quartus II Install Script 10

Installing Altera Software on Solaris Workstations with CD-ROMs 11

IInstalling Altera Software on Linux Workstations with CD-ROMs 15

Using the 64-bit Version of the Quartus II Software on Solaris or Linux Workstations 19

ChapterOne

Page 10: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 1: INSTALLING THE ALTERA COMPLETE DESIGN SUITE

INTRODUCTION

2 ■ INSTALLATION & LICENSING FOR UNIX AND LINUX ALTERA CORPORATION

IntroductionThis chapter describes the requirements and procedures for installing the Altera Complete Design Suite for UNIX and Linux, which is available on a single DVD-ROM, or the following Altera software CD-ROMs (some listed CD-ROMs may not be included in your current Altera® Package):

■ Quartus® II Design Software for UNIX Workstations Version 7.0 (Solaris 8 and 9 only)

■ Quartus II Design Software for Linux Workstations Version 7.0 (Red Hat Enterprise Linux 3.0 and 4.0 and SUSE Linux Enterprise Server 9 only)

■ Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1

■ Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 2

■ ModelSim-Altera VHDL & Verilog HDL Simulation Tool, Version 7.0g■ MegaCore® IP Library Version 7.0■ Nios II Embedded Design Suite, for Linux Workstations, Version 7.0

The Altera Complete Design Suite for UNIX and Linux, Version 7.0 DVD-ROM includes all of the above software.

Altera Complete Design Suite System Requirements

Your system must meet the following minimum requirements:

■ One of the following workstations:

– Sun Ultra workstation with 256-MB system memory running Solaris version 8 or 9 (for 32-bit and 64-bit Solaris workstations)

– Intel Pentium III or compatible processor-based PC operating at 450 MHz or faster with 256-MB system memory, running Red Hat Enterprise Linux 3.0 or 4.0 or SUSE Linux Enterprise Server 9 (for 32-bit Linux workstations)

32-bit Linux workstations are capable of running the 32-bit version of the Quartus II software with access to virtual memory of up to

Page 11: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 1: INSTALLING THE ALTERA COMPLETE DESIGN SUITE

ALTERA COMPLETE DESIGN SUITE SYSTEM REQUIREMENTS

ALTERA CORPORATION INSTALLATION & LICENSING FOR UNIX AND LINUX ■ 3

4 GB. If your Quartus II project requires addressability of virtual memory greater than 4 GB, you must use the 64-bit version of the Quartus II software on a 64-bit Linux workstation.

– AMD64 processor or Intel EM64T processor or compatible processor-based PC with 1-GB memory, running Red Hat Enterprise Linux 3.0 or 4.0 or SUSE Linux Enterprise Server 9 (for 64-bit Linux workstations)

■ Disk space requirements, as described in the readme.txt file, which is located in the top-level directory of the Altera Complete Design Suite DVD-ROM or the Quartus II software CD-ROM. After installation, the readme.txt file is available from the Quartus II Help menu and in the Altera Complete Design Suite system directory.

■ ISO 9660–compatible CD-ROM drive (CD-ROM installation)

■ ISO 9660–compatible DVD-ROM drive (DVD-ROM installation)

■ Color monitor

■ 10/100 Mb Ethernet connection for use with the EthernetBlaster download cable

■ Serial port for use with the MasterBlaster™ communications cable

■ USB port for use with the USB-Blaster™ download cable (Linux workstations only)

■ Parallel port for use with the ByteBlasterMV™ parallel port download cable (Linux workstations only)

■ The native XServer software for Linux and Solaris platforms, which is required when running the Quartus II software.

■ One of the following window managers:

– dtwm (Sun Solaris workstations only)– vuewm (Sun Solaris workstations only)– mwm (Sun Solaris workstations only)– olwm (Sun Solaris workstations only)– kde (Linux workstations only. The kde version must be the

version that is included with Red Hat Enterprise Linux 3.0 or 4.0 or SUSE Linux Enterprise Server 9.)

Page 12: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 1: INSTALLING THE ALTERA COMPLETE DESIGN SUITE

ALTERA COMPLETE DESIGN SUITE SYSTEM REQUIREMENTS

4 ■ INSTALLATION & LICENSING FOR UNIX AND LINUX ALTERA CORPORATION

– gnome (Linux workstations only. The gnome version must be the version that is included with Red Hat Enterprise Linux 3.0 or 4.0 or SUSE Linux Enterprise Server 9.)

■ A web browser with an Internet connection, which is required to use the Quartus II software Internet connectivity features. If you are using the Netscape Navigator or Microsoft Internet Explorer browser, version 5.0 or later is required.

■ Flash Player 7.0 with Mozilla 1.4 or 1.7, which is required to use the Quartus II Interactive Tutorial (Solaris version 8 or 9)

■ Flash Player 7.0 with Mozilla 1.2 and later or Netscape 7.1, which is required to use the Quartus II Interactive Tutorial (Red Hat Enterprise Linux 3.0 or 4.0 or SUSE Linux Enterprise Server 9)

f For Information About Refer To

Specific disk space and memory requirements

The readme.txt file, which is located in the top-level directory of the Altera Complete Design Suite software DVD-ROM or the Quartus II software CD-ROM. After installation, the readme.txt file is available from the Quartus II Help menu and in the Quartus II system directory.

Updated information about system requirements and the most current version of the Quartus II Installation & Licensing for UNIX and Linux Workstations manual.

Quartus II Installation & Licensing for UNIX and Linux Workstations manual on the Altera website.

Latest information on new features, device support, EDA interface support, and known issues and workarounds for the Quartus II software

Quartus II Software Release Notes document on the Altera website.

Quartus II software features and how they work with your design flow

Introduction to Quartus II manual on the Altera website and the Quartus II Interactive Tutorial. After installation, the Quartus II Interactive Tutorial is available from the Quartus II Help menu and in the Quartus II system directory.

Page 13: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 1: INSTALLING THE ALTERA COMPLETE DESIGN SUITE

UNINSTALLING PREVIOUS VERSIONS OF ALTERA SOFTWARE

ALTERA CORPORATION INSTALLATION & LICENSING FOR UNIX AND LINUX ■ 5

Uninstalling Previous Versions of Altera Software

If you have installed a previous version of Quartus® or Quartus II software, you can uninstall that software, if you wish, before installing the current version of the Quartus II software.

To uninstall a previous version of the Quartus or Quartus II software:

v Delete the Quartus II system directory (default name is quartus).

Altera Complete Design SuiteThe Altera Complete Design Suite for UNIX and Linux version 7.0 is an integrated software installation solution that allows you to install the Quartus II software and related software, which are listed in “Introduction” on page 2, from one DVD-ROM.

The Altera Complete Design Suite for UNIX and Linux uses a text-based installation script that allows you to select the software you want to install and automatically guides you through the installation process.

You can choose to perform a normal or custom installation. A normal installation automatically installs all of the software included in the Altera Complete Design Suite using default settings and all program features. A custom installation installation allows you to select which software you want to install.

Altera programming hardware Altera Programming Unit User Guide, ByteBlaster II Download Cable User Guide, ByteBlasterMV Download Cable User Guide, EthernetBlaster Download Cable User Guide, MasterBlaster Serial/USB Communications Cable User Guide, and USB-Blaster Download Cable User Guide on the Altera website.

f For Information About Refer To

Page 14: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 1: INSTALLING THE ALTERA COMPLETE DESIGN SUITE

ALTERA COMPLETE DESIGN SUITE

6 ■ INSTALLATION & LICENSING FOR UNIX AND LINUX ALTERA CORPORATION

The Altera Complete Design Suite for UNIX and Linux installation script flow is shown in Figure 1.

Figure 1. Altera Complete Design Suite for UNIX and Linux Installation Flow

No

Yes

Proceed?

Linux orSolaris

Installation?Linux Solaris

Normal Installation

Disk Space RequirementsCalculation

Disk Space RequirementsCalculation

Altera Complete Design SuiteInstallation (Finish)

Altera Complete Design SuiteInstallation (Finish)

Nios II EmbeddedDesign Suite for Linux

Linux orSolaris

Installation?Linux Solaris

Custom Installation

MegaCore IP LibrarySoftware

MegaCore IP LibrarySoftware

ModelSim-Altera ModelSim-Altera

Selected ComponentSummary

Installation process requires that you answer yes or no for each software component during installation process on your system.

Normal Custom

Altera Complete Design SuiteInstallation Script Begins

Normalor Custom

Installation?

Page 15: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 1: INSTALLING THE ALTERA COMPLETE DESIGN SUITE

THE ALTERA COMPLETE DESIGN SUITE INSTALL SCRIPT

ALTERA CORPORATION INSTALLATION & LICENSING FOR UNIX AND LINUX ■ 7

The Altera Complete Design Suite Install Script

The Altera Complete Design Suite install script installs the Quartus II software and related software.

You should be aware of the following information before you install the Altera Complete Design Suite software:

■ Commands that do not fit on a single line in this manual are indicated by indentations of subsequent lines.

■ The UNIX environment is case sensitive. You must type directory names, file names, and file name extensions exactly as shown.

■ The default DVD-ROM directory is /dvd/dvd0. If you use a different DVD-ROM directory, substitute the appropriate name in the installation steps.

■ By default, the Altera Complete Design Suite is installed in the /opt/altera<version number> directory, where <version number> is the number of the Quartus II software version directory. The installation procedure creates this directory, if it does not already exist. If you use a different directory name, substitute the appropriate name for <Quartus II system directory> in the installation steps.

■ Your workstation must have drivers capable of supporting an ISO 9660 DVD-ROM drive.

■ If you will run the Quartus II software using a network (multiuser) license, make sure that the license server uses version 8.0 or later of the FLEXlm License Manager Server software. By default, the Quartus II software installs version 9.2 of the FLEXlm License Manager Server software on the user workstation. For more information, refer to “Upgrading or Setting Up a License Manager Server” on page 29.

■ If you want to preserve project compilation databases from an earlier version of the Quartus II software before installing a newer version, Altera recommends that you open the design in the earlier version of the software and export a version-compatible database for the design by clicking Export Database on the Project menu. After you have installed a newer version of the Quartus II software, you can import the database in the new version by clicking Import Database on the Project

Page 16: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 1: INSTALLING THE ALTERA COMPLETE DESIGN SUITE

INSTALLING THE ALTERA COMPLETE DESIGN SUITE ON SOLARIS WORKSTATIONS

8 ■ INSTALLATION & LICENSING FOR UNIX AND LINUX ALTERA CORPORATION

menu. For more information about importing and exporting version-compatible databases, see “Exporting and Importing Version-Compatible Database Files” in Quartus II Help. Version-compatible database files are available in Quartus II software version 4.1 and later.

Installing the Altera Complete Design Suite on Solaris Workstations

You can install the Altera Complete Design Suite software on Sun Ultra workstations running Solaris 8 or 9.

To install the Altera Complete Design Suite software on a Solaris workstation, follow these steps:

1. Insert the Altera Complete Design Suite for UNIX and Linux Version 7.0 DVD-ROM into your DVD-ROM drive.

2. Mount the DVD-ROM into your DVD-ROM drive. For information about mounting DVD-ROMs on Solaris workstations, refer to “Mounting & Unmounting DVD-ROMs on Solaris Workstations” on page 62 in Appendix B.

3. To install the Altera Complete Design Suite, type the following command at the command prompt:

/dvdrom/dvdrom0/install r

You are guided through the installation procedure.

! Before You Install the Altera Complete Design Suite

You must have superuser or “root” privileges to mount and unmount the DVD-ROM drive. If you are running Volume Manager, the DVD-ROM drive is mounted and unmounted automatically as /dvdrom/dvdrom0 (you do not need to have root privileges to mount a DVD-ROM with the Volume Manager), and you need to perform only steps 1, 3, 5, and 6 and can skip the other steps.

Page 17: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 1: INSTALLING THE ALTERA COMPLETE DESIGN SUITE

INSTALLING THE ALTERA COMPLETE DESIGN SUITE ON LINUX WORKSTATIONS

ALTERA CORPORATION INSTALLATION & LICENSING FOR UNIX AND LINUX ■ 9

4. Unmount the DVD-ROM drive. For information about unmounting DVD-ROMs on Solaris workstations, refer to “Mounting & Unmounting DVD-ROMs on Solaris Workstations” on page 62 in Appendix B.

Installing the Altera Complete Design Suite on Linux Workstations

You can install the Altera Complete Design Suite on Linux workstations running Red Hat Enterprise Linux 3.0 or 4.0 or SUSE Linux Enterprise Server 9.

To mount the DVD-ROM drive and install the Altera Complete Design Suite and device information on a Linux workstation, follow these steps:

1. Insert the Altera Complete Design Suite for UNIX and Linux Version 7.0 DVD-ROM into your DVD-ROM drive.

2. Mount the DVD-ROM into your DVD-ROM drive. For information about mounting DVD-ROMs on Linux workstations, refer to “Mounting & Unmounting DVD-ROMs on Linux Workstations” on page 63 in Appendix B.

3. To install the Altera Complete Design Suite, type the following command at the command prompt:

/mnt/dvdrom/install r

You are guided through the installation procedure.

4. Unmount the DVD-ROM drive. For information about unmounting DVD-ROMs on Linux workstations, refer to “Mounting & Unmounting DVD-ROMs on Linux Workstations” on page 63 in Appendix B.

! Before You Install the Altera Complete Design Suite

You must have superuser or “root” privileges to mount and unmount the DVD-ROM drive. If you are logged in on a console, you do not need to have root privileges to mount a DVD-ROM.

Page 18: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 1: INSTALLING THE ALTERA COMPLETE DESIGN SUITE

THE QUARTUS II INSTALL SCRIPT

10 ■ INSTALLATION & LICENSING FOR UNIX AND LINUX ALTERA CORPORATION

The Quartus II Install ScriptThe Quartus II software install script installs the Quartus II software using the CD-ROMs listed in “Introduction” on page 2. You can optionally request CD-ROMs in lieu of the Altera Complete Design Suite DVD-ROM.

You should be aware of the following information before you install the Quartus II software:

■ Commands that do not fit on a single line in this manual are indicated by indentations of subsequent lines.

■ The UNIX environment is case sensitive. You must type directory names, file names, and file name extensions exactly as shown.

■ The default CD-ROM directory is /cdrom/cdrom0. If you use a different CD-ROM directory, substitute the appropriate name in the installation steps.

■ By default, the Quartus II software is installed in the /opt/altera/quartus<version number> directory, where <version number> is the number of the Quartus II software version directory. The installation procedure creates this directory, if it does not already exist. If you use a different directory name, substitute the appropriate name for <Quartus II system directory> in the installation steps.

■ Your workstation must have drivers capable of supporting an ISO 9660 CD-ROM drive.

■ If you will run the Quartus II software using a network (multiuser) license, make sure that the license server uses version 8.0 or later of the FLEXlm License Manager Server software. By default, the Quartus II software installs version 9.2 of the FLEXlm License Manager Server software on the user workstation. For more information, refer to “Upgrading or Setting Up a License Manager Server” on page 29.

■ You must install the Quartus II Device Information for UNIX & Linux Workstations CD-ROM in order to begin using the Quartus II software.

■ If you want to preserve project compilation databases from an earlier version of the Quartus II software before installing a newer version, Altera recommends that you open the design in the earlier version of the software and export a version-compatible database for the design by clicking Export Database on the Project menu. After you have

Page 19: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 1: INSTALLING THE ALTERA COMPLETE DESIGN SUITE

INSTALLING ALTERA SOFTWARE ON SOLARIS WORKSTATIONS WITH CD-ROMS

ALTERA CORPORATION INSTALLATION & LICENSING FOR UNIX AND LINUX ■ 11

installed a newer version of the Quartus II software, you can import the database in the new version by clicking Import Database on the Project menu. For more information about importing and exporting version-compatible databases, see “Exporting and Importing Version-Compatible Database Files” in Quartus II Help. Version-compatible database files are available in Quartus II software version 4.1 and later.

Installing Altera Software on Solaris Workstations with CD-ROMs

This section describes the procedures for installing the Quartus II software and related software on Solaris workstations with CD-ROMs.

Installing the Quartus II Software on Solaris Workstations with a CD-ROM

You can install the Quartus II software on Sun Ultra workstations running Solaris 8 or 9.

To install the Quartus II software and device information on a Solaris workstation, follow these steps:

1. Insert the Quartus II Design Software Version 7.0 for UNIX Workstations Version (Solaris 8 and 9 only) CD-ROM or the Quartus II Design Software Version 7.0 for UNIX Workstations Version (64-bit) (Solaris 8 and 9 only) CD-ROM into your CD-ROM drive.

! Before You Install the Quartus II Software

You must have superuser or “root” privileges to mount and unmount the CD-ROM drive. If you are running Volume Manager, the CD-ROM drive is mounted and unmounted automatically as /cdrom/cdrom0 (you do not need to have root privileges to mount a CD-ROM with the Volume Manager), and you need to perform only steps 1, 3, 5, and 6 and can skip the other steps.

Page 20: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 1: INSTALLING THE ALTERA COMPLETE DESIGN SUITE

INSTALLING ALTERA SOFTWARE ON SOLARIS WORKSTATIONS WITH CD-ROMS

12 ■ INSTALLATION & LICENSING FOR UNIX AND LINUX ALTERA CORPORATION

2. Mount the CD-ROM into your CD-ROM drive. For information about mounting CD-ROMs on Solaris workstations, refer to “Mounting & Unmounting CD-ROMs on Solaris Workstations” on page 65 in Appendix B.

3. To install the Quartus II software, type the following command at the command prompt:

/cdrom/cdrom0/install r

You are guided through the installation procedure.

4. Unmount the CD-ROM drive. For information about unmounting CD-ROMs on Solaris workstations, refer to “Mounting & Unmounting CD-ROMs on Solaris Workstations” on page 65 in Appendix B.

5. When prompted by the install script, insert disk 1 or disk 2 of the Quartus II Device Information for UNIX & Linux Workstations CD-ROM into your CD-ROM drive.

6. Repeat steps 2-4 to mount and install the Quartus II device information and unmount the CD-ROM drive.

Installing the ModelSim-Altera Software on Solaris Workstations with a CD-ROM

You can install the ModelSim-Altera software on Sun Ultra workstations running Solaris 8 or 9. The readme.txt file in the quartus directory on the Quartus II Design Software Version 7.0 for UNIX Workstations (Solaris 8 and 9 only) CD-ROM provides information on the ModelSim-Altera software operating requirements and licensing. You should read the readme.txt file before installing the ModelSim-Altera software.

Page 21: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 1: INSTALLING THE ALTERA COMPLETE DESIGN SUITE

INSTALLING ALTERA SOFTWARE ON SOLARIS WORKSTATIONS WITH CD-ROMS

ALTERA CORPORATION INSTALLATION & LICENSING FOR UNIX AND LINUX ■ 13

To install the ModelSim-Altera software on a Solaris workstation, follow these steps:

1. Insert the ModelSim-Altera VHDL & Verilog HDL Simulation Tool Version 7.0g CD-ROM into your CD-ROM drive.

2. Mount the CD-ROM into your CD-ROM drive. For information about mounting CD-ROMs on Solaris workstations, refer to “Mounting & Unmounting CD-ROMs on Solaris Workstations” on page 65 in Appendix B.

3. To install the ModelSim-Altera software, type the following command at the command prompt:

/cdrom/cdrom0/unix/install.ms r

You are guided through the installation procedure. The installation procedure prompts you to specify the operating system and the system directory for installing the ModelSim-Altera software.

4. Unmount the CD-ROM drive. For information about unmounting CD-ROMs on Solaris workstations, refer to “Mounting & Unmounting CD-ROMs on Solaris Workstations” on page 65 in Appendix B.

Installing the MegaCore IP Library on Solaris Workstations with a CD-ROM

You can install the MegaCore IP Library on Sun Ultra workstations running Solaris 8 or 9. The readme.txt file in the top-level directory on the Quartus II Design Software Version 7.0 for UNIX Workstations (Solaris 8 and 9 only)

! Before You Install the ModelSim-Altera Software

You should be aware of the following information before you install the ModelSim-Altera software:

■ You must have superuser or “root” privileges to mount and unmount the CD-ROM drive. If you are running Volume Manager, the CD-ROM drive is mounted and unmounted automatically as /cdrom/cdrom0 (you do not need to have root privileges to mount a CD-ROM with the Volume Manager), and you need to perform only steps 1 and 3, and can skip the other steps.

■ Your workstation must have an ISO 9660 CD-ROM drive.

Page 22: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 1: INSTALLING THE ALTERA COMPLETE DESIGN SUITE

INSTALLING ALTERA SOFTWARE ON SOLARIS WORKSTATIONS WITH CD-ROMS

14 ■ INSTALLATION & LICENSING FOR UNIX AND LINUX ALTERA CORPORATION

CD-ROM provides information on the MegaCore IP Library operating requirements and licensing. You should read the readme.txt file before installing the MegaCore IP Library.

To install the MegaCore IP Library on a Solaris workstation, follow these steps:

1. Insert the MegaCore IP Library Version 7.0 CD-ROM into your CD-ROM drive.

2. Mount the CD-ROM into your CD-ROM drive. For information about mounting CD-ROMs on Solaris workstations, refer to “Mounting & Unmounting CD-ROMs on Solaris Workstations” on page 65 in Appendix B.

3. To install the MegaCore IP Library, type the following command at the command prompt:

/cdrom/cdrom0/solaris/install r

You are guided through the installation procedure.

4. Unmount the CD-ROM drive. For information about unmounting CD-ROMs on Solaris workstations, refer to “Mounting & Unmounting CD-ROMs on Solaris Workstations” on page 65 in Appendix B.

! Before You Install the MegaCore IP Library

You should be aware of the following information before you install the MegaCore IP Library:

■ You must have superuser or “root” privileges to mount and unmount the CD-ROM drive. If you are running Volume Manager, the CD-ROM drive is mounted and unmounted automatically as /cdrom/cdrom0 (you do not need to have root privileges to mount a CD-ROM with the Volume Manager), and you need to perform only steps 1 and 3, and can skip the other steps.

■ Your workstation must have an ISO 9660 CD-ROM drive.

f For Information About Refer To

Using MegaCore functions with the Quartus II design software

MegaCore user guides that are installed with the MegaCore IP Library and on the Altera website.

Page 23: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 1: INSTALLING THE ALTERA COMPLETE DESIGN SUITE

INSTALLING ALTERA SOFTWARE ON LINUX WORKSTATIONS WITH CD-ROMS

ALTERA CORPORATION INSTALLATION & LICENSING FOR UNIX AND LINUX ■ 15

Installing Altera Software on Linux Workstations with CD-ROMs

This section describes the procedures for installing the Quartus II software and related software on Linux workstations with CD-ROMs.

Installing the Quartus II Software on Linux Workstations with a CD-ROM

You can install the Quartus II software on Linux workstations running Red Hat Enterprise Linux 3.0 or 4.0 or SUSE Linux Enterprise Server 9.

To mount the CD-ROM drive and install the Quartus II software and device information on a Linux workstation, follow these steps:

1. Insert the Quartus II Design Software for Linux Workstations Version 7.0 (Red Hat Enterprise Linux 3.0 and 4.0 or SUSE Linux Enterprise Server 9 only) CD-ROM into your CD-ROM drive.

2. Mount the CD-ROM into your CD-ROM drive. For information about mounting CD-ROMs on Linux workstations, refer to “Mounting & Unmounting CD-ROMs on Linux Workstations” on page 66 in Appendix B.

3. To install the Quartus II software, type the following command at the command prompt:

/mnt/cdrom/install r

You are guided through the installation procedure.

4. Unmount the CD-ROM drive. For information about unmounting CD-ROMs on Linux workstations, refer to “Mounting & Unmounting CD-ROMs on Linux Workstations” on page 66 in Appendix B.

! Before You Install the Quartus II Software

You must have superuser or “root” privileges to mount and unmount the CD-ROM drive. If you are logged in on a console, you do not need to have root privileges to mount a CD-ROM.

Page 24: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 1: INSTALLING THE ALTERA COMPLETE DESIGN SUITE

INSTALLING ALTERA SOFTWARE ON LINUX WORKSTATIONS WITH CD-ROMS

16 ■ INSTALLATION & LICENSING FOR UNIX AND LINUX ALTERA CORPORATION

5. When prompted by the install script, insert disk 1 or disk 2 of the Quartus II Device Information for UNIX & Linux Workstations CD-ROM into your CD-ROM drive.

6. Repeat steps 2-4 to mount and install the Quartus II device information and unmount the CD-ROM drive.

Installing the ModelSim-Altera Software on Linux Workstations with a CD-ROM

You can install the ModelSim-Altera software on Linux workstations running Red Hat Enterprise Linux 3.0 or 4.0 or SUSE Linux Enterprise Server 9. The readme.txt file in the modelsim directory on the Quartus II Design Software for Linux Workstations Version 7.0 (Red Hat Enterprise Linux 3.0 and 4.0 or SUSE Linux Enterprise Server 9 only) CD-ROM provides information on the ModelSim-Altera software operating requirements and licensing. You should read the readme.txt file before installing the ModelSim-Altera software.

To install the ModelSim-Altera software on a Linux workstation, follow these steps:

1. Insert the ModelSim-Altera VHDL & Verilog HDL Simulation Tool Version 7.0g CD-ROM into your CD-ROM drive.

2. Mount the CD-ROM into your CD-ROM drive. For information about mounting CD-ROMs on Linux workstations, refer to “Mounting & Unmounting CD-ROMs on Linux Workstations” on page 66 in Appendix B.

! Before You Install the ModelSim-Altera Software

You should be aware of the following information before you install the ModelSim-Altera software:

■ You must have superuser or “root” privileges to mount and unmount the CD-ROM drive. If you are logged in on a console, you do not need to have root privileges to mount a CD-ROM.

■ Your workstation must have an ISO 9660 CD-ROM drive.

Page 25: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 1: INSTALLING THE ALTERA COMPLETE DESIGN SUITE

INSTALLING ALTERA SOFTWARE ON LINUX WORKSTATIONS WITH CD-ROMS

ALTERA CORPORATION INSTALLATION & LICENSING FOR UNIX AND LINUX ■ 17

3. To install the ModelSim-Altera software, type the following command at the command prompt:

/mnt/cdrom/unix/install.ms r

You are guided through the installation procedure. The installation procedure prompts you to specify the operating system and the system directory for installing the ModelSim-Altera software.

4. Unmount the CD-ROM drive. For information about unmounting CD-ROMs on Linux workstations, refer to “Mounting & Unmounting CD-ROMs on Linux Workstations” on page 66 in Appendix B.

Installing the MegaCore IP Library on Linux Workstations with a CD-ROM

You can install the MegaCore IP Library on Linux workstations running Red Hat Enterprise Linux 3.0 or 4.0 or SUSE Linux Enterprise Server 9. The readme.txt file in the top-level directory on the Quartus II Design Software for Linux Workstations Version 7.0 (Red Hat Enterprise Linux 3.0 and 4.0 or SUSE Linux Enterprise Server 9 only) CD-ROM provides information on the MegaCore IP Library operating requirements and licensing. You should read the readme.txt file before installing the MegaCore IP Library.

To install the MegaCore IP Library on a Linux workstation, follow these steps:

1. Insert the MegaCore IP Library Version 7.0 CD-ROM into your CD-ROM drive.

! Before You Install the MegaCore IP Library

You should be aware of the following information before you install the MegaCore IP Library:

■ You must have superuser or “root” privileges to mount and unmount the CD-ROM drive. If you are logged in on a console, you do not need to have root privileges to mount a CD-ROM.

■ Your workstation must have an ISO 9660 CD-ROM drive.

Page 26: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 1: INSTALLING THE ALTERA COMPLETE DESIGN SUITE

INSTALLING ALTERA SOFTWARE ON LINUX WORKSTATIONS WITH CD-ROMS

18 ■ INSTALLATION & LICENSING FOR UNIX AND LINUX ALTERA CORPORATION

2. Mount the CD-ROM into your CD-ROM drive. For information about mounting CD-ROMs on Linux workstations, refer to “Mounting & Unmounting CD-ROMs on Linux Workstations” on page 66 in Appendix B.

3. To install the MegaCore IP Library, type the following command at the command prompt:

/mnt/cdrom/linux/install r

You are guided through the installation procedure.

4. Unmount the CD-ROM drive. For information about unmounting CD-ROMs on Linux workstations, refer to “Mounting & Unmounting CD-ROMs on Linux Workstations” on page 66 in Appendix B.

Installing the Nios II Embedded Design Suite on Linux Workstations with a CD-ROM

You can install the Nios II Embedded Design Suite on Linux workstations running Red Hat Enterprise Linux 3.0 or 4.0 or SUSE Linux Enterprise Server 9. The readme.txt file in the top-level directory on the Quartus II Design Software for Linux Workstations Version 7.0 (Red Hat Enterprise Linux 3.0 and 4.0 or SUSE Linux Enterprise Server 9 only) CD-ROM provides information on the Nios II Embedded Design Suite. You should read the readme.txt file before installing the Nios II Embedded Design Suite.

f For Information About Refer To

Using MegaCore functions with the Quartus II design software

MegaCore user guides that are installed with the MegaCore IP Library and on the Altera website.

Page 27: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 1: INSTALLING THE ALTERA COMPLETE DESIGN SUITE

USING THE 64-BIT VERSION OF THE QUARTUS II SOFTWARE ON SOLARIS OR LINUX WORKSTATIONS

ALTERA CORPORATION INSTALLATION & LICENSING FOR UNIX AND LINUX ■ 19

To install the Nios II Embedded Design Suite on a Linux workstation, follow these steps:

1. Insert the Nios II Embedded Design Suite, for Linux Workstations Version 7.0 CD-ROM into your CD-ROM drive.

2. Mount the CD-ROM into your CD-ROM drive. For information about mounting CD-ROMs on Linux workstations, refer to “Mounting & Unmounting CD-ROMs on Linux Workstations” on page 66 in Appendix B.

3. To install the Nios II Embedded Design Suite, type the following command at the command prompt:

/mnt/cdrom/install r

You are guided through the installation procedure.

4. Unmount the CD-ROM drive. For information about unmounting CD-ROMs on Linux workstations, refer to “Mounting & Unmounting CD-ROMs on Linux Workstations” on page 66 in Appendix B.

Using the 64-bit Version of the Quartus II Software on Solaris or Linux Workstations

If your project requires addressability of computer memory greater than 4 GB for the quartus_map, quartus_fit, quartus_sta, quartus_tan, and quartus_cdb executables, you can use a 64-bit version of the Quartus II software for Solaris or Linux workstations. Compiling designs with the

! Before You Install the Nios II Embedded Design Suite

You should be aware of the following information before you install the Nios II Embedded Design Suite:

■ You must have superuser or “root” privileges to mount and unmount the CD-ROM drive.

■ Your workstation must have an ISO 9660 CD-ROM drive.

Page 28: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 1: INSTALLING THE ALTERA COMPLETE DESIGN SUITE

USING THE 64-BIT VERSION OF THE QUARTUS II SOFTWARE ON SOLARIS OR LINUX WORKSTATIONS

20 ■ INSTALLATION & LICENSING FOR UNIX AND LINUX ALTERA CORPORATION

64-bit version of the Quartus II software requires more system memory. You may notice an increase in compilation times if there is not sufficient physical memory to accommodate the increased memory usage. You can mitigate this effect by increasing the total physical memory on the system.

When you install the Quartus II software, the 64-bit version of the Quartus II software is also installed automatically.

To turn on 64-bit processing in the Quartus II software:

1. Start the Quartus II software as described in the “Starting the Quartus II Software” on page 38.

2. On the Tools menu, click Options.

3. In the Category list, select Processing under General.

4. If you want to turn on 64-bit processing in the Quartus II software, select Always use 64-bit processing.

You can also turn on 64-bit processing via other methods:

■ To turn on 64-bit processing via the QUARTUS_64BIT environment variable, refer to “QUARTUS_64BIT” on page 49.

■ To turn on 64-bit processing via the --64bit flag, refer to “Other Workstation Configuration Information” on page 50. Be aware that the Always use 32-bit processing or Always use 64-bit processing options in the Processing page override the settings specified with the QUARTUS_64BIT environment variable or the --64bit flag.

w Availability of 64-bit Processing Controls on 32-bit Systems

If the host system does not support 64-bit processing, the controls in the Processing page that turn on or off 64-bit processing are not available.

Page 29: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

Licensing theQuartus II Software

What’s in Chapter 2:

Introduction 22

Obtaining a License File 23

Modifying the License File 27

Upgrading or Setting Up a LicenseManager Server 29

Configuring Each Workstation 33

Configuring Each Quartus II User’s Environment 37

Starting the Quartus II Software 38

Specifying the License File 39

Licensing Related Software 45

ChapterTwo

Page 30: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE

INTRODUCTION

22 ■ INSTALLATION & LICENSING FOR UNIX AND LINUX ALTERA CORPORATION

IntroductionThis section describes how to license the Quartus II software and related software. To set up your license for the Quartus II software, you must perform the following steps, which are described in more detail in this section:

1. If necessary, obtain a license file. The Quartus II software requires a license.dat license file for each server that supports a valid, unexpired network (multiuser) license or for each node-locked (single-user) license. This same license file can also enable the additional Altera synthesis and simulation tools included with Altera software subscriptions, as well as the MAX+PLUS II software.

2. Modify the license file for your network license (FLOATALL or ADD-FLOATALL).

3. Set up and configure the FLEXlm license manager server for a UNIX or Linux workstation.

4. Configure each workstation.

5. Configure each Quartus II user’s environment.

6. Start the Quartus II software.

7. Specify the location of the license file.

8. Specify a web browser.

9. Register for an Altera.com account. (Optional)

! Network License for the Quartus II Software Version 6.0 or Earlier

For Quartus II software version 6.0 or earlier, the network license is FLOATPC, FLOATNET, or FLOATLNX.

Page 31: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE

OBTAINING A LICENSE FILE

ALTERA CORPORATION INSTALLATION & LICENSING FOR UNIX AND LINUX ■ 23

Obtaining a License FileWhen you start the Quartus II software, if the software cannot detect a valid license file, you are asked whether you want to run in evaluation mode for 30 days, perform an automatic license retrieval from the Altera website, or specify the correct location of a valid license file.

You can also obtain a license file, license.dat, from the Licensing section of the Altera website at www.altera.com/licensing if you have the following information:

■ Your Altera ID, which is a six-digit number that is provided when you purchase the Quartus II development system. This number can be found on the packing list that is shipped with the Quartus II software.

■ Your serial number, which is printed on the side of the Quartus II software shipping box and on the Registration & License File Request Form, which is also included with the Quartus II software package. This number begins with the letter G, and is followed by five digits (Gxxxxx).

■ The host ID number, for UNIX or Linux network license servers. To find your UNIX or Linux network license server host ID with FLEXlm utilities, type the following command at a command prompt (where <operating system> represents linux or solaris):

<Quartus II system directory>/<operating system>/lmutil lmhostid r

f For Information About Refer To

Additional methods of finding your host ID number

Altera website at www.altera.com

Using the FLEXlm utilities FLEXlm End Users Guide, which is available from the Support Services section of the Macrovision website at www.macrovision.com.

! Saving Previous license.dat Files

Altera recommends that you save any previous license.dat file in a temporary directory, in case you need to refer to it later.

Page 32: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE

OBTAINING A LICENSE FILE

24 ■ INSTALLATION & LICENSING FOR UNIX AND LINUX ALTERA CORPORATION

To obtain a license file, follow these steps:

1. Start the Quartus II software as described in the “Starting the Quartus II Software” on page 38.

2. Select Perform automatic web license retrieval to request a valid license file automatically from the Altera website. You are guided through the licensing procedure.

or

To request a license file at a later time, point your web browser to the Altera website at www.altera.com/licensing. The Altera Software Licensing page appears.

3. If you are using a network (multiuser) license, select the FLOATALL or ADD-FLOATALL license option.

4. Specify the requested information.

5. You will receive an e-mail from Altera with a license.dat file attached, as well as the license file text. You may either use the attached license file, or copy the lines from the attached license file to an existing license file. When you receive the license file text, save it in the /usr/local/flexlm/licenses directory. If you are using your license.dat file with both the Quartus II software and the MAX+PLUS II software, Altera recommends that you save it in a top-level directory named flexlm.

Figure 1 and Figure 2 show sample network license files for UNIX and Linux workstations that function as a single license server.

! Network License for the Quartus II Software Version 6.0 or Earlier

For Quartus II software version 6.0 or earlier, the network license is FLOATPC, FLOATNET, or FLOATLNX.

Page 33: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE

OBTAINING A LICENSE FILE

ALTERA CORPORATION INSTALLATION & LICENSING FOR UNIX AND LINUX ■ 25

Figure 1. Sample Network License File for a Single UNIX Workstation Server

Figure 2. Sample Network License File for a Single Linux Workstation Server

SERVER alice 807f1034 1800VENDOR alterad "/opt/altera/quartus51/solaris/alterad"USE_SERVERFEATURE altera_mainwin alterad 2006.12 permanent 5 391A92407D4B SIGN="0191 120C \

BB64 BC39 E5C8 EBBB 7894 E064 D348 61CC 5C6F 16DD 1269 F246 D07E 19C8 CAE3 \ E3E3 6965 E38D 1452 BB74 20E9 E6F6 5718 89AC 0E23 B5B2 1581 E453"

FEATURE quartus alterad 2006.12 permanent 5 7A496D25A602 SIGN="1C66 DAC6 1DAB C886 \ 727B 65DF FAC2 B479 3E3C 656D 3561 E5D0 BBA3 C45C 4DDC 0F2F 68F5 4DF1 6F63 \ 7785 2F5D 1480 1B0A 70DE 2220 1952 DDCD 9F4D 6D61 A177"

Optional port number

Authorization code

Number of licenses requested

Server name

Server host ID number

Feature name

Daemon name

Release date

Expiration date

SERVER alice 807f1034 1800VENDOR alterad "/opt/altera/quartus51/linux/alterad"USE_SERVERFEATURE altera_mainwin_lnx alterad 2006.12 permanent 5 391A92407D4B SIGN="0191 \

120C BB64 BC39 E5C8 EBBB 7894 E064 D348 61CC 5C6F 16DD 1269 F246 D07E 19C8 \ CAE3 E3E3 6965 E38D 1452 BB74 20E9 E6F6 5718 89AC 0E23 B5B2 1581 E453"

FEATURE quartus alterad 2006.12 permanent 5 7A496D25A602 SIGN="1C66 DAC6 1DAB C886 \ 727B 65DF FAC2 B479 3E3C 656D 3561 E5D0 BBA3 C45C 4DDC 0F2F 68F5 4DF1 6F63 \ 7785 2F5D 1480 1B0A 70DE 2220 1952 DDCD 9F4D 6D61 A177"

Optional port number

Authorization code

Number of licenses requested

Server name

Server host ID number

Feature name

Daemon name

Release date

Expiration date

Page 34: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE

OBTAINING A LICENSE FILE

26 ■ INSTALLATION & LICENSING FOR UNIX AND LINUX ALTERA CORPORATION

If you are using the Quartus II software with a node-locked (single-user) license, skip to “Configuring Each Workstation” on page 33.

The FLEXlm licensing scheme also allows you to set up three redundant license servers to serve a network (multiuser) license. You can obtain a license file for redundant servers by performing the steps described earlier in this section for obtaining a license file. Figure 3 shows a sample redundant server license file.

Figure 3. Sample Redundant Server License File

SERVER alice 807f1043 1800SERVER king 807f1042 1800SERVER queen 807f1041 1800VENDOR alterad "/opt/altera/quartus51/solaris/alterad"USE_SERVERFEATURE altera_mainwin alterad 2006.12 permanent 5 391A92407D4B SIGN="0191 120C \

BB64 BC39 E5C8 EBBB 7894 E064 D348 61CC 5C6F 16DD 1269 F246 D07E 19C8 CAE3 \ E3E3 6965 E38D 1452 BB74 20E9 E6F6 5718 89AC 0E23 B5B2 1581 E453"

FEATURE quartus alterad 2006.12 permanent 5 7A496B23A403 SIGN="1C66 DAC6 1DAB C886 \ 727B 65DF FAC2 B479 3E3C 656D 3561 E5D0 BBA3 C45C 4DDC 0F2F 68F5 4DF1 6F63 \ 7785 2F5D 1480 1B0A 70DE 2220 1952 DDCD 9F4D 6D61 A177"

Optional port number

Server name

Server host ID number

Daemon name

Release date

Expiration date

Feature name

Number of licenses requested

Authorization code

f For Information About Refer To

Setting up and administering license servers, including setting up three redundant license servers

FLEXlm End Users Guide, which is available from the Support Services section of the Macrovision website at www.macrovision.com

Page 35: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE

MODIFYING THE LICENSE FILE

ALTERA CORPORATION INSTALLATION & LICENSING FOR UNIX AND LINUX ■ 27

Modifying the License FileThe license.dat file must be modified before it can be used by the license server.

.

The first few lines of the license file are shown in the following example (your license file may not contain all the VENDOR entries, depending on which software you have enabled):

SERVER <host name> <8- or 12-character host or NIC ID> <port number>VENDOR alterad "<path to daemon executable>"VENDOR mgcld "<path to daemon executable>"

To modify the license file, follow these steps:

1. In the license.dat file, type the variables that are described in Table 1. The host or NIC ID will already be entered in the license file.

2. Make sure the license file meets these guidelines:

– The license file name must have a .dat extension. If your text editor adds .txt or another extension to the file name, such as license.dat.txt, you must rename the file to have only a .dat extension.

– The license file must have a carriage return at the end of the last FEATURE line.

– Any FEATURE line that wraps to a second or third line must contain a backslash (\) at the end of the line to indicate that it continues.

! Node-Locked (Single-User) License

If you have a node-locked (single-user) license, you can skip this section and go to “Starting the Quartus II Software” on page 38.

Page 36: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE

MODIFYING THE LICENSE FILE

28 ■ INSTALLATION & LICENSING FOR UNIX AND LINUX ALTERA CORPORATION

Table 1. Variables to Modify in the License File (license.dat)

Variable Name Description Directory

<host name> The host name of the server; for example, my_server.

<port number> (1)

The port number for the license manager service on the server; for example, 1800. The port number is optional and should be different from the port number for any other service on the machine.

alterad "<path to daemon executable>" (2)(3)

Path to the Altera vendor daemon executable alterad.

Solaris: /<Quartus II system directory>/solaris/alteradLinux: /<Quartus II system directory>/linux/alterad

mgcld "<path to daemon executable>" (2)(3)(4)

Path to the Mentor Graphics vendor daemon executable mgcld.

Solaris: /<ModelSim-Altera system directory>/sunos5aloem/mgls/lib/mgcldLinux:/<ModelSim-Altera system directory>/linuxaloem/mgls/lib/mgcld

Notes:(1) If you do not specify a port number in the license file, the FLEXlm License Manager will

automatically choose a free port between 27000 and 27009. However, to prevent port number conflicts, you can specify a port number. If three redundant servers are being used, you must specify a port number. Choose a port number greater than 1024, because port numbers less than 1024 are privileged port numbers on UNIX servers.

(2) In some network environments, the license server does not have an installation of the software tool(s) that provides the necessary vendor daemon (alterad or mgcld). In this case, copy the required daemon from another machine that does have an installation of the software. Find the file in the directory location specified. Save the file in a similarly named directory or any other location on the license server. Specify the daemon location on the license server in the license file.

(3) If the path server has spaces in it, enclose the full path in quotation marks.(4) If you are not using a license file for the ModelSim-Altera software, you may delete this line.

f For Information About Refer To

The license file and modifying the license file for the Quartus II and MAX+PLUS II software and for other EDA tools

Application Note 340 (Altera Software Licensing), which is available from the Literature section of the Altera website at www.altera.com/literature.

Page 37: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE

UPGRADING OR SETTING UP A LICENSE MANAGER SERVER

ALTERA CORPORATION INSTALLATION & LICENSING FOR UNIX AND LINUX ■ 29

Upgrading or Setting Up a License Manager Server

This section provides instructions for configuring network licensing for the Quartus II software on Sun Ultra workstations running Solaris 8 or 9, or Intel Pentium III or compatible processor-based Linux workstations running Red Hat Enterprise Linux 3.0 or 4.0 or SUSE Linux Enterprise Server 9.

Upgrading the FLEXlm License Server Software

To support network licensing, the Quartus II software requires the FLEXlm License Manager server software version 8.0 or later. The install script automatically installs version 9.2 of the FLEXlm License Manager server software on Solaris workstations or version 9.5 of the FLEXlm License Manager server software on Linux workstations, but you should also verify that the version of the FLEXlm License Manager software or the license server software is 8.0 or later. If the FLEXlm License Manager software is version 8.0 or later, skip to “Rereading an Existing UNIX or Linux Workstation License File” on page 30.

To determine which version of the FLEXlm License Manager server software you are using:

v On the computer running the FLEXlm License Manager server software, type the following commands at a command prompt:

/<FLEXlm system directory path>/lmgrd -v r/<FLEXlm system directory path>/alterad -v r

If the lmgrd or alterad daemons are not from the FLEXlm software version 8.0 or later, you must upgrade both daemons with the versions provided in the installation of the Quartus II software.

To upgrade an older version of the FLEXlm License Manager server software, follow these steps:

1. Make a backup copy of the lmgrd and alterad daemons you are currently using.

Page 38: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE

UPGRADING OR SETTING UP A LICENSE MANAGER SERVER

30 ■ INSTALLATION & LICENSING FOR UNIX AND LINUX ALTERA CORPORATION

2. Copy the new versions of the files to the computer running the license server over the daemons you are currently using. You may have to shut down the license server software to complete this step.

If you used the install script to install the FLEXlm License Manager server software, the current version of the lmgrd and alterad daemons are located in the following directories:

/<Quartus II system directory>/<operating system name>/alterad/<Quartus II system directory>/<operating system name>/lmgrd

where <operating system name> can be solaris or linux.

3. Copy the FLEXlm software utility lmutil from the Quartus II system directory to the license server computer.

4. Restart the FLEXlm license server by typing the following commands at a command prompt:

/<FLEXlm system directory path>/lmutil -c lmdown <license file path> r

/<FLEXlm system directory path>/lmgrd -c <license file path>[-l <optional log path>] r

Rereading an Existing UNIX or Linux Workstation License File

The Quartus II software uses the FLEXlm software to administer licensing for single users or for multiple users in a network installation. If you have an existing FLEXlm license server with an existing license file for the MAX+PLUS II software or software from another vendor, and the FLEXlm license server is version 8.0 or later, you can add, by copying and pasting, the Altera FEATURE lines from your Quartus II license.dat file into your existing license file. Paste the Quartus II FEATURE line as shown in the sample license file in Figure 1 on page 25. Make sure you have also modified the license file according to the guidelines in “Modifying the License File” on page 27.

If you make these changes to your license file, you must reread the license file or restart the license server before you can run the Quartus II software for the first time.

Page 39: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE

UPGRADING OR SETTING UP A LICENSE MANAGER SERVER

ALTERA CORPORATION INSTALLATION & LICENSING FOR UNIX AND LINUX ■ 31

Refer to “Upgrading the FLEXlm License Server Software” on page 29 to verify that you are using the latest supported version of the FLEXlm License Server software, or if you need to upgrade an older version of the FLEXlm License Server software.

To reread your license file on a license server:

v Type the following command at a command prompt:

/<Quartus II system directory>/<operating system name>/lmutil lmreread r

where <operating system name> can be solaris or linux.

or

v Restart the license server.

Configuring a New UNIX or Linux Workstation License Server

The Quartus II software uses the FLEXlm software to administer licensing for single users or for multiple users in a network installation. If you do not have an existing FLEXlm license server, you must configure a new Solaris or Linux license server before starting the Quartus II software for the first time.

! Configuring a New License Server

If you do not have an existing FLEXlm license server, and you need to configure a new license server, skip to “Configuring a New UNIX or Linux Workstation License Server” on page 31.

f For Information About Refer To

Using the FLEXlm utilities to administer and troubleshoot the FLEXlm License Manager software

FLEXlm End Users Guide, which is available from the Support Services section of the Macrovision website at www.macrovision.com.

Page 40: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE

UPGRADING OR SETTING UP A LICENSE MANAGER SERVER

32 ■ INSTALLATION & LICENSING FOR UNIX AND LINUX ALTERA CORPORATION

You should be aware of the following information before you configure a new license server:

■ If you have an existing FLEXlm license server, refer to “Rereading an Existing UNIX or Linux Workstation License File” on page 30.

■ Make sure you have obtained a valid license file according to the guidelines in “Obtaining a License File” on page 23, and that you have modified the license file according to the guidelines in “Modifying the License File” on page 27.

■ These instructions assume that you have installed the license.dat file in the /usr/local/flexlm/licenses directory. If you have installed the license file in a different directory, substitute the appropriate path name for that directory.

To configure a new license server:

v Type the following command at a command prompt:

/<Quartus II system directory>/<operating system name>/lmgrd -c /usr/local/flexlm/licenses/license.dat r

where <operating system name> can be solaris or linux.

Installing the FLEXlm Software on Another License Server

You can install the FLEXlm software on an additional Solaris or Linux license server.

f For Information About Refer To

Configuring a new UNIX workstation license server

readme.txt file, which is located in the top-level directory of the Altera Complete Design Suite DVD-ROM or the Quartus II software CD-ROM.

Setting up and administering license servers, including setting up three redundant license servers

FLEXlm End Users Guide, which is available from the Support Services section of the Macrovision website at www.macrovision.com.

Page 41: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE

CONFIGURING EACH WORKSTATION

ALTERA CORPORATION INSTALLATION & LICENSING FOR UNIX AND LINUX ■ 33

To install the FLEXlm software on another license server, perform the following steps:

1. Create a directory named /<Quartus II system directory>/<operating system name> on the additional license server, where <operating system name> can be solaris or linux.

2. Copy the following files from the /<Quartus II system directory>/<operating system name> directory of your original Quartus II software into the new /<Quartus II system directory>/<operating system name> directory:

– lmgrd– lmutil– alterad

Configuring Each WorkstationBefore starting the Quartus II software for the first time, you must configure each UNIX or Linux workstation that will run the Quartus II software.

Configuring Each Solaris Workstation

For each Sun Solaris UNIX workstation that will run the Quartus II software, you must verify the required runtime patches and kernel configuration before starting the Quartus II software for the first time.

! Before You Configure a Solaris Workstation

You should be aware of the following information before you configure a Solaris workstation:

■ You must have superuser or “root” privileges to install runtime patches or modify kernel configuration.

■ Solaris 9 workstations do not require runtime patches.

Page 42: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE

CONFIGURING EACH WORKSTATION

34 ■ INSTALLATION & LICENSING FOR UNIX AND LINUX ALTERA CORPORATION

Verifying Required Runtime Patches (Solaris 8 Only)

Make sure that each workstation has the runtime and graphics card patches that are required to run the Quartus II software on a Solaris 8 UNIX workstation. The last two numbers of the patches represent the version number and can be substituted with a more recent version number. Refer to Table 2 for a list of patches and descriptions.

v To determine which patch is installed on your system, type the following command at a command prompt:

showrev -a | grep <patch number> r

If the patches are not installed on your system, you should download the appropriate replacement patch from the Sun Microsystems support website at sunsolve.sun.com. Altera recommends checking the Support Center section of the Altera website at www.altera.com for late-breaking information on required and optional operating system patches. In addition, the latest version of the Quartus II Installation & Licensing for UNIX and Linux Workstations manual, which is available in PDF format from the Altera website at www.altera.com/literature, may contain updated information on patches.

Table 2 lists the runtime patches for Solaris 8.

Verifying Kernel Configuration (Solaris Only)

You should perform the following steps on a UNIX workstation running Solaris 8 or 9 to ensure that the system’s kernel configuration meets the minimum system requirements to run the Quartus II software, if necessary:

Table 2. Solaris 8 Runtime Patches

Runtime Patches Description Reason for Patch

109147-12 or later SunOS 5.8 Linker patch

Correct dynamic load and unload of shared libraries

Note: If you are unable to install this runtime patch, the Quartus II software still functions, but online Help is not available and the graphical user interface performance and general stability may be affected.

Page 43: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE

CONFIGURING EACH WORKSTATION

ALTERA CORPORATION INSTALLATION & LICENSING FOR UNIX AND LINUX ■ 35

1. Check the configuration parameters listed below to make sure that the values listed in the system file, which is located in the etc directory, are greater than or equal to the recommended values listed below.

2. If one or more of the parameters do not have the recommended values, change the values to the recommended values by adding one or more of the following lines to the /etc/system file:

set pt_cnt=200set shmsys:shminfo_shmmax=8388608set shmsys:shminfo_shmmni=1000set shmsys:shminfo_shmseg=16

3. Restart the workstation.

Configuring Each Linux Workstation

For each Linux workstation that will run the Quartus II software, you must verify the libraries and kernel configuration before starting the Quartus II software for the first time.

Verifying Required Libraries (Linux Only)

To use the Visual MainWin software, which is required to run the Quartus II software on Linux workstations, the Linux workstation requires the GNU libc libraries (glibc). Table 3 lists the glibc versions required for each version of Linux supported by the Quartus II software.

Solaris Parameter Recommended Value

pt_cnt 200

shmmax 8388608

shmmni 1000

shmseg 16

! Before You Configure Each Linux Workstation

You must have superuser or “root” privileges to install runtime patches or modify kernel configuration.

Page 44: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE

CONFIGURING EACH WORKSTATION

36 ■ INSTALLATION & LICENSING FOR UNIX AND LINUX ALTERA CORPORATION

The GNU libc libraries are available at the Red Hat Linux website at www.redhat.com.

Verifying Kernel Configuration (Linux Only)

You should perform the following steps to ensure that the system’s kernel configuration meets the minimum system requirements to run the Quartus II software:

1. Check the configuration parameters listed below to make sure that the values listed in the limits.conf file, which is located in the /etc directory, are greater than or equal to the recommended values listed below.

2. If one or more of the parameters do not have the recommended values, change the values to the recommended values by adding one or more of the following lines to the limits.conf file:

* hard nofile 32768* soft nofile 32768

Table 3. Required glibc Version for Linux Workstations

Red Hat Linux Version glibc Version

Red Hat Linux Enterprise 3.0 glibc 2.3.2-95

Red Hat Linux Enterprise 4.0 glibc 2.3.4-2

SUSE Linux Enterprise Server 9 glibc 2.3.3-98

Linux Parameter Recommended Value

hard nofile 32768

soft nofile 32768

! Asterisks (*) in limits.conf File

The asterisks (*) in the previous lines are part of the code and must be included in the limits.conf file.

Page 45: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE

CONFIGURING EACH QUARTUS II USER’S ENVIRONMENT

ALTERA CORPORATION INSTALLATION & LICENSING FOR UNIX AND LINUX ■ 37

3. Type the following command at the command prompt:

echo 356 40000 32 32000 > /proc/sys/kernel/sem r

Configuring Each Quartus II User’s Environment

Make sure that the following steps have been performed for each Quartus II software user before starting the Quartus II software for the first time:

1. Update each user’s PATH environment variable in the .cshrc file, which is located in the home directory, to include the bin directory in the Quartus II system directory, which is usually the /opt/altera/quartus<version number>/bin directory. To update the PATH environment variable, type the following command at a command prompt:

setenv PATH ${PATH}:/<Quartus II system directory>/bin r

2. Save the changes to the .cshrc file, and then type the following commands at a command prompt:

cd rsource .cshrc r

! Adding Kernel Configuration Command to Linux Workstation Startup Scripts

The previous command takes effect immediately; however, Altera recommends that your system administrator also add the commands to the workstation’s startup scripts, because the command is reset whenever you restart the workstation.

Page 46: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE

STARTING THE QUARTUS II SOFTWARE

38 ■ INSTALLATION & LICENSING FOR UNIX AND LINUX ALTERA CORPORATION

Starting the Quartus II SoftwareAfter you have followed all the guidelines for configuring each workstation and each user environment, you can start the Quartus II software by typing the following command at a command prompt:

quartus r

When you start the Quartus II software, if the software cannot detect a valid license file, you will see a prompt with the following options:

■ Enable 30-day evaluation period with no license file (no programming file support)—This option allows you to continue to use the Quartus II software for 30 days without programming file support. After 30 days, you must have a valid license file in order to use the software.

■ Perform automatic web license retrieval—This option allows you to request a license file automatically from the Licensing section of the Altera website and guides you through the procedure. See “Obtaining a License File” on page 23 for more information.

■ Specify valid license file—This option displays the License Setup page of the Options dialog box, which allows you to specify the location of your license file. See the next section, “Specifying the License File,” for more information.

If you want to enable the Internet connectivity features of the Quartus II software, you should also follow the guidelines in “Specifying a Web Browser” on page 43.

! Avoid Starting the Quartus II Software from Platform-Specific Directories

Make sure you do not start the quartus command in the /<Quartus II system directory>/<operating system name> directory (for example, the /<Quartus II system directory>/linux directory or the /<Quartus II system directory>/solaris directory). If you start the Quartus II software in a platform-specific directory, the software may not function correctly. For more information, refer to “Configuring Each Quartus II User’s Environment” on page 37.

Page 47: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE

SPECIFYING THE LICENSE FILE

ALTERA CORPORATION INSTALLATION & LICENSING FOR UNIX AND LINUX ■ 39

Specifying the License FileOnce you have obtained a license file and set up a license server, you must specify the location of the license file for each user (or “client”). You can specify the license file with either of the following methods, which are described in more detail in this section:

■ Specify the license file with the Quartus II software

■ Specify the license file with the .cshrc file on client workstations

Specifying the License File within the Quartus II Software

To specify the license file within the Quartus II software, follow these steps on each workstation that will be running the Quartus II software:

1. If you have not already done so, start the Quartus II software, as described in “Starting the Quartus II Software” on page 38.

! Specifying the License File with the ModelSim-Altera Software and MAX+PLUS II Software

If you are using the Altera-provided ModelSim-Altera simulation software, which is included with Altera software subscriptions, and/or you are using the MAX+PLUS II software, you should specify the license file with the .cshrc file.

! Starting the Quartus II Software for the First Time

When you start the Quartus II software, if the software cannot detect a valid license file, you are asked whether you want to run in evaluation mode for 30 days, request a valid license file automatically from the Altera website, or specify the correct location of a valid license file.

Page 48: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE

SPECIFYING THE LICENSE FILE

40 ■ INSTALLATION & LICENSING FOR UNIX AND LINUX ALTERA CORPORATION

2. Select Specify valid license file to specify the name of the license file. The License Setup page of the Options dialog box is displayed. Figure 4 shows the License Setup page.

or

To specify the license file at a later time, click License Setup on the Tools menu. The License Setup page appears.

Figure 4. License Setup Page of the Options Dialog Box

Current license Option to use LM_LICENSE_FILE variable License file location Web License Update button

Local system information

Begin 30-day Grace Period button

Licensed AMPP/MegaCore functions

Page 49: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE

SPECIFYING THE LICENSE FILE

ALTERA CORPORATION INSTALLATION & LICENSING FOR UNIX AND LINUX ■ 41

1. In the License file box, specify the full path name of the license.dat file. You can click browse to locate the license.dat file. Altera recommends that you store the license.dat file in a directory named /usr/local/flexlm/licenses.

You can also specify the location of the license file by typing a name of the format <port>@<host> instead of a license file path name, where <host> is the name of the server where the license file is stored and <port> is the port listed in the license.dat file. See Figure 1 on page 25 for a sample network license file to determine your port and server name, and refer to Table 1 on page 28 for more information about port numbers. If there is no port listed in the license.dat file, you can simply specify @<host>.

If you want to use the current LM_LICENSE_FILE value specified in the .cshrc file, turn on Use LM_LICENSE_FILE variable.

4. If you want to check the Altera website for license file updates, click Web License Update.

5. If you want to use the Quartus II software in the “Subscription Expiration” grace period, click Begin 30-day Grace Period. The “Subscription Expiration” grace period allows you to use the Quartus II software with programming file support for 30 days. When the grace period expires, you must obtain an updated license file at the Altera website to continue using the Quartus II software with programming file support.

6. Click OK.

The Licensed AMPP/MegaCore functions list of the License Setup page lists all of the features and products that are available for the license.

! Specifying Multiple License Files and/or Servers

If you have more than one license file or server, separate the port and host specifications with colons (:), with no spaces between the names and numbers. For example:

1800@king:/usr/local/lib/license.dat:270000@queen

Page 50: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE

SPECIFYING THE LICENSE FILE

42 ■ INSTALLATION & LICENSING FOR UNIX AND LINUX ALTERA CORPORATION

Specifying the License File in the .cshrc File on Client UNIX & Linux Workstations

To specify the license file in the .cshrc file on each client (user) workstation, make sure that you update the LM_LICENSE_FILE variable that is specified in the .cshrc file located in each user’s home directory. You must edit this file for each user, or provide clear instructions that describe which lines the user needs to enter or edit:

v Make sure that each user has an LM_LICENSE_FILE variable that is set to the full directory path name of the license file. To update this variable, add the following line to the .cshrc file for each user:

setenv LM_LICENSE_FILE /usr/local/flexlm/licenses/license.dat

or

v If you want to specify the name of the server on which the license file is stored, add the following line to the .cshrc file for each user:

setenv LM_LICENSE_FILE <port>@<host>

where <host> is the name of the server and <port> is the port listed in the license.dat file. See Figure 1 on page 25 for a sample network license file to determine your port and server name, and refer to Table 1 on page 28 for more information about port numbers. If there is no port listed in the license.dat file, you can simply specify @<host>.

! Using the setenv Environment Variable with Multiple Applications

If more than one application uses this environment variable, separate the different paths with a colon (:) with no spaces between the path names. For example:

setenv LM_LICENSE_FILE /usr/local/flexlm licenses/license.dat:/tmp/license.xyz

Page 51: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE

SPECIFYING THE LICENSE FILE

ALTERA CORPORATION INSTALLATION & LICENSING FOR UNIX AND LINUX ■ 43

If you want the Quartus II software to use the LM_LICENSE_FILE setting from your .cshrc file, make sure Use LM_LICENSE_FILE variable is turned on in the License Setup page of the Options dialog box.

Specifying a Web Browser

You must specify your web browser location in the Options dialog box to enable the Internet connectivity features of the Quartus II software. To specify your web browser location, follow these steps:

1. Start the Quartus II software.

2. On the Tools menu, click Options.

3. In the Category list, select Internet Connectivity. The Internet Connectivity page appears. Figure 5 on page 44 shows the Internet Connectivity page of the Options dialog box.

4. In the Web browser box, specify the full path name of your web browser. You can browse to locate your web browser.

5. If you are using a proxy server, specify the proxy address and port:

a. Turn on Access the web using a proxy server.

b. In the Address box, type the proxy address or host name.

c. In the Port box, type the port number.

d. In the User name box, type the user name if required.

e. In the Password box, type the password if required.

6. Click OK.

! Using the setenv Environment Variable with Multiple Applications

If you have more than one license file or server, separate the port and host specifications with colons (:), with no spaces between the names and numbers. For example:

1800@king:/usr/local/lib/license.dat:270000@queen

Page 52: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE

SPECIFYING THE LICENSE FILE

44 ■ INSTALLATION & LICENSING FOR UNIX AND LINUX ALTERA CORPORATION

Figure 5. Internet Connectivity Page of the Options Dialog Box

Registering for an Altera.com Account

Your copy of the Quartus II software is registered at the time of purchase; however, in order to use the mySupport website to view and submit service requests, you must also register for an Altera.com account and an Altera user name. You can use your Altera user name to access your Altera.com account. Although use of the mySupport website requires you to have an

Web browser location

User name Password

Port number

Proxy address

Page 53: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE

LICENSING RELATED SOFTWARE

ALTERA CORPORATION INSTALLATION & LICENSING FOR UNIX AND LINUX ■ 45

Altera.com account, this account also makes it easier to use many other Altera website features, such as the Download Center, Licensing Center, Altera Technical Training online class registration, or Buy On-Line-Altera eStore features.

To register for an Altera.com account, follow these steps:

1. Go to the mySupport website:

v To start your web browser and connect to the mySupport website while running the Quartus II software, on the Help menu, point to Altera on the Web, and then click Quartus II Service Request.

or

v Point your web browser to the mySupport website at www.altera.com/mysupport.

2. Follow the instructions on the mySupport website to register for an Altera.com account.

If you are not a current Altera subscription user, you can still register for an Altera.com account.

Licensing Related SoftwareIn addition to licensing the Quartus II software, you must also license any other related Altera software you install. This section contains licensing procedures for the MegaCore IP Library, Nios II Embedded Design Suite, and ModelSim-Altera.

MegaCore IP Library

Once you purchase a license for any of the IP MegaCore functions, you can request a license file from the Licensing section of the Altera website at www.altera.com/licensing and install it on your computer.

Page 54: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 2: LICENSING THE QUARTUS II SOFTWARE

LICENSING RELATED SOFTWARE

46 ■ INSTALLATION & LICENSING FOR UNIX AND LINUX ALTERA CORPORATION

If you have already installed a license for a IP MegaCore function, and then you receive a new license file for a new IP MegaCore function, you can either append the license file to your existing license.dat file, or you can specify the MegaCore function’s license.dat file in the Quartus II software.

Nios II Embedded Design Suite

You can create, compile, and generate time-limited FPGA programming files for Nios II hardware systems without obtaining a license file. To generate non-time-limited FPGA programming files and flash programming files, you must obtain a license for the Nios II processor core in addition to the license for the Quartus II software. You do not need a license if you will develop software using only the Nios II IDE.

ModelSim-Altera

You can request a ModelSim-Altera license file from the Licensing section of the Altera website at www.altera.com/licensing and install it on your computer.

The ModelSim-Altera software supports licenses using the Mentor Graphics license daemon mgcld. You can find the mgcld daemon in the following directories:

■ For Solaris Workstations:

<Quartus II system directory>/solaris/sunos5aloem

■ For Linux Workstations:

<Quartus II system directory>/linux/linuxaloem

Before starting the ModelSim-Altera, you must set your ModelSim-Altera LM_LICENSE_FILE environment variable to the location and filename of the ModelSim-Altera license file. For example, the ModelSim-Altera LM_LICENSE_FILE environment variable should be set to the location and filename of your license file (<installation directory>\licenses\eda\license.dat) or with the port@host notation (1900@set). Refer to “Setting Environment Variables” on page 48.

Page 55: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

More ConfigurationInformation

What’s in Chapter 3:

Introduction 48

Setting Environment Variables 48

Other Workstation Configuration Information 50

ChapterThree

Page 56: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 3: MORE CONFIGURATION INFORMATION

INTRODUCTION

48 ■ INSTALLATION & LICENSING FOR UNIX AND LINUX ALTERA CORPORATION

IntroductionThis section describes how to change additional workstation configuration items including Quartus II general environment variables, Quartus II NativeLink® environment variables, user names, language settings, and fonts.

Setting Environment VariablesThis section describes the environment variables that the Quartus II software uses to configure various options and locate files.

If you are using the C shell, environment variables are located in your .cshrc file, and have the following format:

setenv <environment variable> <value>

If you are using the Bourne or Korn shell, environment variables are located in your .profile file, and have the following format:

set <environment variable> = <value>

Quartus II General Environment Variables

The Quartus II software installation process initializes the following variables, but you may wish to change them to optimize your system performance.

QUARTUS_ROOTDIR

The QUARTUS_ROOTDIR variable specifies the name of the Quartus II system directory. The default directory is /opt/altera/quartus<version number>. You should change this variable only if the system displays an error message indicating that Quartus II files cannot be found when you start the program.

Page 57: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 3: MORE CONFIGURATION INFORMATION

SETTING ENVIRONMENT VARIABLES

ALTERA CORPORATION INSTALLATION & LICENSING FOR UNIX AND LINUX ■ 49

MWFONT_CACHE_DIR

The MWFONT_CACHE_DIR variable specifies the name of the Quartus II font cache directory. The default directory is /<user’s home directory>/.mw.

QUARTUS_MWWM

The QUARTUS_MWWM variable specifies how the Quartus II software should interact with the system’s window manager. By default, the QUARTUS_MWWM environment variable is set to allwm (except for Linux workstations) and the Quartus II software operates normally with all supported window managers (refer to “Altera Complete Design Suite System Requirements” on page 2 for a list of supported window managers). If you are not using one of the default window managers, make sure you set the QUARTUS_MWWM environment variable to allwm to allow the Quartus II software to determine the most compatible mode.

QUARTUS_64BIT

The QUARTUS_64BIT variable specifies the 64-bit version of the Quartus II software. To enable 64-bit processing in the Quartus II software, set the environment variable to 1 before running the Quartus II software. This variable is supported in systems with 64-bit processing only.

QUARTUS_LIBRARY_PATHS

The QUARTUS_LIBRARY_PATHS variable specifies user-defined library paths. You can use this environment variable to define multiple library paths at the same time instead of manually adding each path to the user library individually.

Quartus II NativeLink Environment Variables

The Quartus II software installation process initializes the following variables, but you must set them to use the NativeLink features of the Quartus II software.

Page 58: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 3: MORE CONFIGURATION INFORMATION

OTHER WORKSTATION CONFIGURATION INFORMATION

50 ■ INSTALLATION & LICENSING FOR UNIX AND LINUX ALTERA CORPORATION

QUARTUS_INIT_PATH

The QUARTUS_INIT_PATH variable specifies the path(s) of the EDA tool(s) to be launched from within the Quartus II software. You must set this variable to launch other EDA tools from within the Quartus II software.

QUARTUS_INIT_LIBPATH

The QUARTUS_INIT_LIBPATH specifies the LD_LIBRARY_PATH variable needed by some EDA tools. You should set this variable to the EDA tool’s LD_LIBRARY_PATH if the EDA tool requires an LD_LIBRARY_PATH variable.

Other Workstation Configuration Information

This section describes other workstation configuration information, including user names, language settings, fonts, printers, and 64-bit processing:

■ If you want to change user names at a workstation using the su command, you must type the following command at the command prompt before starting the Quartus II software:

su - <username> r

Changing user names using the su <username> command (without the dash) causes the new user to use the environment settings from the previous user, which may cause the Quartus II software to stop responding.

■ The Quartus II software does not support UNIX language settings other than English. The LANG variable must be set to C for the Quartus II software to function correctly.

■ By default, generated fonts are saved to the /<user’s home directory>/.mw directory; however, having the font cache saved to these directories for each user may cause unnecessary duplication of fonts.

Page 59: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 3: MORE CONFIGURATION INFORMATION

OTHER WORKSTATION CONFIGURATION INFORMATION

ALTERA CORPORATION INSTALLATION & LICENSING FOR UNIX AND LINUX ■ 51

You can avoid this problem by specifying a directory to contain all the generated fonts for the display. To specify this directory, type the following command at the command prompt:

setenv MWFONT_CACHE <new directory name> r

■ If the Quartus II software stops responding due to an internal error, determine whether any of the following processes are running:

You can determine which processes are running by typing the following command at the command prompt:

/usr/bin/ps -ef r

You must then terminate these processes by typing the following command at the command prompt:

kill - 9 <process ID number> r

■ You can use the mwcleanup utility to clean up system resources and terminate all MainWin applications by typing the following command at the command prompt:

mwcleanup r

■ If you want to configure a printer with the MainWin control panel, follow these steps:

a. Become non-root and run mwcontrol, which is located in the /<Quartus II system directory>/bin directory. The MainWin Control Panel dialog box appears.

b. Double-click Printers. The MainWin Printers dialog box appears.

mwrpcss quartus_simquartus quartus_powquartus_map quartus_stpquartus_fit quartus_pgmquartus_tan quartus_cpfquartus_asm quartus_shquartus_drc quartus_cmdquartus_cdb quartus_staquartus_eda

Page 60: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 3: MORE CONFIGURATION INFORMATION

OTHER WORKSTATION CONFIGURATION INFORMATION

52 ■ INSTALLATION & LICENSING FOR UNIX AND LINUX ALTERA CORPORATION

c. Double-click Add New Printer. The Add New Printer wizard appears.

d. Click Next. The Identify your Unix Printer wizard appears.

e. Select the printer you want to use with the Quartus II software.

f. Click Next. The Print Command wizard appears.

g. In the Print Command box, type the following command:

/bin/sh -c "cat %s | lpr -P <printer name>; rm %s"

h. Click Next. The Printer Name wizard appears.

i. Type a name for the printer and click Next. The Finish Adding New Printer wizard appears.

j. Verify that the printer information is correct and click Finish.

■ If you want to test the printer, follow these steps:

a. Start the Quartus II software and open a text file.

b. On the File menu, click Print and select the printer you configured in the above procedure.

c. Click OK. If the printer is properly configured, the text file will print on the specified printer.

■ You can enable 64-bit processing by passing the --64bit flag to the quartus_map, quartus_fit, quartus_sta, quartus_tan, and/or quartus_cdb executables. For example, you can enable 64-bit processing with the quartus_map executable by typing the following at the command prompt:

quartus_map --64bit r

The --64bit flag is supported in systems with 64-bit processing only.

Page 61: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

Documentation &Technical Support

What’s in Chapter 4:

Starting the Quartus II Interactive Tutorial 54

Using Quartus II Help 54

Contacting Altera 56

ChapterFour

Page 62: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 4: DOCUMENTATION & TECHNICAL SUPPORT

STARTING THE QUARTUS II INTERACTIVE TUTORIAL

54 ■ INSTALLATION & LICENSING FOR UNIX AND LINUX ALTERA CORPORATION

Starting the Quartus II Interactive Tutorial

The Quartus II software includes the Flash-based Quartus II Interactive Tutorial. The modules of this tutorial teach you how to use the basic features of the Quartus II design software, including design entry, compilation, timing analysis, simulation, and programming.

This tutorial includes audio and Flash animation components, and is best experienced with a sound card and speakers and at least 1024x768 display resolution.

To start the Quartus II tutorial after you have successfully installed the Quartus II software:

v On the Help menu, click Tutorial.

Once you start the tutorial, you can jump immediately to any tutorial module by clicking Contents. Once you select a tutorial module, you can click ShowMe, GuideMe, or TestMe at any time to jump directly to the tutorial mode that best suits your learning style.

Your system must meet the requirements described in the “Altera Complete Design Suite System Requirements” on page 2 to run the Quartus II Interactive Tutorial.

Using Quartus II HelpThe Quartus II software includes a platform-independent Help system that provides comprehensive documentation for the Quartus II software and more details about the specific messages generated by the Quartus II software. You can view Help in one of the following ways:

■ Press F1 from a highlighted menu command or active dialog box for context-sensitive help.

■ Press Shift+F1 or click Context-Sensitive Help on the toolbar. The pointer turns into a Help pointer. You can then point to a menu command or active window and click for context-sensitive help.

■ On the Help menu, click Index to view the Index tab. The Index lets you search for and display all Help topics related to a keyword or phrase.

Page 63: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 4: DOCUMENTATION & TECHNICAL SUPPORT

USING QUARTUS II HELP

ALTERA CORPORATION INSTALLATION & LICENSING FOR UNIX AND LINUX ■ 55

■ On the Help menu, click Search to perform a search with the Search tab. The Search finds a maximum of 500 topics containing the search keyword.

■ On the Help menu, click Contents to view the Contents tab. The Contents outlines the design flow and groups related topics into folders, but does not list all the topics in Quartus II Help.

■ On the Help menu, click Messages to view the Messages list. The Messages list provides an alphabetical list of all messages and offers detailed Help on each message.

■ On the Help menu, click Glossary to view the Glossary list. The Glossary list provides definitions to key terminology in the Quartus II software.

Getting Help on a Message

To view Help on an individual message, follow these steps:

v In the Messages window, right-click the message on which you want to receive Help, and then click Help.

To view the entire alphabetical list of messages:

v On the Help menu, click Messages.

or

v On the Help menu, click Contents, and then select Messages List from the end of the Contents.

f For Information About Refer To

Using Quartus II Help “Help Menu Commands” in Quartus II Help.

“Using Quartus II Help Effectively” in Quartus II Help.

Page 64: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 4: DOCUMENTATION & TECHNICAL SUPPORT

CONTACTING ALTERA

56 ■ INSTALLATION & LICENSING FOR UNIX AND LINUX ALTERA CORPORATION

Contacting AlteraYou can contact Altera for technical support and product information.

Technical Support

If you need technical support, you can visit the Altera website or the mySupport website, or you can call the Altera Applications Department.

Table 1. Quartus II Technical Support Resources

Resource Description

Altera website: www.altera.com

mySupport website: www.altera.com/mysupport

or, on the Help menu, point to Altera on the Web, and then click Quartus II Service Request in the Quartus II software. This website allows you to submit, view, and update technical support service requests.

Telephone: (800) 800-EPLD(7:00 a.m. to 5:00 p.m. Pacific time, M–F) You will need your 6-digit Altera ID to access the hotline.

(408) 544-8767(7:00 a.m. to 5:00 p.m. Pacific time, M–F)

! Registering for an Altera.com Account

In order to use the mySupport website to view and submit service requests, you must also register for an Altera.com account. An Altera.com account is required only for using the mySupport website; however, having an Altera.com account will also make it easier for you to use many other Altera website features, such as the Download Center, Licensing Center, Altera Technical Training online class registration, or Buy On-Line-Altera eStore features. For more information, refer to “Registering for an Altera.com Account” on page 44 in Chapter 2, “Licensing the Quartus II Software.”

Page 65: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

CHAPTER 4: DOCUMENTATION & TECHNICAL SUPPORT

CONTACTING ALTERA

ALTERA CORPORATION INSTALLATION & LICENSING FOR UNIX AND LINUX ■ 57

Product Information

If you need the latest Altera product information or literature, go to the Literature section of the Altera website at www.altera.com/literature. You can also purchase printed sets of documentation from the Shop Altera website at www.shopaltera.com.

f For Information About Refer To

Altera technical support services The Contact Altera section of the Altera website.

Page 66: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

Altera Complete DesignSuite File Organization

What’s in Appendix A:

Altera Complete Design Suite File Organization 59

AppendixA

Page 67: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

APPENDIX A: ALTERA COMPLETE DESIGN SUITE FILE ORGANIZATION

ALTERA COMPLETE DESIGN SUITE FILE ORGANIZATION

ALTERA CORPORATION INSTALLATION & LICENSING FOR UNIX AND LINUX ■ 59

Altera Complete Design Suite File Organization

During the Altera Complete Design Suite installation, one top-level directory is created:

The /opt/altera<version number> directory (the main directory where the Altera Complete Design Suite files are installed) contains system software and data files and includes the subdirectories shown in Figures 1 and 2.

The /opt/altera<version number> directory includes the subdirectories listed and data files shown in Figure 1.

Figure 1. Altera Complete Design Suite System Directory (/opt/altera <version number>)

/opt/altera7.0

quartus ip modelsim_aenios2eds

firpciddrsopc_builder_ipnios2_ipcommon...

bincomponentsdocumentsexamples...

docsdriversexamplesverilogvhdl.. .

Page 68: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

APPENDIX A: ALTERA COMPLETE DESIGN SUITE FILE ORGANIZATION

ALTERA COMPLETE DESIGN SUITE FILE ORGANIZATION

60 ■ INSTALLATION & LICENSING FOR UNIX AND LINUX ALTERA CORPORATION

The /opt/altera<version number>/quartus directory includes the subdirectories and data files shown in Figure 2.

Figure 2. Quartus II Software System Directory (/opt/altera <version number>/quartus)

/opt/altera7.0/quartus

fv_lib

innoveda

synopsys

mentor

ibis

cadence

vhdl93

vhdl87

software

primitives

other

megafunctions

tutorials

documents

examples

placeholders

components

europa

bin

bin cusp linux lmf qdesigns solaris64

misc

tcl

ip

help

flow

devinfo

advisors

common

cusp

adm eda libraries linux64 mw solaris

stingray

sopc_builder

fir_filter.. .

Page 69: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

Mounting &Unmounting DVD-ROMs

and CD-ROMs

What’s in Appendix B:

Introduction 62

Mounting & Unmounting DVD-ROMs on Solaris Workstations 62

Mounting & Unmounting DVD-ROMs on Linux Workstations 63

Mounting & Unmounting CD-ROMs on Solaris Workstations 65

Mounting & Unmounting CD-ROMs on Linux Workstations 66

AppendixB

Page 70: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

APPENDIX B: MOUNTING & UNMOUNTING DVD-ROMS AND CD-ROMS

INTRODUCTION

62 ■ INSTALLATION & LICENSING FOR UNIX AND LINUX ALTERA CORPORATION

IntroductionThis appendix describes how to mount and unmount the Altera Complete Design Suite DVD-ROM, Quartus II CD-ROMs and related CD-ROMs on UNIX and Linux workstations.

Mounting & Unmounting DVD-ROMs on Solaris Workstations

To mount a DVD-ROM on a Solaris workstation, follow these steps:

1. Specify the directory where you want to mount the DVD-ROM drive. The DVD-ROM drive is usually placed in the top-level directory. To access this directory, type the following command at the command prompt:

dvd/ r

2. To create the /dvdrom/dvdrom0 directory, type the following command at the command prompt:

mkdir /dvdrom/dvdrom0 r

3. If you have not already done so, insert the DVD-ROM into your DVD-ROM drive.

4. To mount the DVD-ROM drive, type the following command at the command prompt:

/sbin/mount -F hsfs -o ro /dev/dsk/<device name of DVD-ROM> /dvdrom/dvdrom0 r

! Before You Mount a DVD-ROM

You must have superuser or “root” privileges to mount and unmount the DVD-ROM drive. If you are running Volume Manager, the DVD-ROM drive is mounted and unmounted automatically as /dvdrom/dvdrom0 (you do not need to have root privileges to mount a DVD-ROM with the Volume Manager), and you do not need to perform the mounting and unmounting procedures.

Page 71: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

APPENDIX B: MOUNTING & UNMOUNTING DVD-ROMS AND CD-ROMS

MOUNTING & UNMOUNTING DVD-ROMS ON LINUX WORKSTATIONS

ALTERA CORPORATION INSTALLATION & LICENSING FOR UNIX AND LINUX ■ 63

If you have previously mounted and unmounted this DVD-ROM, a number may be appended to the device name of the DVD-ROM, in which case you should use the complete name and number for <device name of DVD-ROM>. For example, if the device name appears as modelsim, use that name.

To unmount a DVD-ROM on a Solaris workstation, follow this step:

v To unmount the DVD-ROM drive, type the following command at the command prompt:

/sbin/umount /dvdrom/dvdrom0 r

To successfully unmount the DVD-ROM drive, you must make sure no one is accessing the directory structure at or below the dvdrom directory. If another user is using the DVD-ROM drive, you may receive the following message:

umount: cannot unmount /dvdrom/dvdrom0: Device busy

To determine whether you are accessing the DVD-ROM drive yourself, type the following command at the command prompt:

pwd r

You should see the / prompt on your screen. If you do not, you should type dvd/ r again.

Mounting & Unmounting DVD-ROMs on Linux Workstations

! Before You Mount a DVD-ROM

You must have superuser or “root” privileges to mount and unmount the DVD-ROM drive. If you are logged in on a console, you do not need to have root privileges to mount a DVD-ROM.

Page 72: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

APPENDIX B: MOUNTING & UNMOUNTING DVD-ROMS AND CD-ROMS

MOUNTING & UNMOUNTING DVD-ROMS ON LINUX WORKSTATIONS

64 ■ INSTALLATION & LICENSING FOR UNIX AND LINUX ALTERA CORPORATION

To mount a DVD-ROM on a Linux workstation, follow these steps:

1. If the /mnt/dvdrom directory does not exist, type the following command at the command prompt:

mkdir /mnt/dvdrom r

2. If you have not already done so, insert the DVD-ROM into your DVD-ROM drive.

3. To mount the DVD-ROM drive, type the following command at the command prompt:

/bin/mount /mnt/dvdrom r

If you have previously mounted and unmounted this DVD-ROM, a number may be appended to the device name of the DVD-ROM, in which case you should use the complete name and number for <device name of DVD-ROM>. For example, if the device name appears as modelsim, use that name.

To unmount a DVD-ROM on a Linux workstation, follow this step:

v To unmount the DVD-ROM drive, type the following command at the command prompt:

/bin/umount /mnt/dvdrom r

To successfully unmount the DVD-ROM drive, you must make sure that no one is accessing the directory structure at or below the dvdrom directory. If another user is using the DVD-ROM drive, you may receive the following message:

umount:/mnt/dvdrom : Device busy

To determine whether you are accessing the DVD-ROM drive yourself, type the following command at the command prompt:

pwd r

You should see the / prompt on your screen. If you do not, you should type dvd/ r again.

Page 73: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

APPENDIX B: MOUNTING & UNMOUNTING DVD-ROMS AND CD-ROMS

MOUNTING & UNMOUNTING CD-ROMS ON SOLARIS WORKSTATIONS

ALTERA CORPORATION INSTALLATION & LICENSING FOR UNIX AND LINUX ■ 65

Mounting & Unmounting CD-ROMs on Solaris Workstations

To mount a CD-ROM on a Solaris workstation, follow these steps:

1. Specify the directory where you want to mount the CD-ROM drive. The CD-ROM drive is usually placed in the top-level directory. To access this directory, type the following command at the command prompt:

cd / r

2. To create the /cdrom/cdrom0 directory, type the following command at the command prompt:

mkdir /cdrom/cdrom0 r

3. If you have not already done so, insert the CD-ROM into your CD-ROM drive.

4. To mount the CD-ROM drive, type the following command at the command prompt:

/sbin/mount -F hsfs -o ro /dev/dsk/<device name of CD-ROM> /cdrom/cdrom0 r

If you have previously mounted and unmounted this CD-ROM, a number may be appended to the device name of the CD-ROM, in which case you should use the complete name and number for <device name of CD-ROM>. For example, if the device name appears as modelsim, use that name.

To unmount a CD-ROM on a Solaris workstation, follow this step:

! Before You Mount a CD-ROM

You must have superuser or “root” privileges to mount and unmount the CD-ROM drive. If you are running Volume Manager, the CD-ROM drive is mounted and unmounted automatically as /cdrom/cdrom0 (you do not need to have root privileges to mount a CD-ROM with the Volume Manager), and you do not need to perform the mounting and unmounting procedures.

Page 74: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

APPENDIX B: MOUNTING & UNMOUNTING DVD-ROMS AND CD-ROMS

MOUNTING & UNMOUNTING CD-ROMS ON LINUX WORKSTATIONS

66 ■ INSTALLATION & LICENSING FOR UNIX AND LINUX ALTERA CORPORATION

v To unmount the CD-ROM drive, type the following command at the command prompt:

/sbin/umount /cdrom/cdrom0 r

To successfully unmount the CD-ROM drive, you must make sure no one is accessing the directory structure at or below the cdrom directory. If another user is using the CD-ROM drive, you may receive the following message:

umount: cannot unmount /cdrom/cdrom0: Device busy

To determine whether you are accessing the CD-ROM drive yourself, type the following command at the command prompt:

pwd r

You should see the / prompt on your screen. If you do not, you should type cd / r again.

Mounting & Unmounting CD-ROMs on Linux Workstations

To mount a CD-ROM on a Linux workstation, follow these steps:

1. If the /mnt/cdrom directory does not exist, type the following command at the command prompt:

mkdir /mnt/cdrom r

2. If you have not already done so, insert the CD-ROM into your CD-ROM drive.

! Before You Mount a CD-ROM

You must have superuser or “root” privileges to mount and unmount the CD-ROM drive. If you are logged in on a console, you do not need to have root privileges to mount a CD-ROM.

Page 75: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

APPENDIX B: MOUNTING & UNMOUNTING DVD-ROMS AND CD-ROMS

MOUNTING & UNMOUNTING CD-ROMS ON LINUX WORKSTATIONS

ALTERA CORPORATION INSTALLATION & LICENSING FOR UNIX AND LINUX ■ 67

3. To mount the CD-ROM drive, type the following command at the command prompt:

/bin/mount /mnt/cdrom r

If you have previously mounted and unmounted this CD-ROM, a number may be appended to the device name of the CD-ROM, in which case you should use the complete name and number for <device name of CD-ROM>. For example, if the device name appears as modelsim, use that name.

To unmount a CD-ROM on a Linux workstation, follow this step:

v To unmount the CD-ROM drive, type the following command at the command prompt:

/bin/umount /mnt/cdrom r

To successfully unmount the CD-ROM drive, you must make sure that no one is accessing the directory structure at or below the cdrom directory. If another user is using the CD-ROM drive, you may receive the following message:

umount:/mnt/cdrom : Device busy

To determine whether you are accessing the CD-ROM drive yourself, type the following command at the command prompt:

pwd r

You should see the / prompt on your screen. If you do not, you should type cd / r again.

Page 76: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

APPENDIX B: MOUNTING & UNMOUNTING DVD-ROMS AND CD-ROMS

MOUNTING & UNMOUNTING CD-ROMS ON LINUX WORKSTATIONS

68 ■ INSTALLATION & LICENSING FOR UNIX AND LINUX ALTERA CORPORATION

Revision History

The information contained in the The Quartus II Installation & Licensing for UNIX and Linux Workstations manual version 7.0 revision 1 supersedes information published in previous version.

Minor typographical changes were made to the previous version.

Page 77: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

ALTERA CORPORATION INSTALLATION & LICENSING FOR UNIX AND LINUX ■ 69

Numerics

64-bit version of the Quartus II software, enabling on Linux workstations 19

64-bit version of the Quartus II software, enabling on Solaris workstations 19

A

Altera 5Altera Complete Design Suite 5altera directory 59Altera on the Web command 45Altera website 56Altera, contacting 56Altera.com account 44, 56AMD64 processor 3

C

CD-ROMinstalling MegaCore IP Library 13, 17installing ModelSim-Altera

software 12, 16installing Nios II Embedded Processor

software (Linux workstations only) 18

installing Quartus II Device Information for UNIX & Linux Workstations 12, 16

installing Quartus II software 11, 12, 13, 15, 16, 17, 18

mounting and unmounting instructions 65, 66

configuration information 48contacting Altera 56.cshrc file 42, 48

D

device information, installing 12, 16directory structure 59documentation conventions viiDVD-ROM

installing Altera Complete Design Suite 8, 9

mounting and unmounting instructions 62, 63

E

EM64T processor 3environment variables 48environment, configuring 37Ethernet connection 3

F

FLEXlm softwareFLEXlm manual web site 23, 26, 31installing a license server 29installing an additional license

server 32rereading 30upgrading 29

H

Helpon messages 55printing 56using 54

host ID number 23

I

installationAltera Complete Design Suite 8, 9MegaCore IP Library 13, 17

Index

Page 78: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

INDEX

70 ■ INSTALLATION & LICENSING FOR UNIX AND LINUX ALTERA CORPORATION

ModelSim-Altera software 12, 16Nios II Embedded Processor

software 18Quartus II software and device

information 11, 12, 13, 15, 16, 17, 18

K

kernel configuration settingsLinux workstations 36Solaris workstations 34

L

libraries, Linux workstations 35license file

modifying 27obtaining 23setting up 29specifying 39upgrading 29

license server configuration, setting up on UNIX and Linux workstations 29

licensingrelated software 45

Linux workstationsinstalling Altera Complete Design

Suite software and device information 9

installing MegaCore IP Library 17installing ModelSim-Altera

software 16installing Nios II Embedded Processor

software 18installing Quartus II software and

device information 15mounting and unmounting

CD-ROMs 65, 66mounting and unmounting

DVD-ROMs 63lmhostid utility 23lmutil utility 23, 30, 31, 33

M

MAX+PLUS II software, using with Quartus II license file 39

messages, getting Help on 55ModelSim-Altera software, specifying

license file 39mounting CD-ROM drive 65, 66mounting DVD-ROM drive 62, 63MWFONT_CACHE_DIR variable 49mySupport web site 44, 56

O

Options command 40, 43

P

patchesSolaris workstations 34

Pentium III processor 2port number, specifying 28, 41, 42, 43product information 57proxy address, specifying 43

Q

quartus directory 59Quartus II software

starting 38QUARTUS_64BIT variable 49QUARTUS_INIT_LIBPATH variable 50QUARTUS_INIT_PATH variable 50QUARTUS_MWWM variable 49QUARTUS_ROOTDIR variable 48

R

readme.txt file 4, 32registering for an Altera.com account 44Registration & License File Request

Form 23

Page 79: Installation & Licensing for UNIX and Linux Workstations · 2020-06-26 · Quartus II Device Information for UNIX & Linux Workstations Version 7.0 CD-ROM Disk 1 Quartus II Device

INDEX

ALTERA CORPORATION INSTALLATION & LICENSING FOR UNIX AND LINUX ■ 71

S

serial number 23serial port 3ShopAltera.com web site 57Solaris workstations

installing Altera Complete Design Suite software and device information 8

installing MegaCore IP Library 13installing ModelSim-Altera

software 12installing Quartus II software and

device information 11, 12, 13, 15, 16, 17, 18

mounting and unmounting CD-ROMs 65

mounting and unmounting DVD-ROMs 62

T

technical support 56troubleshooting 48tutorial, starting the Quartus II Interactive

Tutorial 54

U

uninstalling Quartus II software 5unmounting CD-ROM drive 65, 66unmounting DVD-ROM drive 62, 63USB port 3

W

web browser, specifying 43workstation

configuring 33