Top Banner
UNLV Retrospective Theses & Dissertations 1-1-2001 Construction of a random signal with a specific Psd and a uniform Construction of a random signal with a specific Psd and a uniform Pdf Pdf Venkatraghavan V Bringi University of Nevada, Las Vegas Follow this and additional works at: https://digitalscholarship.unlv.edu/rtds Repository Citation Repository Citation Bringi, Venkatraghavan V, "Construction of a random signal with a specific Psd and a uniform Pdf" (2001). UNLV Retrospective Theses & Dissertations. 1274. http://dx.doi.org/10.25669/3me0-48re This Thesis is protected by copyright and/or related rights. It has been brought to you by Digital Scholarship@UNLV with permission from the rights-holder(s). You are free to use this Thesis in any way that is permitted by the copyright and related rights legislation that applies to your use. For other uses you need to obtain permission from the rights-holder(s) directly, unless additional rights are indicated by a Creative Commons license in the record and/ or on the work itself. This Thesis has been accepted for inclusion in UNLV Retrospective Theses & Dissertations by an authorized administrator of Digital Scholarship@UNLV. For more information, please contact [email protected].
114

Construction of a random signal with a specific Psd and a ...

Feb 13, 2022

Download

Documents

dariahiddleston
Welcome message from author
This document is posted to help you gain knowledge. Please leave a comment to let me know what you think about it! Share it to your friends and learn new things together.
Transcript
Page 1: Construction of a random signal with a specific Psd and a ...

UNLV Retrospective Theses & Dissertations

1-1-2001

Construction of a random signal with a specific Psd and a uniform Construction of a random signal with a specific Psd and a uniform

Pdf Pdf

Venkatraghavan V Bringi University of Nevada, Las Vegas

Follow this and additional works at: https://digitalscholarship.unlv.edu/rtds

Repository Citation Repository Citation Bringi, Venkatraghavan V, "Construction of a random signal with a specific Psd and a uniform Pdf" (2001). UNLV Retrospective Theses & Dissertations. 1274. http://dx.doi.org/10.25669/3me0-48re

This Thesis is protected by copyright and/or related rights. It has been brought to you by Digital Scholarship@UNLV with permission from the rights-holder(s). You are free to use this Thesis in any way that is permitted by the copyright and related rights legislation that applies to your use. For other uses you need to obtain permission from the rights-holder(s) directly, unless additional rights are indicated by a Creative Commons license in the record and/or on the work itself. This Thesis has been accepted for inclusion in UNLV Retrospective Theses & Dissertations by an authorized administrator of Digital Scholarship@UNLV. For more information, please contact [email protected].

Page 2: Construction of a random signal with a specific Psd and a ...

INFORMATION TO USERS

This manuscript has been reproduced from the microfilm master. UMI films

the text directly from the original or copy submitted. Thus, some thesis and

dissertation copies are in typewriter face, while others may be from any type of

computer printer.

The quality of this reproduction is dependent upon the quaiity of the

copy sutxnitted. Broken or indistinct print, colored or poor quality illustrations

and photographs, print bleedthrough, substandard margins, and improper

alignment can adversely affect reproduction.

In the unlikely event that the author did not send UMI a complete manuscript

and there are missing pages, these will be noted. Also, if unauthorized

copyright material had to be removed, a note will indicate the deletion.

Oversize materials (e.g., maps, drawings, charts) are reproduced by

sectioning the original, beginning at the upper left-hand comer and continuing

from left to right in equal sections with small overlaps.

Photographs included in the original manuscript have been reproduced

xerographically in this copy. Higher quality 6" x 9” black and white

photographic prints are available for any photographs or illustrations appearing

in this copy for an additional charge. Contact UMI directly to order.

ProQuest Information and Learning 300 North Zeeb Road, Ann Arbor, Ml 48106-1346 USA

800-521-0600

UMÏReproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 3: Construction of a random signal with a specific Psd and a ...

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 4: Construction of a random signal with a specific Psd and a ...

CONSTRUCTION OF A RANDOM SIGNAL WITH A SPECIFIC

PSD AND A UNIFORM PDF

by

Venkatraghavan Bringi

Bachelor o f Engineering University o f Madras, India

1998

A thesis submitted in partial fulfillment o f the requirements for the

Master of Science Degree Department of Electrical and Computer Engineering

Howard R. Hughes College of Engineering

Graduate College University of Nevada, Las Vegas

August 2001

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 5: Construction of a random signal with a specific Psd and a ...

UMI Number: 1406386

UMIUMI Microform 1406386

Copyright 2002 by Bell & Howell Information and Learning Company. All rights reserved. This microform edition is protected against

unauthorized copying under Title 17, United States Code.

Bell & Howell Infomiation and beaming Company 300 North Zeeb Road

P.O. Box 1346 Ann Arbor, Ml 48106-1346

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 6: Construction of a random signal with a specific Psd and a ...

UNIV Thesis ApprovalThe Graduate College University of Nevada, Las Vegas

June 25 ^2001

The Thesis prepared by

Venkatraghavan B rin g i

Entitled

C on stru ction Of A Random S ig n a l With A S p e c if ic PSD And A Uniform PDF

is approved in partial fulfillment of the requirements for the degree of

M asters o f S c ien ce in E le c t r ic a l E ngineering_______

Examination Committee M tm oet

Examimmon Committee Member

G radmfffLctlese Fac’.d ty Representatnv

ddàExamination Com m ittee Chair

Dean o f the Graduate College

PR/1017-53/I4M U

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 7: Construction of a random signal with a specific Psd and a ...

ABSTRACT

CONSTRUCTION OF A RANDOM SIGNAL WITH A SPECIFIC PSD AND A UNIFORM PDF

by

Venkatraghavan Bringi

Dr. Peter Stubberud, Examination Committee Chair Associate Professor o f Electrical and Computer Engineering

University o f Nevada, Las Vegas

The performance o f a dynamic element matching (DEM) flash digital to analog

converter (DAC) can be improved by controlling the DEM DAC’s interconnection

network with a random signal that has a specific power spectral density (PSD) and a

uniform probability distribution function (PDF). Many algorithms exist for generating a

random signal with a white PSD and a uniform PDF, but there exists only one algorithm

for generating a random signal with a specific PSD and a particular PDF. For DEM DAC

applications, the random signal must be generated at the speed o f the DEM DAC.

However, a real time implementation o f this existing algorithm is too computation

intensive for a typical DEM DAC. In this thesis, an algorithm that constructs a uniformly

distributed random signal with a specific PSD is developed. This uniformly distributed

colored random signal is implemented using a finite state machine (FSM) and Linear

Feedback Shift Registers (LFSRs).

Ill

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 8: Construction of a random signal with a specific Psd and a ...

TABLE OF CONTENTS

ABSTRACT..................................................................... ,................................................iii

TABLE OF CONTENTS.................................................................................................iv

LIST OF FIGU RES.......................................................................................................... v

ACKNOWLEDGEMENTS...........................................................................................vii

CHAPTER 1 INTRODUCTION....................................................................................l

CHAPTER 2 BACKGROUND INFORMATION..................................................... 5

2.1 A DEM Flash DAC Architecture.........................................................................52.2 Linear Feedback Shift Register............................................................................72.3 Correlation Function and Covariance function............................................... 13

CHAPTER 3 METHODOLOGY OF CONSTRUCTION OF A UNIFORMLY DISTRIBUTED COLORED RANDOM SIGNAL.........................19

3.1 Transformation o f a random signal................................................................... 203.2 Examples to illustrate the effectiveness o f the algorithm............................. 253.3 Examples to illustrate the effects o f the design parameters..........................27

CHAPTER 4 HARDWARE GENERATION .......................................................... 52

4.1 PSD o f the individual bits o f a colored random signal............................... 524.2 Hardware design using a FSM ........................................................................ 604.3 Linear Feedback Shift Register implementation.......................................... 61

CHAPTER 5 CONCLUSION.......................................................................................78

APPENDIX PROGRAM LISTING............................................................................. 81

BIBLIOGRAPHY..........................................................................................................101

V ITA ................................................................................................................................ 103

IV

Reproduced witti permission of ttie copyrigtit owner. Furttier reproduction protiibited wittiout permission.

Page 9: Construction of a random signal with a specific Psd and a ...

LIST OF FIGURES

Figure 1 -1 Block diagram o f a system that generates a colored signal.................. 3Figure 2-1 A fl bit dynamic element matching flash DAC architecture................6Figure 2-2 An LFSR o f length n .................................................................................. 9Figure 2-3 Distribution o f a maximal sequence....................................................... 10Figure 2-4 An LFSR o f length 3 ...............................................................................11Figure 2-5 Sequence generated using the three-stage LFSR................................. 12Figure 2-6 Distribution o f the sequence generated by stage 0 ...............................12Figure 2-7 Correlation function o f the sequence generated by stage 0 ................17Figure 2-8 Covariance function o f the sequence generated by stage 0 ................17Figure 2-9 PSD and histogram plot o f the sequence generated by stage 0 .........18Figure 3-1 PDF o f a uniformly distributed control signal.....................................19Figure 3-2 Block diagram o f a system that generates a colored random signal 20Figure 3-3 Frequency response o f the filter............................................................. 21Figure 3-4 Impulse response and frequency response o f the filter.......................29Figure 3-5 Autocorrelation and PSD o f the normally distributed white signal. 30Figure 3-6 Autocorrelation and PSD o f the normally distributed signal............31Figure 3-7 Autocorrelation and PSD o f the uniformly distributed s ignal..........32Figure 3-8 Histogram plot o f the normally distributed white signal................... 33Figure 3-9 Frequency spectrum o f the normally distributed white signal...........34Figure 3-10 Impulse response and frequency response o f the filter.......................35Figure 3-11 Autocorrelation and PSD o f the normally distributed white s ignal. 36Figure 3-12 Autocorrelation and PSD o f the normally distributed signal............37Figure 3-13 Autocorrelation and PSD o f the uniformly distributed s ignal..........38Figure 3-14 Histogram plot o f the normally distributed white signal................... 39Figure 3-15 Frequency spectrum o f the normally distributed white signal.......... 40Figure 3-16 Impulse response and frequency response o f the filter......................41Figure 3-17 Autocorrelation and PSD o f the normally distributed white s ignal. 42Figure 3-18 Autocorrelation and PSD o f the normally distributed signal...........43Figure 3-19 Autocorrelation and PSD o f the uniformly distributed s ignal..........44Figure 3-20 Histogram plot o f the normally distributed white signal................... 45Figure 3-21 Frequency spectrum o f the normally distributed white signal...........46Figure 3-22 PSD o f the normally distributed signal o f lengths 223 and 2015 .... 47 Figure 3-23 PSD o f the uniformly distributed signal o f lengths 223 and 2015... 48Figure 3-24 Impulse response and frequency response o f the filter......................49Figure 3-25 Impulse response and frequency response o f the filter...................... 50Figure 3-26 PSD o f the uniformly distributed colored signal................................. 51Figure 4-1 Uniform distribution o f e /n ) ....................................................................54

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 10: Construction of a random signal with a specific Psd and a ...

Figure 4-2 The signal power in the rth bit and the power in the rounding error 59Figure 4-3 Autocovariance and PSD o f the MSB sequence................................. 65Figure 4-4 Autocovariance and PSD o f the 2nd bit sequence..............................66Figure 4-5 Autocovariance and PSD o f the 3rd bit sequence............................... 67Figure 4-6 Autocovariance and PSD o f the LSB sequence................................. 68Figure 4-7 FSM that generates the two MSBs o f a colored random signal........69Figure 4-8 PSD and histogram plot o f the LSB sequence.....................................70Figure 4-9 PSD and histogram plot o f the random signal o f length 2 5 6 ............ 71Figure 4-10 Autocovariance and PSD o f the MSB sequence................................. 72Figure 4-11 Autocovariance and PSD o f the 2nd MSB sequence..........................73Figure 4-12 Autocovariance and PSD o f the 3rd MSB sequence...........................74Figure 4-13 Autocovariance and PSD o f the LSB sequence...................................75Figure 4-14 PSD and histogram plot o f the uniformly distributed signal............. 76Figure 4-15 Autocovariance o f the uniformly distributed signal............................77Figure 4-16 Autocovariance o f the uniformly distributed signal............................77

VI

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 11: Construction of a random signal with a specific Psd and a ...

ACKNOWLEDGEMENTS

It is my pleasure to gratefully acknowledge the mentoring o f Dr. Peter

Stubberud, Associate Professor o f Electrical Engineering at the University o f

Nevada, Las Vegas. It has been a privilege and an honor to receive his guidance

during the period o f my thesis work.

A special acknowledgement is due to Dr. Evangelos Yfantis, Professor of

Computer Science at the University o f Nevada, Las Vegas, for his invaluable

suggestions. The time I spent with him was very inspiring and o f immense help to

my research work. I express my heartfelt gratitude to Dr. Jay Karmarkar for

spending his precious time in discussing the thesis. I appreciate and thank Dr.

Rama Venkat and Dr. Eugene McGaugh for reviewing this thesis. I would like to

acknowledge the entire faculty and staff at the Graduate College and the

Department o f Electrical and Computer Engineering for their cooperation.

I share this thesis and all my academic successes with my family. I thank

them for their encouragement and support. Last but not the least, I thank and

appreciate my friends for their encouragement in completion o f this work.

vn

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 12: Construction of a random signal with a specific Psd and a ...

CHAPTER 1

INTRODUCTION

Flash digital to analog converters (DACs) depend on matched components for

converting a digital signal to an analog signal. In practice, perfectly matched components

are impossible to fabricate. Even matched components on integrated circuits exhibit

mismatch errors such as linear gradient mismatch errors, geometric mismatch errors and

dynamic mismatch errors. The errors due to mismatched components add a nonlinear

transformation, called integral nonlinearity (INL), to a flash DAC’s linear transformation

[1] and reduce the DAC’s performance.

A signal processing algorithm called dynamic element matching (DEM) has been used

to reduce the effects o f component mismatches in DACs [2] thereby improving their

performance. DEM algorithms reduce the effects o f mismatched components by

rearranging dynamically the interconnections o f mismatched components so that the time

averages o f the equivalent components at each o f the component positions are almost

equal [2; 3]. In a flash DEM DAC, an interconnection network dynamically rearranges

the mapping between the digital input signal and the mismatched unit DACs so that the

time averages o f the activated unit DAC outputs are almost equal and the time averages

o f the deactivated unit DAC outputs are almost equal [2; 3; 4; 5]. I f the interconnection

network’s control signal is deterministic, the mapping between the digital input signal

and the mismatched unit DACs is deterministic, and the DAC is said to be a deterministic

I

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 13: Construction of a random signal with a specific Psd and a ...

DAC [3]. Similarly, if the interconnection network’s control signal is stochastic, the

mapping between the digital input signal and the mismatched unit DACs is stochastic,

and the DAC is said to be a stochastic DAC [3]. Many stochastic DEM DACs require a

stochastic control signal with a uniform probability distribution function (PDF) and a

particular power spectral density (PSD) to control the DEM algorithm’s interconnection

network [3].

In general, a linear system can shape a random signal’s PSD to approximate a desired

PSD; however a linear system typically cannot generate a signal with a desired PDF.

Many other algorithms [6; 7] exist for generating a stochastic signal with a uniform PDF

and a white PSD, only one algorithm [8] exists for generating a stochastic signal with a

specific PDF and a particular PSD. The existing algorithm [8] generates a random signal

with a specific PSD and a specific probability distribution using a linear system. This

algorithm shapes a signal’s PDF by representing the desired PDF by a set of

approximation coefficients, determining an output/input relation that expresses the

variation o f the PDF while it is passing through the linear system, and then determining a

relationship between the approximation coefficients and the output/input relation. An

input signal is then constructed from an independent, identically distributed (i.i.d)

uniform or Gausian process and a non-linear characteristic.

DEM DACs require a random signal that has the same sampling rate as the DAC. A

real time implementation o f the above algorithm requires a large amount o f computation.

Thus, a real time implementation o f this algorithm is not practical for DEM DACs.

Therefore, a simple method is required to construct a uniformly distributed random signal

with a specific PSD for controlling a DEM DAC’s interconnection network.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 14: Construction of a random signal with a specific Psd and a ...

The PSD o f a random signal [9; 10] can be shaped using a linear filter [11; 12; 13] and

the PDF o f a random signal can be shaped using a nonlinear transformation. Figure 1-1

shows the block diagram of such a system. In Figure 1-1, a white random signal, w(n).

w(/l) Linear x(/i)Nonlinear

C(fl)-------

filter transformation

Figure 1-1. Block diagram o f a system that generates a colored signal with a specific distribution.

is filtered using a linear filter that shapes the signal’s PSD. The PDF o f the filtered signal,

.r(rt), is shaped by a nonlinear transformation. The resulting random signal, c{n), will be

an appropriate uniformly distributed colored signal if the nonlinear transformation does

not significantly alter the spectrum of ,x(n). For example, a lowpass filtered random

signal, x{n), can be generated by passing a white random signal, w(/i), through a lowpass

filter. The PDF o f the colored random signal, x{n), can be shaped using a nonlinear

transformation. If the nonlinear transformation does not significantly alter the spectrum

of.t(«), then the output, c(«), is a colored random signal with a specific distribution. This

approach is used in this thesis to generate a uniformly distributed random signal with a

specific PSD.

In this thesis, it is also shown that the two most significant bits o f a B bit colored

binary random signal have more influence on the signal’s PSD than the 5 -2 least

significant bits. Therefore, a 5 bit colored random signal can be adequately generated by

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 15: Construction of a random signal with a specific Psd and a ...

generating the two most significant bits as colored bits and the 5 -2 least significant bits

as white bits. The two most significant bits are generated using a finite state machine

(FSM) and the 5 -2 least significant bits are generated using Linear Feedback Shift

Registers (LFSRs) [14; 15; 16]. The real time implementation that generates a uniformly

distributed colored random signal using a FSM and LFSRs requires less hardware when

compared to the hardware requirements for generating all the 5 bits as colored bits.

Therefore, the real time implementation can be operated at the clock speed o f a DEM

DAC.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 16: Construction of a random signal with a specific Psd and a ...

CHAPTER]

BACKGROUND INFORMATION

The algorithm developed in this thesis constructs a uniformly distributed random

signal with a specific power spectral density (PSD). The PSD o f the random signal is

shaped by filtering a white random signal using a linear phase filter and then filtering the

resulting signal by a nonlinear filter that shapes the PDF. The uniformly distributed

colored random signal is generated using a finite state machine (FSM) and Linear

Feedback Shift Registers (LFSRs) [14; 15; 16]. The FSM is used to generate the two

most significant bits (MSBs) o f the uniformly distributed colored random signal and the

remaining bits are generated using LFSRs. Because LFSRs are very simple hardware

structures, they are well suited for real time implementations.

2.1 A DEM Flash DAC Architecture

Figure 2-1 shows the architecture o f a 5 bit DEM flash DAC. The DAC’s input signal,

x(n), is a 5 bit digital signal where xq < x(n) < xq+ 2^ - I. The natural binary converter

transforms the digital input signal, .r(«), into the B bit natural binary signal, %(n), where

X ( n ) = .r(«) - Xq which implies that 0 < %(») < 2^ - 1. The modified thermometer coder

converts the natural binary coded signal, %(n), into a 2^ bit modified thermometer

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 17: Construction of a random signal with a specific Psd and a ...

encoded signal, t{n). The interconnection network, controlled by the signal c(n), connects

the 2^ bits o f the modified thermometer encoded signal, /(/i), to the 2^ unit DACs. The

control signal, c(/i), can be a deterministic signal or a stochastic signal. The

interconnection network’s output, g{n), activates %(»), or .t(n) - xo, unit DACs and

Unit DAC 2

Unit DAC 2

Unit DAC 1

13

x{n) l(n)

c(n)

y{nT)

Figure 2-1. A 5 bit dynamic element matching flash DAC architecture.

deactivates the remaining 2^-%(»), or 2^-x(n) + .to, unit DACs irrespective o f the control

signal, c(n). If each activated unit DAC generates an analog signal, a, and each

deactivated unit DAC generates an analog signal d, then the DAC’s quantization step

sizes or code widths, q, are the difference between a and d, that i s q = a-d. The DAC’s

output, y(/i7), which is the sum o f all the unit DAC outputs, can be written as

y(«7) = ax{n) + d[2^-x{n)]

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 18: Construction of a random signal with a specific Psd and a ...

= {a-d)xin) + d2^

= q[x{n) - .To] + d2^ (2-1 )

The mismatched components between each o f the unit DACs prevent the analog

output o f the activated unit DACs as well as the deactivated unit DACs from being

identical. Therefore, the DAC’s quantization step sizes are not constant which results in

degradation o f the DAC’s performance. To improve the DAC’s performance, the DEM

DAC’s interconnection network dynamically alters the mapping between the input signal,

.r(«), and the mismatched unit DACs so that the time averages o f the activated unit DAC

outputs are nearly equal. If the interconnection network’s control signal, c(n), is

deterministic, the mapping between the DAC’s input signal, .ï(h), and the 2^ unit DACs is

deterministic, and the DAC is said to as a deterministic DEM DAC. Similarly, if the

interconnection network’s control signal, c(«), is stochastic, the mapping between the

DAC’s input signal, .t(n), and the 2^ unit DACs is stochastic, and the DAC is said to as a

stochastic DEM DAC.

2.2 Linear Feedback Shift Register

In this thesis, a uniformly distributed random signal with a specific PSD is

implemented using a finite state machine (FSM) and Linear Feedback Shift Registers

(LFSRs) [14; 15; 16]. LFSRs can generate a uniformly distributed white random signal of

any length using D flip-flops and XOR gates.

An LFSR o f length n consists o f n registers (or n stages) which are numbered 0, 1 , . . . ,

M-1 and each register is capable o f storing one bit. A clock controls the data movement

between the registers. During each clock pulse, the content o f stage / is moved to stage i-

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 19: Construction of a random signal with a specific Psd and a ...

8

1 for each /, 1 < / < n-1. Before moving the content o f stage / to stage /-I, the new content

o f stage n-1 is first calculated by modulo 2 addition o f the contents o f a subset o f the set

o f stages {0, I, ... , n-1}. The elements o f this subset are often called taps. The most

commonly used register is a D flip-flop and modulo 2 addition is performed using an

XOR gate. The length o f the binary sequence generated using the LFSR depends upon

the number o f taps and the initial state o f the registers (the state o f the registers during the

first clock cycle).

In an LFSR, a polynomial is used to represent a binary code [14; 15; 16; 17; 18; 19].

To illustrate, consider a polynomial,/(.r), o f degree n where

f ix ) = 1 + a ,.t '+ .... + a„.\x"'^ + fl/tx", (2-2)

Qk € {0,1} and \< k < n. This polynomial determines the taps o f the LFSR [14; 15; 16;

17; 18; 19]. Figure 2-2 shows an LFSR o f length n. In Figure 2-2, the polynomial

associated with the LFSR is fix ) = 1 + u,x' + .... + a„.\x"'^ + Onx" and the number o f stages

in the LFSR is equal to the degree o f the polynomial, fix ). In Figure 2-2, sj, j > n, is the

feedback bit and is the new content o f stage «-1 during each clock pulse. The feedback

bit, Sj, is calculated by modulo 2 addition o f taps. If the initial content o f stage i is Si 6 (0,

1} for each i, 0 < / < n-1, then [^„.i,...., s\, 5o] is called the initial state o f the LFSR. The

output, s, o f stage 0 is the random sequence, {%, s\, s i,.... }, generated by the LFSR and

it is uniquely determined by the recursion

Sj = {a\Sj.\® a2Sj-2® ......® a„sj.„) fo ry > n (2-3)

where ® is modulo 2 operator.

The polynomial, fix ) , is called an irreducible polynomial if fix ) cannot be factored or

written as a product o f two polynomials [15; 16]. Every irreducible polynomial, with

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 20: Construction of a random signal with a specific Psd and a ...

coefficients 0 or 1 and o f degree n > 1, divides the polynomial 1- / where r = 2" -1 , with

a zero remainder. I f J{x) is an irreducible polynomial o f degree n, then the LFSR

generates a sequence with a period o f length 2 " - l [15; 16] and the sequence is said to be

fj-n

stagestagen-2

stageoutput

Figure 2-2 An LFSR o f length n.

a maximal sequence. The output o f an LFSR has a period o f length /, where 1 < / < 2" -1

and the period length, /, depends on the polynomial associated with the LFSR. In a

maximal sequence o f length 2 " - l , there are 2"'' - I zeros and 2""' ones [15; 16]. Figure 2-

3 shows the almost uniform distribution o f a maximal sequence o f length 2" -1 .

For example, consider a polynomial

j[x) = l-hrhr^ . (2-4)

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 21: Construction of a random signal with a specific Psd and a ...

10

The polynomial in Equation (2-4) is an irreducible polynomial because J[x) cannot be

written as a product o f two polynomials. Also, the polynom ial,/.t) = l+x+ divides the

polynomial 1- .v’ with a zero remainder; that is,

( 1 - / ) / ( 1 +.t+ x^) = ( 1 -.v) ( 1 x^) (2-5)

1 samples

Figure 2-3. Distribution o f a maximal sequence.

Because the polynomial,/(.t) = l+jc+.r\ is an irreducible polynomial, the period o f the

generated sequence will be 2^-1, which is 7, and the sequence is said to be a maximal

sequence. Figure 2-4 shows the three-stage LFSR that generates a binary sequence with

a period o f length 2^-1, which is 7. The output, s, o f stage 0 is determined by the

recursion sj = ( sj.\ © jy.j ) for y > 3. If the initial state o f the LFSR is [1 1 1], then

Figure 2-5 shows the binary sequence generated using the three-stage LFSR. This figure

shows that the generated sequence has a period o f 2^-1, which is 7, and the output o f

stage 2 and stage I is same as the output o f stage 0 except for a delay. Therefore, the

output o f stage 2 and stage 1 is a phase-shifted replica o f the output o f stage 0. Because

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 22: Construction of a random signal with a specific Psd and a ...

11

the generated sequence is a maximal sequence, there are 2^‘* -1 zeros and 2 ^ ' ones in the

sequence. Figure 2-6 shows the distribution o f the sequence generated by stage 0.

Sj-2

Stage stagestageoutput

Figure 2-4 An LFSR of length 3.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 23: Construction of a random signal with a specific Psd and a ...

12

stage 2 stage 1 stage 0

Figure 2-5. Sequence generated using the three-stage LFSR.

1 samples

Figure 2-6. Distribution o f the sequence generated by stage 0.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 24: Construction of a random signal with a specific Psd and a ...

13

2.3 Correlation Function and Covariance function

The randomness o f a sequence can be measured using the correlation function and the

covariance function o f the sequence.

If a:(«i) and .x(«2) are two random variables at time instant ri\ and ttj, then the

correlation function, Rx, is defined as

Rxinu ni) = £[.x(m).r(«2)] (2-6)

where £[,x(ni).v(n2)] is the expected value o f the product o f .x(«i) and -xfni)- If the

random signal is assumed to be a wide-sense stationary signal, then the correlation

function, Rx, becomes independent o f the time origin and depends only on the time

difference between ni and n,. Therefore, for a wide sense stationary signal. Equation

(2-6) can be written as

Rx{x ) = £[.x(ni).x(ni + r)] (2-7)

where £ ,( r ) is the coirelation function o f a wide-sense stationary signal and x = ni-n\. If

x{n \) and .v(«2) are the mean or the expected value o f the random variables .x(wi) and

.x(«2) respectively, then the covariance function, Q , is defined as

Cx{n\, n2) = E { [x(n,)- -t(« i)] W " :)- x (« 2)] }• (2-8)

If the random signal is assumed to be a wide-sense stationary signal, then the correlation

function, Q , becomes independent o f the time origin and depends only on the time

difference between « 2 and n\. Therefore, for a wide sense stationary signal. Equation

(2-8) can be written as

Q (T ) = £{ [x{ni)-x{ni)] [jx(«i+r)-x(«i+T)] } (2-9)

where r . ( r ) is the covariance function o f a wide-sense stationary signal, r = M2-M1.

Equations (2-8) and (2-9) show that the covariance function, Cx(x ), is same as the

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 25: Construction of a random signal with a specific Psd and a ...

14

correlation function, Rx(x ), if the expected value or mean o f the random variables is zero.

If the mean is nonzero, C .i(t) = £[%(», )x(M, + t ) ] + £[x(«i)A:(ni+T)] - £ [x(/ii)x(/ji+ t)]

- £[.r(«i).x(«i+T)] and the covariance function is a shifted version o f the correlation

function.

The power spectral density, S^e “), o f the random variable, .x(m), is defined as the

Fourier Transform of the correlation function, Rx{x ); that is,

S x (e n = F {Rx(x)}

= S (2-10)X=-cc

If -t(«) is assumed to be an ergodic signal where the time averages are equivalent to

ensemble averages, then the autocorrelation, £ , ( t ), o f the random variable x{n) can be

written as

£((T) = lim — LS '

2 .X(/Il).t(/Jl+T)A -> 00 2Af+l (2-11)

and therefore the PSD, St(c''“0, can be written as

S,(e^“) = F {£ ,(r)}

y lim ----- !------- ^ .r(ni).x(ni+r)e'^"'’TZl A/->00 2N+\ (2-12)

Interchanging the summations. Equation (2-12) can be written as

2N+1 X ■<n\+x)e^‘" (2-13)/ r l = — iV t s - o j

Substituting m = n\+x in (2-13),

( 2 - u )

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 26: Construction of a random signal with a specific Psd and a ...

15

' (M 5 )

If .x{n) is real then,

|% ( e n i = |% ( g n | . (2-16)

Substituting Equation (2-16) in Equation (2-15),

5 , ( e O = l i m 1 I W e ^ l -IV-> 00 2AI+1 (2-17)

If IV is a finite value, then Equation (2-17) can be written as

S x (.e n = \ X ( e ^ \ - 2N+\

= \ X ( e n \ - (2-18)T

where T= 2IV+1.

Using the correlation function and the covariance function, the randomness o f the

binary sequence generated using the three-stage LFSR in Section 2.2, can be measured.

Figure 2-7 shows the correlation function, R ^ r ). This figure shows that the maximum

correlation occurs at t = 7. Figure 2-8 shows the covariance function, Q ( r ). This figure

is same as the correlation function but with a zero mean. If the mean is nonzero, then the

sequence will have a DC shift in the power spectrum. If this DC shift is not desired, then

the covariance function is used instead o f the correlation function. Figure 2-9 shows the

PSD and the distribution o f ones and zeros o f the generated sequence. Because the

sequence is a maximal sequence, it has 4 ones and 3 zeros, as expected. The PSD shows

that the sequence generated using the LFSR is almost white because the PSD is present

over the entire fi-equency spectrum. The PSD is not constant over the entire spectrum

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 27: Construction of a random signal with a specific Psd and a ...

16

because the length o f the generated sequence is very small. If the length o f the sequence

generated using the LFSR is very long (in the order o f thousands), then the PSD becomes

more constant over the entire frequency spectrum.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 28: Construction of a random signal with a specific Psd and a ...

17

Correlation function of the sequence generated by stage 0 of a 3-stage LFSR

3.5

3

2.5

f 2a:

1.5

1

0.5 p

qL

C 0

V '.I.'

10 12 14

Figure 2-7. Correlation function o f the sequence generated by stage 0 o f a three-stage LFSR.

Covariance function of the sequence generated by stage 0 of a 3-stage LFSR

1.5

o0.5

-0.5

C I I

4 6 8n

10 12 14

Figure 2-8. Covariance function o f the sequence generated by stage 0 o f a three-stage LFSR.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 29: Construction of a random signal with a specific Psd and a ...

18

PSD of the sequence generated by stage 0 of a 3-stage LFSR

\ 2 0 -"x(0

-200 1.5 2 2.5 30.5 1

w/pi

Histogram plot of the sequence generated by stage 0 of a 3-stage LFSR

0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1Bins

Figure 2-9. PSD and histogram plot o f the sequence generated by stage 0 o f a three-stage LFSR.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 30: Construction of a random signal with a specific Psd and a ...

CHAPTER 3

METHODOLOGY OF CONSTRUCTION OF A UNIFORMLY DISTRIBUTED

COLORED RANDOM SIGNAL

In a flash DEM DAC, many interconnection networks dynamically rearrange the

mapping between the digital input signal and the mismatched unit DACs so that the time

averages o f the activated unit DAC outputs are equal and the time averages o f the

deactivated unit DAC outputs are equal. For these interconnection networks, the required

control signal is a uniformly distributed random signal with a specific power spectral

density (PSD) [3; 4]. Figure 3-1 shows the probability distribution function (PDF) o f a

control signal that is uniformly distributed between a and /3. In this thesis, an algorithm

P samplesa

Figure 3-1. PDF o f a uniformlv distributed control signal.

19

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 31: Construction of a random signal with a specific Psd and a ...

20

is developed for constructing a uniformly distributed random signal with a specific PSD.

Figure 3-2 shows the block diagram of this algorithm that generates a uniformly

distributed colored random signal, c(n), by filtering a white random signal, w(n), using

iv(n) Linear Phase Nonlinearc(n)

FIR FilterW

transformation

Figure 3-2. Block diagram o f a system that generates a uniformly distributed colored random signal.

a linear phase FIR filter that shapes the PSD and then filtering the colored random signal,

.t(n), using a nonlinear filter that shapes the PDF.

3.1 Transformation o f a random signal

The PSD o f a random signal can be shaped by filtering a white random signal using a

linear phase FIR filter. The fi'equency response, o f the filter in Figure 3-3 can be

used to describe any frequency selection filter with a single passband having a lower

cutoff frequency o f œ^and an upper cutoff frequency o f atu. Because the phase o f the filter

is zero, / / ( O has complex conjugate symmetric about w = 0. Therefore the filter’s

impulse response, ft(n), is real. Using the inverse Fourier Transform, the filter’s impulse

response, h(n), can be written in terms o f co i and as

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 32: Construction of a random signal with a specific Psd and a ...

21

h(n) = {M2n) j </û)- ; r

( M m ) [ sin((ÜMri) - sin(û)/n) ] when » # 0

(I/tt) [ûJi,-û)/] when m = 0 (3-1)

S '

■Oh -a>i COi %(û rad/sam

Figure 3-3. Frequency response o f the filter.

If the phase is non-zero, then the fi'equency response, can be written as

= (3-2)

where H(o) ) is the zero phase fi-equency response o f the system and

9 (û ))= (N-l) CO 2

(3-3)

where N is the length o f the impulse response, h(n), o f the filter.

For example, if the required random signal is a bandlimited signal with a lower cutoff

frequency o f co/ and an upper cutoff frequency o f co , then a white random signal would

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 33: Construction of a random signal with a specific Psd and a ...

22

be filtered using a linear phase FIR filter that has the frequency spectrum, / / ( O , as

shown in Figure 3-3. In Equation (3-1), if # = 0 and (Uu^ k, then the linear phase FIR

filter is a lowpass filter and if to; 0 and then the linear phase FIR filter is a

highpass filter.

If w(«) is a white random input signal, then the filtered signal, x(n), is

■x(«) = w{n) * h{n)

= ^ w{k)h(n-k) (3-4)

where * represents the convolution o f the white random signal, w(«), with h{n). If /?w(n)

is the autocorrelation o f w(n) then the PSD, 5,v(e-'“), o f the white random signal, w(«), is

SUc^“) = F{RH(n)}

= Z /( .(" ) g ' " (3-5)

where F {/?»(«)} is the Fourier Transform o f Rw{n). If the white random signal, w(n), is an

ergodic signal having a finite time period, T, then the PSD, Sw(e"'“ ), is

5 U e '“) = I W j e ^ I ' (3-6)T

Because w{n) is a white signal, the PSD, 5».(e^“), o f w(«) will be constant over the entire

frequency spectrum.

If .t(n) is also an ergodic signal having a finite time period, T, then the PSD, 5^(e ■'“’), of

the colored random signal, x{n), is

S A e n = I X ( e n l~ (3-7)T

W ( e n H ( e n

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 34: Construction of a random signal with a specific Psd and a ...

23

The Central Limit Theorem, when expressed as a property o f convolutions, states that

the convolution operation o f a large number o f positive functions is approximately a

normal function [9]. Because x(/i) = ^ w(k) h{n-k), then the colored random signal,4=-«

-x(/j), is an approximately normally distributed signal whether w(n) is a uniformly

distributed or normally distributed white signal. To transform the colored random

signal’s, .x(/i)’s, normal distribution to a uniform distribution, x{n) is filtered using its

distribution function; that is,

c(m) = Fx(„){x(n)) (3-8)

where c{n) is the resulting filtered random signal and Fx(„){x{n)) is the distribution

function o f the normally distributed colored random signal, .x(«). Because .x(«) is

normally distributed,

(1/2) + e;/(.Xo) .Xo > 0

i \ / 2 ) ~ e r f ixo) X o < 0 (3-9)

where e r f \s the error function which is defined as

F j(n)(Xo) ■<

xo

erf{xo) = i\/sqrt(2n)) J exp{-ri2)dt (3-10)0

To show that the filtered random signal, c(n), is uniformly distributed, let Cg = Fx(„}(Xg)

and let Fc(„)(Cg) be the distribution function o f the new random signal; that is,

Fc(n)(Co) = P(c(n)< Cg) (3-11)

Because Fx(„)(Xg) is monotonie, c(/i) < c„ if and only if x(n) < Xg. Therefore,

Fc(„)(Co) = P(c(n)< Cg)

= P(x(n)<Xg)

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 35: Construction of a random signal with a specific Psd and a ...

24

= Fx(n)(Xo)

= Cg (3-12)

Equation (3-12) shows that the distribution function, Fc(„)(Co), is equal to Co, which

implies that c(n) is uniformly distributed. The uniformly distributed random signal, c{n),

exists in the same interval as that o f the normally distributed colored random signal, jc(n).

The frequency spectrum, C(e^“), o f the uniformly distributed random signal, c(n), is

C ( 0 = F{c(n)}

= F {Fr(„)( x {n ))} { from Equation (3-8)}

= Z FxU x{n))e^ '^ (3-13)rt = -«

Equation (3-13) shows that the frequency spectrum, C(c''“), o f the uniformly distributed

random signal is the Fourier Transform of the distribution function, Fi(n)(x(n)), o f the

normally distributed colored random signal, .x(«). It is very difficult to get a closed form

expression for Equation (3-13) because the distribution function, Fr(„)(x(«)), o f the

normally distributed colored random signal, x{ri), is represented in terms o f the error

function, erf, and it exists in a finite interval.

Instead o f finding a closed form expression for the Fourier Transform o f an error

function, it is simulated in Matlab. From the simulation it is found that the frequency

spectrum, C(e-'“), exists in the same interval as that o f the frequency spectrum, of

the normally distributed colored random signal, x{n). I f Rdn) is the autocorrelation of

c(«), then the PSD, 5c(e^“), o f c(n) is

5 c ( e n = F{Rc(«)}

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 36: Construction of a random signal with a specific Psd and a ...

25

= É U n ) e ^ ' ^ (3-14)n=-<o

where F{/îc(/i)} is the Fourier Transform o ( Rdn). Because c(n) is assumed to be an

ergodic signal having a finite time period, T, the PSD, Sc(e-'“), is

S d e n = \ q e n \ - (3-15)T

Because C(e ■'“) exists in the same interval as that o f X(e ■'“), the PSD, Sde ''“), o f c(n)

will also exist in the same interval as that o f the PSD, 5x(e-'“), o f the normally distributed

colored random signal, .r(/i)- Therefore, c(n) is a uniformly distributed colored random

signal.

As described, a uniformly distributed colored random signal can be constructed by

filtering a uniformly distributed or normally distributed white random signal with a linear

phase FIR filter and then filtering the resulting output by a nonlinear filter, the error

function. The result is a uniformly distributed colored random signal, c(n), and this

random signal can be used in a 5 bit DEM DAC as interconnection network’s control

signal. Appendix A contains MATLAB code for the algorithm.

3.2 Examples to illustrate the effectiveness o f the algorithm

To illustrate the effectiveness o f the algorithm, several uniformly distributed colored

random signals are generated with different spectral densities. Figure 3-4 shows the

impulse response, h{n), and the frequency spectrum, H{e ■'“), (in dB) o f a lowpass filter

with a cutoff fi-equency o f nI3 and o f order 99. Figure 3-5 shows the autocorrelation,

Rj^n), and the PSD, 5’w(e ^"), o f a normally distributed white random signal, w(/i), with

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 37: Construction of a random signal with a specific Psd and a ...

26

zero mean and o f length 925. Because the random input signal is white, the PSD, 5w(e-'“),

is present over the entire frequency spectrum. The normally distributed colored random

signal, x{n), is obtained by filtering the normally distributed white random signal, w(/i),

using the linear phase FIR filter, h(n). Figure 3-6 shows the autocorrelation, Rxin), and

the PSD, o f the normally distributed colored random signal, x(«), o f length 1024

and with a cutoff frequency o f n/2. The uniformly distributed colored random signal,

c(n), is obtained by a nonlinear transformation o f the normally distributed colored

random signal, x(n). Figure 3-7 shows the autocorrelation, Rc(n), and the PSD, 5c(e-'“), of

the uniformly distributed colored random signal, c(n), o f length 1024 and with a cutoff

frequency o f n/3. Figure 3-7 also shows that the PSD, 5c(c '“), o f c(n) exists in the same

interval as that o f the PSD, Sx(e ^“), o f the normally distributed colored random signal,

.x(n). Figure 3-8 shows the histogram plot o f the normally distributed white random

signal, w(n), normally distributed colored random signal, x(n), and uniformly distributed

colored random signal, c(n). Figure 3-9 shows the frequency spectrum o f the normally

distributed white random signal, w(n), normally distributed colored random signal, x(n),

and uniformly distributed colored random signal, c(n), with a cutoff frequency o f ti/3.

Figures 3-10 - 3-15 show the simulation results o f the algorithm for constructing a

uniformly distributed bandlimited random signal with a lower cutoff frequency o f 7t/3 and

an upper cutoff frequency o f 3n/4. Figures 3 -1 6 -3 -2 1 show the simulation results o f the

algorithm for constructing a uniformly distributed highpass filtered random signal with a

cutoff frequency o f 3tt/4.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 38: Construction of a random signal with a specific Psd and a ...

27

3.3 Examples to illustrate the effects o f the design parameters

The examples in this section illustrate the effects that the length o f a white random

input signal, w(/j), and the length o f a linear phase FIR filter’s impulse response have on

the PSD, o f the uniformly distributed colored random signal, c{n).

A random signal is white if it has a constant PSD over the entire frequency spectrum.

For finite length signals, the magnitude o f the spectrum depends upon the length o f the

random signal. If the length o f the white random signal is small (in the order o f

hundreds), then the PSD is not constant over the entire frequency spectrum. If the length

o f the white random signal is very long (in the order o f thousands), then the PSD is

constant over the entire frequency spectrum.

The PSD o f the white random signal, vv(n), influences the power spectrum of the

constructed uniformly distributed colored random signal, c(n). To study the influence o f

the length o f the normally distributed white random signal, w{n), the algorithm is

simulated with w(m) having lengths 223 and 2015. Figure 3-22 shows the PSD o f w{n) for

lengths 223 and 2015. Figure 3-23 shows the corresponding PSD o f the uniformly

distributed colored random signal, c(n). Figure 3-22 shows that for w(n) o f length 223,

S,v(e ■'") varies between -20dB and 15dB and for w(n) o f length 2015, 5n.(e^“) varies

between -9dB and 6dB. Figure 3-23 shows that for c(n) o f length 256, Sc(e “) in the

passband varies between OdB and 47dB and for c(n) o f length 2048, Sc(e ''“) in the

passband varies between 26dB and 38dB.

The length o f the normally distributed white random signal, w(n), influences the PSD

o f the normally distributed colored random signal, x(«), which in turn influences the PSD

o f the uniformly distributed colored random signal, c(n). Therefore, the PSD o f the output

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 39: Construction of a random signal with a specific Psd and a ...

28

random signal, c(w), will be constant if the length o f the normally distributed white

random signal, w(n), is considerably long.

The length o f the linear phase FIR filter’s impulse response influences the transition at

the discontinuity o f the PSD, 5c(e-'“), o f the colored random signal. The transition from

passband to stopband of the filter will be sharp if the length o f the linear phase FIR

filter’s impulse response or the filter order is high [11]. If the transition region o f the

filter is sharp, then the transition at the discontinuity o f Sc(e-'“) will also be sharp.

Figure 3-24 shows the impulse response, h(n), and the frequency response, of

a lowpass filter having h{n) o f length 11 and with a cutoff frequency o f n/2. Figure 3-25

shows the impulse response, h{n), and the frequency response, o f a lowpass filter

having h{n) o f length 63 and with a cutoff frequency o f n/2. Figures (3-24) and (3-25)

show that the transition region o f the filter having h{n) o f length 63 is sharper than the

transition region o f the filter having h{n) o f length 11. Figure 3-26 shows the

corresponding PSD, 5c(e o f the uniformly distributed colored random signal, c{n).

The transition at the discontinuity o f 5c(e-'“) generated by filtering a white random signal

using a linear phase FIR filter having an impulse response o f length 63 is much sharper

than the transition at the discontinuity o f Sde ■'“) generated by filtering a white random

signal using a linear phase FIR filter having an impulse response o f length 11. So, the

transition at the discontinuity o f Sde ■'“) will be sharper if the length o f the linear phase

FIR filter’s impulse response is large.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 40: Construction of a random signal with a specific Psd and a ...

29

Impulse response of the filter with a cutoff freqency of pi/3 rad/sam and of order 990 .4 -----------1---------- 1-----------:---------- i-----------1---------- 1-----------i-----------!-----------1---------- 1

£

0.3

0.2

0.1 r

0

*

'S'

I e

d

r% (9

-0.10 10 20 30 40 50 60 70 80 90 100

n

Frequency response of the filter with a cutoff freqency of pi/3 rad/sam and of order 99

coXJc

-20

^Or

-60

-80

-100\

-1200.5 1.5 2

w/pi2.5 3.5

Figure 3-4. Impulse response and frequency response o f the filter with a cutoff frequency o f ïï/3 rad/sam and o f order 99.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 41: Construction of a random signal with a specific Psd and a ...

30

1000Autocorrelation of the normally distributed white signal of length 925

I

0 200 400 600 800 1000 1200 1400 1600 1800 2000n

2 0 ,

PSD of the normally distributed white signal of length 925

10

CO■oc

■C *a

-10 i-

-20

/ VI! A ' ' ' A' V\ | i ,1 \ J \ I ^

0.5 1.5w/pi

2.5

Figure 3-5. Autocorrelation and PSD o f the normally distributed white signal o f length 925.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 42: Construction of a random signal with a specific Psd and a ...

31

Autocorrelation of the normally distributed colored signal of length 1024T

q:

1000 1500n

2500

PSD of the normally distributed colored signal of length 1024

-100

-120

2.5 31.5 20.50w/pi

Figure 3-6. Autocorrelation and PSD o f the normally distributed colored signal o f length 1024 and with a cutoff frequency o f n/3 rad/sam.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 43: Construction of a random signal with a specific Psd and a ...

32

X igl^utocorrelation of the uniformly distributed colored signal of length 1024

uq:

1000 1500n

2500

PSD of the uniformly distributed colored signal of length 1024100

TJC

ucn

20 -

Figure 3-7. Autocorrelation and PSD o f the uniformly distributed coloredsignal of length 1024 and with a cutoff frequency o f n/3 rad/sam.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 44: Construction of a random signal with a specific Psd and a ...

33

Histogram plot of the 4-bit normally distributed white signal of length 925300,------------- 1--------------;--------------1------------- 1-------------r

^ - 3 - 2 - 1 0 1 2 3 4

Histogram plot of the 4-bit normally A^ributed colored signal of length 1024

2 200 L

-1.5 -1 -0.5 0 0.5 1 1.5 2

Histogram plot of the 4-bit uniformly êÎRributed colored signal of length 102480,------------- r

Figure 3-8. Histogram plot o f the normally distributed white signal, normally distributed colored signal and uniformly distributed colored signal with a cutoff frequency o f ti/3 rad/sam.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 45: Construction of a random signal with a specific Psd and a ...

34

Frequency Spectrum of the normally distributed white signal of length 925

- 20 -

ê5 101 -

0 0.5 1 2 2.5 3 3.51.5

Frequency Spectrum of the normally'MlBtributed colored signal of length 1024

0 0.5 1 1.5 2 2.5 3 3.5

Frequency Spectrum of the uniformly'''rfWtributed colored signal of length 1024

& 20

3.50 0.5 1 1.5 2 2.5 3w/pi

Figure 3-9. Frequency spectrum o f the normally distributed white signal, normally distributed colored signal and uniformly distributed colored signal with a cutoff frequency o f tt/3 rad/sam

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 46: Construction of a random signal with a specific Psd and a ...

35

Impulse response of the filter with a LCF of pi/3 rad/sam & UCF 3pi/4 rad/sam and of order 990.61 ,---------------

0 . 4 -

0 .2 -

I-0.2 i-

-1---------------r- 1-------------r

VI

O r

0

-0.4^0 10 20 30 40 50 60 70 80 90 100

n

Frequency response of the filter with a LCF of pi/3 rad/sam & UCF 3pi/4 rad/sam and of order 9920,--------------:--------------,--------------1--------------i--------------:-------------- ,--------------1

Ok

“ -20 hC !

\ -40

-60 h

-80 L0.5 1.5 2

w/pi2.5 3.5

Figure 3-10. Impulse response and frequency response o f the filter with a lower cutoff frequency o f n/3 rad/sam & an upper cutoff frequency o f 3n/4 rad/sam and o f order 99.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 47: Construction of a random signal with a specific Psd and a ...

36

1000 '

800 r

600

400

200

-200

Autocorrelation of the normally distributed white signal of length 925- I ------------r

0 200 400 600 800 1000 1200 1400 1600 1800 2000n

20 rPSD of the normally distributed white signal of length 925

10CD■cc

I-10

-200.5

I II '

I ' l l ! I

Î

! V <1' i ' I ' : : ‘ n ,

i! Ï in

1.5w/pi

2.5

Figure 3-11. Autocorrelation and PSD o f the normally distributed white signal o f length 925.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 48: Construction of a random signal with a specific Psd and a ...

37

Autocorrelation of the normally distributed colored signal of length 1024T

1000 1500n

2500

PSD of the normally distributed colored signal of length 1024

m"O

&XW

40

20

0

20

40

60 -

BO ■

00

20 -

Figure 3-12. Autocorrelation and PSD o f the normally distributed colored signal o f length 1024 and with a lower cutoff frequency of 7t/3 rad/sam & an upper cutoff frequency o f 3%/4 rad/sam.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 49: Construction of a random signal with a specific Psd and a ...

38

X 1 (Autocorrelation of the uniformly distributed colored signal of length 1024 3 I I 1

Ucc

1000 1500n

2500

PSD of the uniformly distributed colored signal of length 1024100

GO■ac

%u

CO

2.50.5w/pi

Figure 3-13. Autocorrelation and PSD o f the uniformly distributed colored signal o f length 1024 and with a lower cutoff frequency of rt/3 rad/sam & an upper cutoff frequency o f 3n/4 rad/sam.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 50: Construction of a random signal with a specific Psd and a ...

39

Histogram plot of the 4-bit normally distributed white signal of length 925

O 100

400

■4 -3 -2 -1 0 1 2 3 4

Histogram plot of the 4-bit normally Aiflributed colored signal of length 1024

-2.5 -2 -1.5 -1 -0.5 0 0.5 1 1.5 2 2.5

Histogram plot of the 4-bit uniformly RiRributed colored signal of length 1024

Figure 3-14. Histogram plot o f the normally distributed white signal, normally distributed colored signal and uniformly distributed colored signal with a cutoff frequency o f 7t/3 rad/sam & 37t/4 rad/sam.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 51: Construction of a random signal with a specific Psd and a ...

Frequency Spectrum of the normally distributed white signal of length 925

40-

20

0 0.5 1 1.5 2 2.5 3 3.5

Frequency Spectrum of the normally'^Jliiributed colored signal of length 1024

CD■a

•^ -2 0X

-401-

-60

60

S 40c

I 20

0 0.5 1 1.5 2 2.5 3 3.5

Frequency Spectrum of the uniformly^RÎtributed colored signal of length 1024

0.5 1.5 2.5 3.5w/pi

40

Figure 3-15. Frequency Spectrum o f the normally distributed white signal, normally distributed colored signal and uniformly distributed colored signal with a cutoff frequency o f Tt/3 rad/sam and 3:t/4 rad/sam.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 52: Construction of a random signal with a specific Psd and a ...

41

Impulse response of the filter with a cutoff frequency of 3pl/4 rad/sam and of order 99 0.3 r

0.2

0.1

0

- 0.1

- 0.2

-0.3

-0.4

QI!

Q 10

01

00

I On Cj 'n

I

10 20 30 40 50n

60 70 00 90 100

Frequency response of the filter with a cutoff frequency of 3pi/4 rad/sam and of order 99

-10

% -20

-30

-40

-500.5 32.5 3.50 1 1.5 2

w/pi

Figure 3-16. Impulse response and frequency response o f the filterwith a cutoff frequency o f 3it/4 rad/sam and o f order 99.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 53: Construction of a random signal with a specific Psd and a ...

42

1 0 0 0 r

Autocorrelation of tfie normally distributed wtiite signal of length 925 ;--------- 1---------1---------1---- —I---------1--------- 1----------!------------V'

8 0 0

6 0 0 f

400 r

200 400 600 800 1000 1200 1400 1600 1800 2000n

2 0 ,

PSD of the normally distributed white signal of length 925

10 r

m•ac

%f m r

/ : M

■ f i V m

-20^

' W i " Ï ' h / ' : ï î i

0.5 1.5w/pi

2.5

Figure 3-17. Autocorrelation and PSD o f the normally distributed white signal o f length 925.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 54: Construction of a random signal with a specific Psd and a ...

43

Autocorrelation of the normally distributed colored signal of length 1024 3 0 0 1----------------------1----------------------r

1000 1500n

2500

PSD of the normally distributed colored signal of length 1024

m -20

£ -40

l i . -60

“ .80

-100

-120

1.5 2 2.5 30.50w/pi

Figure 3-18. Autocorrelation and PSD of the normally distributed coloredsignal o f length 1024 and with a cutoff frequency o f 3Tt/4 rad/sam.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 55: Construction of a random signal with a specific Psd and a ...

I ^ / _ _ _ L

44

1 (Autocorrelation of the uniformly distributed colored signal of length 1024

PSD of the uniformly distributed colored signal of length 1024100

CD■oc

T j,u

CO

2.50.5w/pi

Figure 3-19. Autocorrelation and PSD of the uniformly distributed coloredsignal o f length 1024 and with a cutoff frequency o f 3tt/4 rad/sam.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 56: Construction of a random signal with a specific Psd and a ...

45

Histogram plot of the 4-bit normally distributed white signal of length 925

^ 150

O 100

- 3 - 2 - 1 0 1 2 3 4

Histogram plot of the 4-bit normally Asiributed colored signal of length 1024300 r

200c

3lOOf

-1.5 -1 -0.5 0 0.5 1 1.5

Histogram plot of the 4-bit unifbnnly ÜRributed colored signal of length 1024

Figure 3-20. Histogram plot o f the normally distributed white signal,normally distributed colored signal and uniformly distributed colored signal with a cutoff frequency of3n/4 rad/sam.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 57: Construction of a random signal with a specific Psd and a ...

46

Frequency Spectrum of the normally distributed white signal of length 925

t-10

0 1.5 2 2.5 30.5 1 3.5

40

20

Frequency Spectrum of the normally'WlBtributed colored signal of length 1024

g

-40

-600 0.5 1 1.5 2 2.5 3 3.5

Frequency Spectrum of the uniformly^'dHtribuled colored signal of length 1024

% 20

-200 1.5 2 2.50.5 1 3 3.5

w/pi

Figure 3-21. Frequency spectrum o f the normally distributed white signal, normally distributed colored signal and uniformly distributed colored signal with a cutoff frequency o f 3n/4 rad/sam.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 58: Construction of a random signal with a specific Psd and a ...

47

20

10

PSD of the normally distributed white signal of length 223

CO•ac

flA!

A

' i p ' l A

" - wU

-20^

i ! I ' ; 1 1 ^ i | I I I. 1 1 j I ; u I 1

I : IMI i ! I i !; !| ( ; ! ;

v/;

I I !

I *

yii y i ; I/;

0.5 1.5w/pi

2.5

20 r

PSD of the normally distributed white signal of length 2015

10 r

« ! ' ; ; \ A '-10

-20

V

; ' - '1

0.5 1.5w/pi

2.5

Figure 3-22. PSD o f the normally distributed white signal o f lengths 223 and 2015.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 59: Construction of a random signal with a specific Psd and a ...

48

PSD of the uniformly distributed colored signal of length 256 with filter order 33100 !----------------- '----------------- 1 1 I I—

80

m•oc

>

60

%w

40

20

n 'A A A

■ Ï i; , ,

i : . A0.5 1 1.5

w/pi2.5

100PSD of the uniformly distributed colored signal of length 2048 with filter order 33

80

CDT3 60C

1 40CO

20

i V\

\ A. , h0.5 1.5

w/pi2.5

Figure 3-23. PSD o f the uniformly distributed colored signal o f lengths 223 and 2015 and with a cutoff frequency o f it/2 rad/sam.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 60: Construction of a random signal with a specific Psd and a ...

49

Impulse response of the filter with a cutoff frequency of pi/2 rad/sam and of order 110 .5 r - T

0.4

0.3

0.2

0.11-0

-0 . 1 ^

-0 .2 '

0

o

1 2 3 4 5 6n

7 8 9 10 11

Frequency response of the filter with a cutoff frequency of pi/2 rad/sam and of order 11

% -10

& -20

-30

403.530 0.5 1 1.5 2 2.5

w/pi

Figure 3-24. Impulse response and frequency response o f the filter with a cutoff frequency o f id l rad/sam and o f order 11.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 61: Construction of a random signal with a specific Psd and a ...

50

Impulse response of the filter with a cutoff frequency of pi/2 rad/sam and of order 630 .5 1--------------- 1--------------- 1--------

0.4

0.3

0.2

0.1

0

-0.1

-0.2

r sr

J

c 'c ,0! I't)

0 10 20 30 40 50n

60 70

Frequency response of the filter with a cutoff frequency of pi/2 rad/sam and of order 632 0 , : : , : ! 1 ,

“ -20.5

& -40

-60

-600.5

\

1.5 2w/pi

2.5 3.5

Figure 3-25. Impulse response and frequency response o f the filter with a cutoff frequency at n/2 rad/sam and o f order 63.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 62: Construction of a random signal with a specific Psd and a ...

51

100

80

CD■a 601-c

PSD of the uniformly distributed colored signal of length 1024 with filter order 11

% 40CO

20 r V l A

0.5 1.5w/pi

2.5

100 rPSD of the uniformly distributed colored signal of length 1024 with filter order 63

80 h

“ 6 0 1 C I

% 40CO

20 •V'A

V'l\.aaA .f\

0.5 1.5w/pi

2.5

Figure 3-26. PSD o f the uniformly distributed colored signal o f length 1024 with a cutoff frequency o f n/1 rad/sam and o f order 11 & 63.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 63: Construction of a random signal with a specific Psd and a ...

CHAPTER4

HARDWARE GENERATION

A B bit uniformly distributed white random signal can be efficiently generated using

Linear Feedback Shift Registers (LFSRs) [14; 15; 16]; however the literature does not

have an efficient method for generating a B bit uniformly distributed colored random

signal. One method of generating a B bit uniformly distributed colored random signal is

using a finite state machine (FSM). If a FSM has to generate all the B bits o f a uniformly

distributed colored random signal then the hardware requirements become very large. In

this chapter, it is shown that the two most significant bits (MSBs) o f a B bit uniformly

distributed colored random signal influence the signal’s PSD more than the B-2 least

significant bits (LSBs). Therefore, when generating a B bit uniformly distributed colored

random signal, it is often sufficient to generate the two MSBs as colored bits using a

FSM and the remaining B -2 LSBs as white bits using LFSRs. This method reduces the

hardware requirements for a real time implementation on chip when compared to the

hardware requirements for generating all the B bits as colored bits using a FSM.

4.1 PSD o f the individual bits o f a uniformly distributed colored random signal

To show that the two MSBs o f a 5 bit uniformly distributed colored random signal

influence the signal’s PSD more than the B-2 LSBs, the power in the individual

52

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 64: Construction of a random signal with a specific Psd and a ...

53

bit sequence o f a # bit uniformly distributed colored random signal is calculated. If 0 <

m'(m) < 1, then w(«) can be written as

" (4-1)f=l

where w,{n) is the rth bit o f w(«), ivi(«) is the most significant bit (MSB) o f w(«) and

is the least significant bit (LSB) o f w{n). The normally distributed colored signal,

x{n), is

x[n) = w(n) * h{n)

= ^ w{k)h{n-k) (4-2)

where h{n) is the impulse response o f a linear phase FER filter and w{n) is the normally

distributed white random signal. Substituting Equation (4-1) in Equation (4-2),

.<«) = 2 w^n) I ' * h{n)

= ^ T h{n-k) ^ wXA:) T ' \ (4-3)k--<x3 r=l J

Interchanging the summations. Equation (4-3) can be written as

x ( n ) = '^ 2' J h{n-k)w^k)ral

= £ 2-AvX«) (4-4)r=I

where Xr(n) = ^ h(n-k) w,(k) and in general, x^n ) g {0,1}. If x^n), 1< r < 5 , is

converted to a binary number, 0[xr(n)], by rounding, then Q[.Vr(n)] can be written as

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 65: Construction of a random signal with a specific Psd and a ...

0[.Tr(n)]=.ïr(«)+ 2 ejin)

54

(4-5)y=i

where -2 A2 < e /n ) < 2 À2, ej{n), is the rounding error o f the yth bit and each e /n ) is

assumed to be a uniformly distributed, zero mean wide-sense stationary white noise.

Figure 4-1 shows the uniform distribution o f the rounding error, e /n ), o f the yth bit.

iDistribution

i

2!

<--------------------------- --------------------------- ►

-2V2 2V2 ejin)

Figure 4-1 Uniform distribution o f e/n).

Equation (4-5) shows that the rounding error o f each bit propagates to the succeeding

bits.

For example, when r =1

Q[.ti(«)] = .ti(n) + e\(n)

where -2'V2 < e,(M) < 2 ‘'/2, and when r =2

Q[x2(n)] = X2{n) + e\{ri) + e2(n)

where -2'^/2 < e2{n) <2'^/2, and when r =B

Q[xB(n)] = Xfl(n) + X

(4-6)

(4-7)

(4-8)

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 66: Construction of a random signal with a specific Psd and a ...

55

where -2'^^I2< ej(n) < 2'®/2. These equations show that the rounding error o f each bit

propagates to the succeeding bits. The rounding error o f the LSB is e\(n) + eiin) + ...+

efl(n) and it is the contribution o f rounding error from all the previous bits. If the rounding

error o f theyth bit, ej{n), l< j < B, is assumed to be a zero mean wide-sense stationary

white noise then the sum, e(n) = e\(n) + ei(n) + ...+ e ^n ), is also a zero mean wide-sense

stationary white noise.

The PSD, o f 0[JCr(«)] can be written as

= F {W ,)} + X

= & X e n + X ^«y(^n (4-9)y=i

where R x M is the autocorrelation o f Xr(n), Sxrie/^^ is the PSD o f Xr(n), Rej(n) is the

autocorrelation o f ej{n) and Sej{e^'^ is the PSD o f e /n ). Because e /n ) is assumed to be a

zero mean wide-sense stationary white noise, the PSD, Sgjie -'“), o f e /n ) is constant over

the entire frequency spectrum.

The power, PQ[xr\, in Q[xr{n)] is

.T IT

fg M = (l/2 T t) j Wldw+X ( l /2 7 t) j 5 e X O d (û- n y=l - I t

= & r + X (4-10)y=i

Because e /« ) is assumed to be a uniformly distributed, zero mean wide-sense stationary

white noise, the power, Pej, in e /n ) is the variance o f ej{n) [10]; that is.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 67: Construction of a random signal with a specific Psd and a ...

56

Pej = (1/271) f 5,Xe^“)dtû-ff

= E[e/{n)] (4-11)

The variance o f ej{n) is

E[e/{n)] = J ^^'(M) 2 ' de{n)

= 2‘-À12 (4-12)

From Equations (4-11) and (4-12), the power, Pgj, in ^(») is

P ej= T ^^n2 (4-13)

In a A-bit uniformly distributed random signal that has a period o f length /, where / =

2'", each bit sequence is uniformly distributed with 2'"'* zeros and 2'"’' ones [15].

Therefore, the power, Pgixr], in the rth bit, 1< r < A, is

Pq m = E [Q [xA .n)f]

= (1 /21 X 0 + (1 /2 1 Z (21"" - 1 n - l

= 2'"'')/2'"

= r l 2 (4-14)

Substituting Equations (4-13) and (4-14) in Equation (4-10),

2-^/2 = P rr + X 2'‘'/12 (4-15);=i

Equation (4-15) shows that the power in the rounding error o f each bit is added to the

succeeding bits. Therefore, the power, Pe\, in the rounding error o f the MSB is the

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 68: Construction of a random signal with a specific Psd and a ...

57

smallest and it is 2'^/12. The power in the rounding error o f the LSB is the largest and it is

the sum o f the power in the rounding error o f all the bits. The signal power, Pq[x\\, in the

MSB is the largest and it is 2'^/2. The signal power, Pq[xb\, in the LSB is the smallest and

it is Therefore, the power in the rounding error o f the MSB is much smaller than

the power in Q[x\{jt)] and is similar to Sx\{e^'^. The power in the rounding

error o f the LSB is the contribution o f the power in the rounding error o f all the previous

bits and it is greater than the power in Q[xfl(/i)]. Therefore, SQ[xB\{e^^) is constant over the

entire frequency spectrum and 0[.Vfl(n)] looks like a white bit. For example, when r =1

Pq[i \]~ P x\ '^ Pe\ (4-16)

= 2'-/2 =0.125

= 2 - / 1 2 = 0.0208

where Pq[xi] is the power in the MSB, 0[xi(n)]. Because the power, Pe\, in the rounding

error o f the MSB is smaller than the signal power, the MSB looks like a colored bit.

When r =2

Pq[x2] F*ei + Pel (4-17)

Pq[xX] = 2 + /2 = 0.03125

Pe\+ Pe2= 2‘ /12 + 2+/12 = 0.026

where Pq[x1] is the power in the second MSB, 0[.V2(w)]. The power in the rounding error

o f the MSB propagates to the second MSB and the power in the rounding error o f the

second MSB is Pe\+ Pe2- Because Pe\+ Pe2 < Px2, the second LSB also looks like a

colored bit. When r =3

Pq[x2] = Px3 + Pel+ Pe2+ Pei (4* 18)

Pg[xi] = 2-^/2 = 0.0078

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 69: Construction of a random signal with a specific Psd and a ...

58

& I + &2 + &3 =2-^/ l2 + 2 + / 1 2 + 2 'V l 2

= 0.0273

where PQ[xi\ is the power in the third MSB, 0[.t3(n)]. The power in the rounding error of

the third MSB is Pe\ + Pei + Pei and it is the contribution o f the power in the rounding

error from all the previous bits. Because Pe\ + Pei + Pei » Pxi, the third MSB looks like

a white bit and Sg[,tj](e ■'“) is constant over the entire frequency spectrum. For r > 3, the

power in the rth bit is smaller than the power in the rounding error o f that bit. Therefore,

the PSD of the rth bit is constant over the entire frequency spectrum and the rth bit

appears like a white bit. Figure 4-2 shows the signal power in the rth bit and the power in

the rounding error o f that bit (in this figure, the continuous line corresponds to the signal

power and the dashed line corresponds to the power in the rounding error). This figure

shows that signal power in the t\\'o MSBs is larger than the power in the rounding error of

the corresponding bit. For r > 3, the signal power is smaller than the power in the

rounding error o f the rth bit. Therefore, for r > 3, the rth bit looks like a white bit.

Equations (4-4) - (4-18) are also applicable to the uniformly distributed colored

random signal, c(/i), because Section 3.1 showed that the nonlinear transformation shapes

the distribution o f the random signal and does not significantly alter the spectrum.

Therefore, if the uniformly distributed colored signal, c{n), is converted to a A bit binary

signal Cr{n), then only the two MSBs are colored and influence the signal’s PSD more

than the remaining B-2 LSBs. Because the power in the rounding error o f the B-2 LSBs is

larger than the power in the signal, the B-2 LSBs look like white bits. Therefore, a A bit

uniformly distributed colored random signal can be adequately generated by generating

the two MSBs as colored bits and the remaining B -2 LSBs as white bits.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 70: Construction of a random signal with a specific Psd and a ...

59

To illustrate, a uniformly distributed highpass filtered random signal that is

bandlimited between 371/4 and 7t and has a length o f 1024 is generated. The colored

random signal is converted to a four-bit binary signal. Figure 4-3 shows that the PSD of

the MSB is colored because the power in the MSB is larger than the power in the

rounding error o f the MSB. Similarly, Figure 4-4 shows that the PSD o f the second bit is

also colored because the power in the second MSB exceeds the power in the rounding

error o f the second MSB. Figure 4-5 and Figure 4-6 show that the PSD o f the third bit

0.14continous line - signal power, dashed line - error power

0.121

0.1

^ 0.08

L . 0 6

0.04

0.02

1 1.5 2.5r

3.5

Figure 4-2 The signal power in the rth bit and the power in the rounding error o f the rth bit.

and the fourth bit are uniform over the entire frequency spectrum because the power in

these bits is smaller than the power in the rounding error o f the corresponding bits.

Therefore, a four- bit uniformly distributed colored random signal can be adequately

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 71: Construction of a random signal with a specific Psd and a ...

60

generated by generating the two MSBs as colored bits and the remaining 2 LSBs as white

bits.

4.2 Hardware design using a FSM

Section 4.1 showed that a uniformly distributed colored random signal can be

adequately generated by generating the two MSBs as colored bits and the remaining B-1

LSBs as white bits. The B-2 LSBs can be generated on chip using Linear Feed Back Shift

Registers (LFSRs) and the two MSBs can be generated using a finite state machine

(FSM).

In this thesis, FSMs that generate the two MSBs are designed in VHDL [20; 21]. The

uniformly distributed colored random signal constructed by the algorithm is assumed to

be periodic with a period /, where I is the length o f the constructed random signal.

Therefore, the FSM is designed with / states and the output at the mih state is the mth

two-bit word o f the random signal, where 1 < m < I. For example, consider a four-bit

uniformly distributed highpass filtered random signal that is bandlimited between 3rt/4

and n and has a length o f 256. The two MSBs o f the uniformly distributed highpass

filtered random signal are colored and are generated using a FSM. Because the length o f

the random signal is 256, the FSM will have 256 states and the output at the mth state

will be the mth two-bit word o f the random signal, where 1 < w < 256. Figure 4-7 shows

a FSM with 256 states, designed to generate the two MSBs o f the four-bit uniformly

distributed colored random signal. This figure shows that at the rising edge o f the clock,

the FSM changes firom the mth state to (m+l)th state and the output at the mth state is the

mth two-bit word o f the random signal. A C program was written to generate the state

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 72: Construction of a random signal with a specific Psd and a ...

61

machine VHDL code. Appendix A contains the C program listing that generates the state

machine VHDL code.

4.3 Linear Feedback Shift Register implementation

Section 4.2 shows the generation o f the two MSBs o f a uniformly distributed colored

random signal using a FSM. The remaining B-2 LSBs can be generated on chip using

Linear Feed Back Shift Registers (LFSRs). The B-2 LSBs can also be generated using a

FSM, but the hardware requirements for an LFSR implementation are much less than the

hardware requirements for a FSM implementation. Therefore the LFSR implementation

is preferred for generating the B-2 white bits.

The approach for the LFSR implementation is to use a polynomial associated with the

LFSR for generating each white bit o f the uniformly distributed colored random signal.

For B-2 white bits o f the random signal, B-2 polynomials are required. Each polynomial

should generate a sequence that has a length that is identical to the length o f the random

signal constructed by the algorithm. For example, in Section 4.2, the two MSBs o f the

uniformly distributed highpass filtered random signal that is bandlimited between 3ti/4

and n and has a length o f 256 are generated using a FSM. The remaining 2 LSBs are

generated using LFSRs. Two polynomials, one for the third bit and the other for the

fourth bit, are required for the LFSR implementation. Each polynomial should generate a

sequence that has a length o f 256. Because an «-stage LFSR can generate a sequence o f

length 2" at most, a sequence that has a length o f 256 requires an eight-stage shift register

for the LFSR implementation. The irreducible polynomials associated with an eight-stage

LFSR are [14];

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 73: Construction of a random signal with a specific Psd and a ...

62

1. l+.r‘+.t^+.rW

2. l+x^+.tW+.r®

3. l + . r W + x W

4. l+.t+x^+x^+.r*

5. l+.t+.t^+.r*+x*

6. l-i-.r+.r®+.t^+.t*

7. 1 +.r+.r*+.t^+x*+x^+x*

8. l+.r+.r‘+x^+x’‘+.r^+.r*

Because the above polynomials are irreducible polynomials, they can generate sequences

with a period o f length 2*-l, which is 255. Any two o f the above polynomials can be used

to generate the two LSBs of the random signal. The PSD o f the sequence generated using

the LFSR will be white [14; 15; 16] and uniformly distributed with 2*‘‘ -1 zeros and 2*"'

ones. Figure 4-8 shows the PSD and the histogram plot o f the LSB sequence o f the

uniformly distributed highpass filtered random signal that is generated using an eight-

stage LFSR. This figure shows that the PSD o f the binary sequence generated using an

LFSR is white and uniformly distributed with 2® ‘ -1 zeros and 2*“' ones. Figure 4-9

shows that the four-bit random signal generated using the FSM and LFSRs is a uniformly

distributed highpass filtered random signal that is bandlimited between 37t/4 and n.

Therefore, a uniformly distributed colored random signal can be adequately generated by

generating the two MSBs as colored bits and the B-2 LSBs as white bits.

In general, the period o f the random signal can be increased i f each bit o f the

random signal is generated with a different period. The period o f the random signal will

be very long if the MSB is generated with a long period and the succeedmg bits are

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 74: Construction of a random signal with a specific Psd and a ...

63

generated with decreasing periods. For example, in a four-bit uniformly distributed

colored random signal, the period o f the random signal increases if the MSB is generated

with a period o f 2"-l, the second MSB is generated with a period o f 2 " ''- l , the third MSB

is generated with a period o f 2"'^-l and the LSB is generated with a period o f 2"‘ -l. This

method can be followed to increase the period o f a uniformly distributed colored random

signal generated using a FSM and LFSRs. But the disadvantage is that the hardware

requirements for a FSM implementation grow exponentially with the period o f the

random sequence. Therefore, if the two MSBs are generated with a longer period than the

succeeding bits, then the hardware requirements for a FSM implementation increase such

that the real time implementation on chip becomes too large for a typical DEM DAC. To

keep the hardware requirements as small as possible, the two MSBs are generated with a

small period. The B-2 LSB bits that are generated using LFSRs can have longer periods

because increasing their periods increase the hardware requirements by few registers and

gates. The trade-off for this method o f generating the random signal is that the period of

the random signal is just greater than the period o f the MSB. But, this method is still

advantageous because the side correlations are decreased without much increase in the

hardware requirements for a real time implementation on chip.

For example, the two MSBs o f a four-bit uniformly distributed highpass filtered

random signal are generated using a FSM with a period o f 256. The third MSB is

generated using an LFSR with a period o f 511 and the LSB is also generated using an

LFSR but with a period o f 1023. Figure 4-10 and Figure 4-11 show the autocovariance

and the PSD o f the 2 MSB sequences generated using a FSM. Because the period o f the

sequence is 256, the autocovariance o f the sequence has four side correlations and a main

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 75: Construction of a random signal with a specific Psd and a ...

64

correlation. Figure 4-12 shows the autocovariance and the PSD o f the third MSB

sequence generated using an LFSR. Because the period o f the third MSB sequence is

511, the autocovariance o f the sequence has 2 side correlations and a main correlation.

Figure 4-13 shows the autocovariance and the PSD of the LSB sequence generated using

an LFSR. Because the period o f the LSB sequence is 1023, the autocovariance o f the

sequence has no side correlation. Figure 4-14 shows the PSD and the histogram plot o f

the uniformly distributed colored random signal o f length 1023 generated using a FSM

and different length LFSRs. This figure shows that the random signal is a uniformly

distributed high pass filtered signal that is bandlimited between 37t/4 and n. Figure 4-15

shows the autocovariance o f the uniformly distributed colored random signal o f length

1023 generated using a FSM and different length LFSRs. Figure 4-16 shows the

autocovariance o f the uniformly distributed colored random signal o f length 1023

generated with a period o f 256. Figures 4-13 and 4-14 show that the side correlations of

the uniformly distributed colored random signal o f length 1023 generated using a FSM

and different length LFSRs are lesser than the side correlations o f the uniformly

distributed colored random signal o f length 1023 generated with a period o f 256.

Therefore, generating a uniformly distributed colored random signal by generating the

two MSBs with a small period and the succeeding B-2 LSBs with increasing periods

reduce the side correlations o f the random signal without much increase in the hardware

requirements for a real time implementation on chip for a DEM DAC application.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 76: Construction of a random signal with a specific Psd and a ...

65

Autocovariance of the MSB sequence of the 4-bit random signal

I# # # 6 #

Normalized PSD of the MSB sequence of the 4-bit random signal

Figure 4-3. Autocovariance and PSD o f the MSB sequence o f the four-bit random signal that is bandlimited between SttM rad/sam and n rad/sam.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 77: Construction of a random signal with a specific Psd and a ...

66

Autocovariance of the 2nd bit sequence of the 4-bit random signal300

200

100c

O

-100 r

-200500 1000 1500

n2000 2500

80:Normalized PSD of the 2nd bit sequence of the 4-bit random signal

?C

Î(0

60 i-

120 L

IOr

iM i i é é Ii f l i i l l i||| [ifi 7 If

-20^0.5 1.5

w/pi2.5

Figure 4-4. Autocovariance and PSD of the 2nd bit sequence o f the four-bit random signal that is bandlimited between 3n/4 rad/sam and n rad/sam.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 78: Construction of a random signal with a specific Psd and a ...

67

Autocovariance of the 3rd bit sequence of the 4-bit random signal300

250

200

^ 150

d 100

25000 1000 1500 2000500

Normalized PSD of the 3rd bit sequence of the 4-bit random signal

m"OÇ

I(0

Figure 4-5. Autocovariance and PSD o f the 3rd bit sequence o f the four-bit random signal that is bandlimited between 3ir/4 rad/sam and n rad/sam.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 79: Construction of a random signal with a specific Psd and a ...

68

300

250

200

150

d lOOr

50f

Autocovariance of the LSB sequence of the 4-bit random signal

0 I

-501500 1000 1500

n2000 2500

80.Normalized PSD of the LSB sequence of the 4-bit random signal

CO■oc

u(0

60 r

40

20

Oh

- 2 0 '

0.5 1.5w/pi

2.5

Figure 4-6. Autocovariance and PSD o f the LSB sequence o f the four-bit random signal that is bandlimited between 3%/4 rad/sam and k rad/sam.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 80: Construction of a random signal with a specific Psd and a ...

69

StateRising edge o f clock, ^ u tp u t = 2nd word

Rising edge of clock. Output = 1st w o rt^

Preset = ‘1’

StateState

Rising edge o f clock. Output = 256th word Rising edge o f clock.

Output = 3rd word

State256

State

Rising edge of clock. Output = 255th word

Rising edge of clock. Output = 4th word

Figure 4-7. FSM that generates the two MSBs o f a uniformly distributed colored random signal o f length 256.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 81: Construction of a random signal with a specific Psd and a ...

70

PSD of the LSB sequence of length 256 generated using an 8-stage LFSR

mI -20

%ocn

-50

. . . . . . . . . . . ‘

A f

• f ï ; !

A1 ;

IJ, i ''

1 1 ; ; : /

■ ' , : ! A i ! ■ 1 ^ 1

" ’ : ! 1; Ï I ' / ; 1 : ' I - Î H 'i ' i i ' ! 1 r-

t iÏ '

h ' i , 1 ; ‘ i

1 Î

: i i ; ' ,

Ï ; 1i

- • j

I

'

1 1i1

0.5 1.5 2w/pi

2.5 3.5

Histogram plot of the LSB sequence of length 256 generated using an 8-stage LFSR 140,---------- 1---------------------------------:--------------------- :---------------------- ,-----------:----------

O 60

0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1Bins

Figure 4-8. PSD and histogram plot o f the LSB sequence o f length 256 generated using an eight-stage LFSR.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 82: Construction of a random signal with a specific Psd and a ...

71

PSD of the 4-bit random signal of length 256 generated using a FSM and the LFSRs80 -------------- 1--------------1-------------- 1-------------- 1--------------1-------------- r-

60

CD■o 40 _c

% 20 w

r - \;V

■20

-I A; yÏ ■' i ' Ï S Î

' < 1 I

'/ I ;

0.5 1.5w/pi

2.5

Histogram plot of the 4-bit random signal generated using a FSM and the LFSRs40,------------------------------------ ,------------------------------------ :—

Bins

Figure 4-9. PSD and histogram plot o f the four-bit random signal o f length 256 and with a cutoff frequency 3n/4 generated using a FSM and LFSRs.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 83: Construction of a random signal with a specific Psd and a ...

72

Autocovariance of the MSB sequence generated using a FSM with a period of 256

UO

2500

Normalized PSD of the MSB sequence generated using a FSM with a period of 256 80 ,

Figure 4-10. Autocovariance and PSD o f the MSB sequence o f a four- bit random signal generated using a FSM with a period o f 256.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 84: Construction of a random signal with a specific Psd and a ...

73

Autocovariance of the 2nd bit sequence generated using a FSM with a period of 256

UO

-100 ■

2500

Normalized PSD of the 2nd bit sequence generated using a FSM with a period of 256 80

Figure 4-11. Autocovariance and PSD o f the 2nd MSB sequence o f a four- bit random signal generated using a FSM with a period o f 256.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 85: Construction of a random signal with a specific Psd and a ...

74

Autocovariance of the 3rd bit sequence generated using an LFSR with a period of 511 300

-=.150

o 100

2500

Normalized PSD of the 3rd bit sequence generated using an LFSR with a period of 511 80

Figure 4-12. Autocovariance and PSD o f the 3rd MSB sequence o f a four-bit random signal generated using an LFSR with a period o f 511.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 86: Construction of a random signal with a specific Psd and a ...

75

Autocovariance of the LSB sequence generated using an LFSR with a period of 1023

o 100

2500

Normalized PSD of the LSB sequence generated using an LFSR with a period of 1023 80

Figure 4-13. Autocovariance and PSD o f the LSB sequence o f a four-bitrandom signal generated using an LFSR with a period o f 1023.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 87: Construction of a random signal with a specific Psd and a ...

76

PSD of the 4-bit random signal generated using a FSM and different length LFSRs8 0 1 1 1 1 1---------

60

CO•o 40 c

uW

20

r \\i V

-20^0.5 1.5

w/pi2.5

— I

I 1 1

Histogram plot of the 4-bit random signal generated using a FSM and different length LFSRs 150,----------

Bins

Figure 4-14. PSD and histogram plot o f the uniformly distributed highpass filtered random signal generated using a FSM and different length LFSRs that is bandlimited between 3ir/4 rad/sam and n rad/sam.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 88: Construction of a random signal with a specific Psd and a ...

77

Autoco)(afg(hce of the 4-bit random signal generated using a FSM and different length LFSRs

o O'

2500

Figure 4-15. Autocovariance o f the uniformly distributed highpass filteredrandom signal generated using a FSM and different length LFSRs

X ijj^tocovariance of the 4-bit random signal generated with a period of 256

UO

Figure 4-16. Autocovariance o f the uniformly distributed highpass filtered random signal generated with a period o f 256.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 89: Construction of a random signal with a specific Psd and a ...

CHAPTER 5

CONCLUSION

In this thesis, an algorithm that can generate a unifomtly distributed colored random

signal in real time is developed. The uniformly distributed colored random signal can be

generated with different power spectral densities like a lowpass filtered random signal, a

bandpass filtered random signal or a highpass filtered random signal. Several examples

illustrate the effects o f the parameters such as the length o f the white random input signal

and the length o f the linear phase FIR filter’s impulse response have on the generated

colored random signal. The examples illustrate that if the length o f the white input

random signal is long (in the order o f thousands) then the PSD of the output random

signal is more constant, and if the length o f the linear phase FIR filter’s impulse response

is long, then the transition at the discontinuity o f the power spectrum is very sharp.

In this thesis, it is shown that in a 5 bit uniformly distributed colored random signal,

the two most significant bits (MSBs) have a more significant influence on the signal’s

PSD than the remaining B-1 least significant bits (LSBs). Therefore, a B bit uniformly

distributed colored random signal can be adequately generated by generating the two

MSBs as colored bits and the remaining B -2 LSBs as white bits. The two MSBs are

generated as colored bits using a finite state machine (FSM) and the B -2 LSBs are

generated as white bits using Linear Feedback Shift Registers (LFSRs). The disadvantage

78

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 90: Construction of a random signal with a specific Psd and a ...

79

of a FSM design is that the hardware requirements for the FSM implementation grow

exponentially with the period o f the random signal. To keep the hardware requirements

low, the two MSBs are generated with a small period.

The B-2 LSBs can also be generated using a FSM, but the hardware requirements for

an LFSR implementation are much less than the hardware requirements for a FSM

implementation. Therefore, the LFSR implementation is preferred for generating the B-2

white bits. The tradeoff for simple hardware requirements is the influence o f the LFSR

generated sequence on the uniform distribution o f the resulting random signal. The LFSR

generated sequence influences the distribution o f the random signal because using an

LFSR it is very difficult to generate exactly the same bit sequence constructed by the

algorithm. For a uniformly distributed binary sequence o f length / with (/-l)/2 + 1 ones

and (/-l)/2 zeros, /! / ((/-l)/2)! ((/+ l)/2)! unique sequences, each o f length / can be

generated. But polynomials do not exist to generate all the II / ((/-l)/2)! ((/+l)/2)!

sequences. Therefore, it is very difficult to generate exactly the same bit sequence using

the LFSR. But, this does not significantly alter the distribution o f the colored random

signal because the two MSBs make the most significant contribution for the uniform

distribution o f a random signal. Because the two MSBs are generated using a FSM, they

are exactly same as the sequence constructed by the algorithm. Therefore, the uniform

distribution o f the random signal is not significantly altered. For example, in a three-bit

uniformly distributed random signal, changing the LSB sequence does not significantly

alter the uniform distribution o f the random signal as long as the individual bit sequence

is uniformly distributed. Because the LFSR generated sequence is uniformly distributed

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 91: Construction of a random signal with a specific Psd and a ...

80

with 112 zeros and //2+1 ones, it does not significantly alter the distribution o f the random

signal.

In this thesis, it is also shown that generating a uniformly distributed colored random

signal by generating the two MSBs with a small period and the succeeding B-2 LSBs

with increasing periods reduce the side correlations o f the random signal without much

increase in the hardware requirements for a real time implementation on chip. This is

because the two MSBs are generated using a FSM and the B-2 LSBs are generated using

LFSRs.

The advantage o f this real time implementation for generating a uniformly distributed

colored random signal is that it does not require large computation. Because o f this, the

real time implementation can be operated at the clock speed o f a DEM DAC. Therefore,

the generated uniformly distributed colored random signal can be used in a DEM DAC as

interconnection network’s control signal. Many alternative approaches were tried during

the research work but this algorithm was reported because it is far simpler than the others.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 92: Construction of a random signal with a specific Psd and a ...

APPENDIX

PROGRAM LISTING

81

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 93: Construction of a random signal with a specific Psd and a ...

82

% * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *

% *** Filter Specifications ***% ** * ★ * * % *** w_low - Low Pass Cut off Frequency * * *

% *** of the Desired PSD * * *

% *** w_up - High Pass Cut off Frequency * * *

% * * * of the Desired PSD * * *

% *** fil_order - Order of the filter ***% ★** w_low = 0 - LPF, w_up = pi - HPF * * *

■s * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *

w_low = 3*pi/4; w_up = pi; fil order = 33;

% * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *% * * * Calling the function filter_design that *** % *** that generates the time domain components *** % * * * of the filter ***% *** *** % *** h - Time domain components of the ***% * * * filter * * *

% ★** H - Frequency response of the * * *

% *** filter ***% *** psdhmat - Power Spectral Density of the * * *

% *** filter using matlab command PSD***^ * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *

h = filter_design(w_low,w_up,fil_order) ;H = abs(fft (h) ) ;

psdhmat = psd(h);

% * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *

irameters Specifications for the random signal **

:n - length of the random signal *3_bits - Number of bits for binary conversion *

* * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *

% *% *% ★% *% *% *

bitlen = 2*bit_len-(fil_order-l); no_bits = 4;

val = round((len-1)/2);

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 94: Construction of a random signal with a specific Psd and a ...

83

f = (0:val)/val*pi;

% * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *

% * Generation of Normally Distributed White Signal%

%

%

%

%

%

%

%

%

nw - normally distributed white signal oflength len with zero mean and unit variance

NW - Frequency Spectrum of nwcorrnw - Autocorrelation of nwpsdnw - Power Spectral Density of nw using

corrnw (psdnw -- FFT corrnw) psdnwmat - Power Spectral Density of nw using

using the matlab command PSD meannw - mean of nwvarnw - variance of nw

*★★*

★★*

*

*★*

★^ * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *

nw = randn(len,1);NW = abs (fft (nw) ) ;

psdnwf = (NW.*2);psdnwf = psdnwf/max(psdnwf);

corrnw = xcorr(nw); psdnw = abs(fft(corrnw));

% Normalizing the Power Spectral density psdnw = psdnw/max(psdnw);

psdnwmat = psd(nw);

meannw = mean(nw); varnw = cov(nw);

% * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *

% *Generation of Normally Distributed colored Signal by filtering nw using the designed filter

no - normally distributed colored signal of length len

NC - Frequency Spectrum of no % * c o r m c - Autocorrelation of nc% * psdnc - Power Spectral Density of nc using

c o r m c (psdnc -- FFT --> corrnc)

%

%

%

%

%

*

*

*

*

*

**

*

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 95: Construction of a random signal with a specific Psd and a ...

84

% * psdncmat - Power Spectral Density of nw using *% * using the matlab command PSD *% * meanno - mean of nc *% * varnc - variance of nc *

% * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *

nc = conv(h,nw); lennc = length(nc);%val2 = (lennc-1)/2;%f2 = (0 :val2)/val2*pi;

NC = abs(fft(nc)) ;

psdncf = NC.*2 ;psdncf = psdncf/max(psdncf);

corrnc = xcorr(nc); psdnc = abs(fft(corrnc));

% Normalizing the Power Spectral density psdnc = psdnc/max(psdnc);

psdncmat = psd(nc);

meannc = mean(nc); varnc = cov(nc);

% * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *% * Calling the function that converts the normally % * distributed colored signal to uniformly % * distributed signal% *% * ucb - Uniformly distributed colored signal in % * binary form using no_bits% * ucwm- Uniformly distributed colored signal % * with nonzero mean% * meanucwm - mean of nc % * varucwm - variance of nc ^ * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *

[ucb] = normal2uniform(nc,no_bits);

ucwm = bin2dec(ucb);

meanucwm = mean(ucwm); varucwm = cov(ucwm) ;

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 96: Construction of a random signal with a specific Psd and a ...

85

^ * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *

% *★* Generation of Uniformly Distributed colored * * *

% *** signal with zero mean ***% *** *** % *** uc - uniformly distributed colored signal ***% *** with zero mean ***% *★* uc - Frequency Spectrum of uc ***% * * * corruc - Autocorrelation of uc ***% *** psduc - Power Spectral Density of uc using***% *** corruc (psduc -- FFT corruc) ***% * * * psducmat - Power Spectral Density of uc using***% *** using the matlab command PSD ***% *** meanuc - mean of uc ***% *** varue - variance of uc ***■6 * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *

UC = ucwm - meanucwm; uc = abs(fft(uc));

psducf = UC.*2;psducf = psducf/max(psducf);

corruc = xcorr(uc); psduc = abs(fft(corruc));

% Normalizing the Power Spectral density psduc = psduc/max(psduc);

psducmat = psd(uc);

meanuc = mean(uc); varuc = cov(uc);

% * * * * * * * * * * * * * * * * * * * * * * * * * * * *

% * * * Plotting the figures * * *

% * * * * * * * * * * * * * * * * * * * * * * * * * * * *

vail = (fil_order-l)/2; f1 = (0 :vail)/vail*pi;

lenpsdhmat = length(psdhmat);fh = (0:lenpsdhmat-l)/lenpsdhmat*pi;

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 97: Construction of a random signal with a specific Psd and a ...

86

figure(3 01); subplot (211); stem(h);title('Impulse response of the filter with a cutoff frequency of pi/2 rad/sam and of order 63'); xlabel('n '); ylabel('h(n)');

subplot(212);plot(f1, 20*loglG(H(1 :val1 + 1))) ;title('Frequency response of the filter with a cutofffrequency of pi/2 rad/sam and of order 63');xlabel('w/pi');ylabel ( 'H(e^j ’w) in dB ' ) ;

%figure(311);%subplot (313) ;%plot(fh, 20*logl0(psdhmat));%title('PSD of the filter with a cutoff fregency at 3pi/4 and filter order 99');%xlabel('w/pi');%ylabel('PSD - Sh in dB');

figure (302) ;

subplot(311);plot(f, 20*logl0(NW(1:val + 1))) ;title('Frequency Spectrum of the normally distributed whitesignal of length 925');xlabel('w/pi');ylabel('W(e*j*w) in d B ');

subplot(312);plot(f, 20*logl0(NC(1 :val + 1)));title('Frequency Spectrum of the normally distributedcolored signal of length 1024') ;xlabel('w/pi');ylabel (’X(e'‘j*w) in dB');

subplot(313);plot(f, 20*logl0(UC(1:val+1)));title('Frequency Spectrum of the uniformly distributedcolored signal of length 1024 ' ) ;xlabel('w/pi');ylabel('C(e*j*w) in d B ');

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 98: Construction of a random signal with a specific Psd and a ...

87

lenpsdnwmat = length(psdnwmat);fnw = (0 :lenpsdnwmat-1)/lenpsdnwmat*pi;

lenpsdncmat = length(psdncmat);fnc = (0 :lenpsdncmat-1)/lenpsdncmat*pi;

lenpsducmat = length(psducmat);Eue = (0 :lenpsducmat-1)/lenpsducmat*pi;

figure(304);

%subplot(211);%stem(corrnw);%title('Autocorrelation of the normally distributed white signal of length 925');%xlabel('n' ) ;%ylabel('Rw(n)');

subplot(212);plot(fnw, 20*logl0(psdnwmat)); axis([0 pi -20 20] ) ;title('PSD of the normally distributed white signal oflength 2015' ) ;xlabel('w/pi');ylabel ('Sw (e*j *w) in dB ' ) ;

figure(305);

%subplot(211);%stem(corrnc);%title('Autocorrelation of the normally distributed colored signal of length 1024');%xlabel('n ');%ylabel('Rx(n)');

subplot(212) ;plot(fnc, 20*logl0(psdncmat));title('PSD of the normally distributed colored signal oflength 1024');axis([ 0 pi -130 40]);xlabel('w/pi');ylabel ('Sx (e' j *w) in dB');

figure(306);

%subplot(211) ;%stem(corruc);

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 99: Construction of a random signal with a specific Psd and a ...

88

%title{'Autocorrelation of the uniformly distributed colored signal of length 1024');%xlabel('n' ) ;%ylabel('Re (n) ’);

subplot(212) ;plot(fuc, 20*logl0(psducmat)); axis([ 0 pi 0 100]);title('PSD of the uniformly distributed colored signal oflength 1024 with filter order 63');xlabel('w/pi') ;ylabel ('Sc (e*j''w) in dB');

figure(307);

subplot(311); hist(nw);title('Histogram plot of the 4-bit normally distributed white signal of length 925'); xlabel('Bin'); ylabel('Count');

subplot(312) ; hist(nc);title('Histogram plot of the 4-bit normally distributed colored signal of length 1024'); xlabel('Bin'); ylabel('Count');

subplot(313); hist(uc,2*no_bits);title('Histogram plot of the 4-bit uniformly distributed colored signal of length 1024'); xlabel('B i n '); ylabel('Count');

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 100: Construction of a random signal with a specific Psd and a ...

89

% * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *

% **★ Function for generating the impulse * * *

% *** response of the filter ***% * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *

function [h] = filter_design(w_low,w_up,fil_order)

% * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *% *** Parameter Description ***% *** ***% *** h - Time domain components of the ***% * * * filter ***% *★* w_low - Low Pass Cut off Frequency ***% *** of the Desired PSD ***% *** w_up - High Pass Cut off Frequency * * *

% *** of the Desired PSD ***% * * * fil_order - Order of the filter ***% *** w_low = 0 - LPF, wh = pi - HPF ***% * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *

n = - (fil_order-l)/2 :1 : (fil_order-l)/2;j=i;

for k = - (fil_order-l)/2:-1

h(j) = (sin(k*w_up)-sin(k*w_low)) / (pi*k);j=j+i;

end

h(j) = (w_up-w_low)/pi;j=j+i;

for k = 1 :(fil_order-l)/2

h(j) = (sin(k*w_up)-sin(k*w_low)) / (pi*k);j=j+i;

end

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 101: Construction of a random signal with a specific Psd and a ...

90

% * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *

% ★★★ Function for converting normally *** % * * * distibuted real sequence to uniformly*** % *** distributed binary sequence ***% * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *

function [uni] = normal2uniform(nor, no bits)

% * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *% *** Parameter description ***% *** ***% +** nor - Normally distributed real sequence ***% *** uni - Uniformly distributed binary sequence***% *** no_bits - Number of bits for binary ***% *** representation of the real number***3r * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *

norlen = length(nor); quantlen = 2*no_bits; count = floor(norlen/quantlen);

i = 0 :quantlen-1;quant = dec2bin(i,no_bits);

[norasc,pos] = sort(nor);

j = 1;num = 1;

for m = 1:norlen

if num > countj = min(j+1,quantlen); num = 1;

enduni(pos(m),:) = quant(j ,:); num = num+1;

end

yl = bin2dec(uni);

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 102: Construction of a random signal with a specific Psd and a ...

91

%figure(1); %subplot(211); %hist(nor);

%subplot (212) ; %hist(yl,quantlen)

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 103: Construction of a random signal with a specific Psd and a ...

92

% **★ Function for histogram plot of each bit *** % *** of the binary random sequence obtained *** % *** from the decimal sequence ***% * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *

function [hist_seq] = hist_binary(bin_seq)

% * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *

% *** Parameter Description ***% * ★ * * * *% *** bin_seq - Binary sequence ***% * * * hist_seq- Binary sequence for which***% *** histogram can be plotted ***% * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *

[bin_seqlen, bin_seqbits] = size(bin_seq);

^ * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *% *** MSB - Left most Bit * * *

% * * * bl (i=l in iteration) * * *

% *** LSB - Right most Bit - bm * * *

% * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *

for i = 1 :bin_seqbits

hist_seq(:,i) = bin2dec(bin_seq(:,i)); figure(100);subplot(bin_seqbits,1,i) ; hist(hist_seq(:,i));title('Histogram plot of each bit of the binary signal - MSB first, LSB last'); xlabel('Bins'); ylabel('Count');

end

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 104: Construction of a random signal with a specific Psd and a ...

93

% * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *% **★ Function for finding the Autocovariance ***% * * * and power spectral density of each bit of ***% * * * the binary random sequence obtained from ***% * * * the decimal sequence ***^ * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *

function [covb, psdb] = bits_psd(bin_seq);

% * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *

Parameter Description * * *

* * *

* * * bin_seq - Binary sequence ***covb - Matrix in which each column has the * * *

autocovariance of the corresponding ***bit of the binary sequence * * *

psdb - Matrix in which each column has thepower spectral density of the corresponding bit of the binary ***sequence ***

% * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *

% * * *

% * * *

% * * *

% * * *

% * * *

% * * *

% * * *

% * * *

% * * *

% * * *

% * * *

% * * *

* * *

* * *

[bin_seqlen, bin_seqbits] = size(bin_seq);

% * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *

% *** MSB - Left most Bit ***% * * * bl (i=l in iteration) ***% *** LSB - Right most Bit - bm * * *

^ * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *

for i = 1 :bin_seqbits

b = bin_seq(:,i);covb(:,i) = xcov(b);psdb(:,i) = abs(fft(covb(:,i) ) ) ;

lenpsdb = length(psdb(:,i)); lenpsdbt = round((lenpsdb-1)/2); fr = (0 :lenpsdbt)/lenpsdbt * pi;

figure(10+i); subplot (211) ;

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 105: Construction of a random signal with a specific Psd and a ...

94

plot(covb ( :,i)) ;title('Autocovariance of each bit of the binary sequence - MSB first, LSB last'); xlabel('Lag'); ylabel('C b ');

subplot(212);plot(fr,psdb(1 :lenpsdbt + 1,i));title('Normalized PSD of each bit of the binary sequence - MSB first, LSB last'); xlabel('Normallized frequency'); ylabel('PSD - Sb in d b ');

end

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 106: Construction of a random signal with a specific Psd and a ...

95

% * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *

% * * * Function for generating the Random * * *

% *** sequence using Linear Feed back Shift*** % *** Register (LFSR) ***

function [y] = Ifsrgeneration(degree,taps)

% *** Parameter description ***% *** degree - Degree of the shift register ***% *** polynomial generating the sequence ***% *** taps - Tap positions of the LFSR ***% *** y - Generated random sequence ***% * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *

% *** Initial state of the LFSR ***X = ones(1,degree);

true = 1; i = 1;tapsize = length(taps);

while (true -= 0 & i < (2*degree))

i = i+1;for j = 2:degree

x(i,j)=x(i-l,j-l);

end

temp = 0 ;

% *** Feedback using xor gate *** for j = 1:tapsize

temp = temp + x(i-1,taps(j));

end

% *** X - generated binary random sequence * * *

x(i,l) = mcd(tsmp,2);

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 107: Construction of a random signal with a specific Psd and a ...

96

repeat = x(l,:) -= x(i,:); true = sum(repeat);

end

%*** Extracting one of the columns from the binary sequence ***

y = X ( : , 1) ;

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 108: Construction of a random signal with a specific Psd and a ...

97

Program name : statemachine.cpp

/* C-Program to generate the state diagram VHDL description of a random signal. The binary random signal should be in .txt format and the generated VHDL file will be in .vhd format.*/

#include<stdio.h>#include<math.h>

#define vectorlength 127 #define nobits 7

main(){

FILE *fl,*f2;

char *str[40]; char txtfile[20]; char vhdlfile[20]; char rpy[nobits]; char tmp;

int stateno[vectorlength+1]; int i ,j ;

/* Initializing the array stateno */ for (i=0;i<vectorlength;i++)

{stateno[i] = i+1;

}

stateno [i] = 1;

/* Getting the txt file name where the truth table is stored */

printf("The truth table is stored as text file\n\n"); printf("Enter the name of this file with a .txt

extension\n"); scanf("%s",txtfile);

/* Getting the vhdl file name where the output is stored */

printf("The output file should be stored as a .vhd file\n\n");

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 109: Construction of a random signal with a specific Psd and a ...

98

printf("\nEnter the name of the file into which it writes\n");

scanf("%s ",vhdlfile);

str 1] "library IEEE;";str 2] = "use IEEE.std_logic_1164.all;";str 3] = "use IEEE.std_logic_arith.all ;";str 4] = "use lEEE.std logic_unsigned.all;";

str 5] = "entity statemachine is";str 6] = "port (";str 7] = " d i e : in std_logic; " ;str 8] = "preset : in std_logic;";str 9] = "yrp : out std_logic_vector(";str 10] = "downto 0)";str 11] = . II ./ / /str 12] = "end statemachine;";

str 13] "architecture fsm of statemachine is"str 14] = "type states is (";str 15] = " s " ;str 16] = "signal presentstate: states ;";str 17] = "begin" ;str 18] = "process(elk) " ;

str 19] = "if elk = ' 1 ' then" ;str 20] = "if reset = ' 1 ' then";str 21] = "presentstate < = " ;str 22] = "yrp < = " ;str 23] = "else" ;str 24] = "end if;";str 25] = It . ft .

str 26] = "case presentstate is";str 27] = "when" ;str 28] = " = > " ;str 29] = "when others => null;";str 30] = "end case;";

str 31] "end process ;" ;str 32] = "end fsm;";

/* Opening the txt file in read mode */

// fl=fopen(”yl27.txt", "r");

fl=fopen(txtfile, "r");

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 110: Construction of a random signal with a specific Psd and a ...

99

rewind(fl);

/* Opening the vhdlfile in write mode */// f2=fopen("try.vhd", "w"); f2=fopen(vhdlfile, "w");

/* Writing the intial statements to the vhdlfile */

fprintf(f2, fprintf(f2, fprintf(f2, fprintf(f2,

'%s\n", str [1]); '%s\n", str[2]); '%s\n", str[3]); '%s\n\n", s tr[4]);

fprintf(f2, fprintf(f2,

fprintf(f2, fprintf(f2, fprintf(f2, fprintf ( £ 2 ,

'%s\n", s tr[5]);'\t%s\n", s tr[6]);

'\t\t%s\n", s tr[7]);'\t\t%s\n", s tr[8]);'\t\t%s", s tr[9]);'%d %s", nobits-1, str [10]);

/ * this is for the downto statement for o/p yrp */

fprintf(f2, "%s\n", s tr[11]); fprintf(f2, "%s\n\n", s tr[12]);

fprintf(f2, "%s\n\n", s tr[13]); fprintf(f2, "\t%s ", s tr[14]);

for(i=0;i<vectorlength-l;i++)

fprintf(f2, "%s%d, ", s t r [15], stateno[i]);

fprintf(f2, fprintf(f2, fprintf(f2,

'%s%d", s tr[15], stateno[i]); '%s\n", str[11]);'\t%s\n\n", s t r [16]);

fprintf(f2, fprintf(f2, fprintf(f2, fprintf(f2, fprintf(f2, fprintf(f2,

'%s\n\n", str [17]);'%s\n", str[18]);'%s\n", str[17]);'\t%s\n", s t r [19]);'\t\t%s\n", s t r [20]);'\t\t\t%s %s%d%s\n", s t r [21], s tr[15],

stateno[0], s tr[25]);

fseek(fl, 5, 0); for(j=0;j<nobits;j++)

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 111: Construction of a random signal with a specific Psd and a ...

100

{fscanf(fl, "%c",&rpy [ j ] ); fseek(fl, 5, 1);

}fprintf(f2,"\t\t\t%s \"%.7s\" %s\n", str [22], rpy,

str [25] ) ;

fprintf(f2, "\t\t%s\n", s t r [23]),• fprintf(f2, "\t\t\t%s\n", s t r [26]); rewind(fl); fseek(f1, 5, 0);

for(i=0;i<vectorlength;i++){

fprintf(f2, "\t\t\t\t%s %s%d %s\n", s t r [27], st r [15], stateno[i], s t r [28]);

for(j =0 ;j <nobits;j ++){

fscanf(fl, "%c",&rpy [ j ] ); fseek(fl, 5, 1);

}

fscanf(f1,"\n");fprintf(f2, "\t\t\t\t\t%s \"%.7s\" %s\n",

str [22] , rpy, str [25] ) ;/* change here according to the number of

bits used. */ fprintf(f2, "\t\t\t\t\t%s\n", s t r [19]); fprintf(f2, "\t\t\t\t\t\t%s %s%d%s\n", s t r [21],

str[15], stateno[i+1], s t r [25]); fprintf(f2, "\t\t\t\t\t%s\n", s t r [24]);

}

fprintf(f2, "\t\t\t\t%s \n", str[29]); fprintf(f2, "\t\t\t%s\n", s t r [30]) ; fprintf(f2, "\t\t%s\n", s t r [24]); fprintf(f2, "\t%s\n", st r [24]); fprintf(f2, "%s\n", st r [31]); fprintf(f2, "%s\n", str [32]);

fclose(fl); fclose(f2) ;

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 112: Construction of a random signal with a specific Psd and a ...

BIBLIOGRAPHY

[1] P. Stubberud and J.W. Bruce, “An analysis o f harmonic distortion and integral nonlinearity errors in DACs,” Proc. o f the Midwest Symposium on Circuits and Systems, August 1999.

[2] R.J. Van de Plaasche, “Dynamic element matching for high accuracy monolithic D/A converters,” IEEE J. Solid-State Circuits, December 1976.

[3] P. Stubberud and J.W. Bruce, “An analysis o f Dynamic Element Matching Flash Digital to Analog Converters,” IEEE Trans, on Circuits and Systems II: Analog and Digital Signal Processing, February 2001.

[4] J.W. Bruce and P. Stubberud, “Generalized cube networks for implementing dynamic element matching network,” Proc. o f the Midwest Symposium on Circuits and Systems, August 1998.

[5] P. Stubberud, J.W. Bruce and B. Steadman, “A DAG architecture with a hardware efficient dynamic element matching network,” Proc. Second International Workshop on Design o f Mixed-Mode Integrated Circuits and Applications, 1998.

[6] Donald E. Knuth, “The art o f computer programming, vol2, Seminumerical Algorithms,” Third Edition, Addison-Wesley, 1997.

[7] Martina F. Schollmeyer and William H. Tranter, “Noise Generators for the Simulation o f Digital Communication Systems,” Proc. o f the 24th annual symposium on Simulation, April 1991.

[8] Klemens Letsch and Rolf Matzner, “On the Construction o f a Random Process With Given Power Spectral Density Function,” Proc. o f the Midwest Symposium on Circuits and Systems, August 1998.

[9] Athanasios Papoulis, “Probability, random variables, and stochastic processes,” Third Edition, McGraw-Hill, 1991.

[ 101 George R. Cooper and Clare D. McGillem. “Probabilistic methods o f Signal and System Analysis,” Third Edition, Oxford University Press, 1999.

101

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 113: Construction of a random signal with a specific Psd and a ...

102

[11] Alan V. Oppenheim and Ronald W. Schafer, “Discrete-time signal processing,” Prentice-Hall, 1989.

[12] S. Lawrence Marple, Jr., “Digital Spectral Analysis with Applications,” Prentice- Hall, 1987.

[13] David R. Smith, “Digital Transmission Systems,” Van Nostrand Reinhold, 1993.

[14] Robert C. Dixon, “Spread Spectrum Systems,” John Wiley & Sons, 1984.

[15] Solomon W. Golomb, “Shift Register Sequences,” Aegean Park Press, 1982.

[16] A. Menezes, P. van Oorschot and S. Vansone, “Handbook o f Applied Cryptography,” CRC Press, 1997.

[17] Harald Niederreiter, “Random Number Generation and Quasi-Monte Carlo Methods,” SIAM, 1992.

[18] Rudolf LidI and Harald Niederreiter, “Encyclopedia o f mathematics and its applications 20 - Finite Fields,” Cambridge University Press, 1997.

[19] Christy J. Olsen, “Spectral Analysis o f Random Number Generators,” Masters Thesis, University o f Nevada, Las Vegas, 1992.

[20] Kevin Skahill, “VHDL for Programmable logic,” Addison-Wesley, 1996.

[21] Zainalabedin Navabi, “VHDL : analysis and modeling o f digital systems,” McGraw-Hill, 1998.

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.

Page 114: Construction of a random signal with a specific Psd and a ...

VITA

Graduate College University o f Nevada, Las Vegas

Venkatraghavan Bringi

Local Address:4236 Grove Circle Aptl Las Vegas, Nevada 89119

Home Address:21 Nethaji StreetCheyyar, Tamil Nadu, India 604 407

Degree:Bachelor o f Engineering, Electronics and Communication Engineering, 1998 University o f Madras, Chennai

Special Honors and Awards:Received gold medal for topping the 1994-1998 Electronics and Communication Engineering batch during undergraduate Study.

Member o f tPtt.

Publications:Venkatraghavan .B V and Sundararajan .S, “Neural Networks Based Isolated Words Recognition,” submitted to a national conference held at P.S.G College o f Engineering, India, 1998.

Thesis Title:Construction o f a Random Signal with a Specific PSD and a Uniform PDF.

Thesis Examination Committee:Chairperson, Dr. Peter Stubberud, Ph. D.Conunittee Member, Dr. Rama Venkat, Ph. D.Committee Member, Dr. Eugene McGaugh, Ph. D.Graduate Faculty Representative, Dr. Evangelos Yfantis, Ph. D.

103

Reproduced with permission of the copyright owner. Further reproduction prohibited without permission.